TW202147567A - 半導體裝置 - Google Patents

半導體裝置 Download PDF

Info

Publication number
TW202147567A
TW202147567A TW110106274A TW110106274A TW202147567A TW 202147567 A TW202147567 A TW 202147567A TW 110106274 A TW110106274 A TW 110106274A TW 110106274 A TW110106274 A TW 110106274A TW 202147567 A TW202147567 A TW 202147567A
Authority
TW
Taiwan
Prior art keywords
liner
semiconductor
region
layer
semiconductor fin
Prior art date
Application number
TW110106274A
Other languages
English (en)
Inventor
林祐寬
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202147567A publication Critical patent/TW202147567A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials

Abstract

本實施例揭露一種半導體裝置及其製造方法。 一示例性半導體裝置包括:一基底,具有一第一區及一第二區;一第一半導體鰭部,形成於第一區內的基底上;一第二半導體鰭部,形成於第二區內的基底上;一第一襯層,沿第一半導體鰭部的一下部及第二半導體鰭部的一下部設置;一第二襯層,位於第二區內的第一襯層上,其中第二襯層與第一襯層的組成不同;以及一隔離特徵部件,位於第一區內的第一襯層上及第二區內的第二襯層上,並將第一半導體鰭部的下部與第二半導體鰭部的下部分開。

Description

半導體裝置
本發明實施例係關於一種半導體技術,且特別為關於一種半導體裝置及其製造方法。
積體電路(IC)產業經歷了指數型增長。積體電路材料及設計方面的技術進步產生了多世代的積體電路,每一世代的電路都比前一世代更小更加複雜。在積體電路(IC)演進的過程中,功能密度(即,每一晶片面積上內連接裝置的數量)普遍增加,而幾何尺寸(即,使用製造製程可形成的最小部件(或線路))卻為縮小。 這種微縮製程通常透過提高生產效率及降低相關成本而帶來益處。
上述微縮也增加了製程及製造積體電路(IC)的複雜性,為了實現這些進展,需要在積體電路(IC)製程及製造方面進行類似的發展。舉例來說,已推出了三維電晶體,如鰭部場效電晶體(fin-like field-effect transistor, FinFET),以取代平面電晶體。儘管現有的鰭部場效電晶體(FinFET)裝置及製造鰭部場效電晶體(FinFET)裝置的方法總體上已足以達到預期目的,然而其在各方面的表現並非完全令人滿意。舉例來說,據觀察,形成的鰭部場效電晶體(FinFET)的半導體鰭部突出於基底且具偶單薄且瘦高的形狀,且半導體鰭部的表面可能具有一些缺陷,並可能影響隔離特徵部件(例如,淺溝槽隔離(shallow trench isolation, STI)特徵部件)的可靠度。因此,會降低鰭部場效電晶體(FinFET)的效能。因此,有必要進行改進。
在一些實施例中,一種半導體裝置包括:一基底,具有一第一區及一第二區;一第一半導體鰭部,形成於第一區內的基底上;一第二半導體鰭部,形成於第二區內的基底上;一第一襯層,沿第一半導體鰭部的一下部及第二半導體鰭部的下部設置;一第二襯層,位於第二區內的第一襯層上,其中第二襯層與第一襯層的組成不同;以及一隔離特徵部件,位於第一區內的第一襯層上及第二區內的第二襯層上,並將第一半導體鰭部的下部與第二半導體鰭部的下部分開。
在一些實施例中,一種半導體裝置之製造方法包括:於一基底的一第一區內形成一第一半導體鰭部及於基底的一第二區內形成一第二半導體鰭部,其中第一半導體鰭部包括一第一型摻雜物,而第二半導體鰭部包括一第二型摻雜物;於第一半導體鰭部上及第二半導體鰭部上沉積一第一襯層;於第一襯層上沉積一第二襯層;去除位於第一區的第二襯層,以露出位於第一區域的第一襯層;以及直接於第一區的第一襯層上及直接於第二區域的第二襯層上形成一隔離特徵部件,以隔離第一半導體鰭部沉積第二襯層及第二半導體鰭部的下部區。
在一些實施例中,一種半導體裝置包括:一基底,具有一第一區及一第二區;一第一半導體鰭部,形成於基底上且位於第一區內;一第二半導體鰭部,形成於基底上且位於第二區內;一第一襯層,直接位於基底上且沿著位於第一區的第一半導體鰭部的一下部的多個側壁;一第二襯層,位於基底上且沿著位於第二區的第二半導體鰭部的一下部的多個側壁;以及一隔離特徵部件,直接位於第一區域內的第一襯層上及第二區內的第二襯層上。
以下的揭露內容提供許多不同的實施例或範例,以實施本發明的不同特徵部件。而以下的揭露內容為敘述各個構件及其排列方式的特定範例,以求簡化本揭露內容。當然,這些僅為範例說明並非用以所定義本發明。舉例來說,若為以下的揭露內容敘述了將一第一特徵部件形成於一第二特徵部件之上或上方,即表示其包含了所形成的上述第一特徵部件與上述第二特徵部件為直接接觸的實施例,亦包含了尚可將附加的特徵部件形成於上述第一特徵部件與上述第二特徵部件之間,而使上述第一特徵部件與上述第二特徵部件可能未直接接觸的實施例。
另外,本揭露內容於各個不同範例中會重複標號及/或文字。重複為為了達到簡化及明確目的,而非自行指定所探討的各個不同實施例及/或配置之間的關係。再者,在以下本揭露文中,將一特徵部件上設置於、連接至及/或耦接至另一特徵部件上可包括所形成的上述第一特徵部件與上述第二特徵部件為直接接觸的實施例,亦包含了尚可將附加的特徵部件形成於上述第一特徵部件與上述第二特徵部件之間,而使上述第一特徵部件與上述第二特徵部件可能未直接接觸的實施例。此外,為了容易表達出本揭露的一特徵部件與另一個特徵的關係,使用了空間相對用語,例如 “下”、“上”、“水平”、“垂直”、“上方”、“之上”、“下方”、“之下”、“向上”、“向下”、“頂”、“底”等等及其的衍生詞(例如,“水平地”、“向下地”、“向上地”等)。空間相對用語在於涵蓋具有這些特徵部件的裝置的不同方位。 此外,當使用 “約”、 “近似”或相似用語來描述一數字或數值範圍時,該用語在於涵蓋包括所述數字的合理範圍內的數字,例如在所述數字的+/-10%範圍內或所屬技術領域中具有通常知識者所理解的其他數值。舉例來說,用語“約5nm”包括4.5nm至5.5nm的尺寸範圍。
本揭露總體上有關於一種半導體裝置及其製造,特別為關於鰭部場效電晶體(FinFET)或其他三維半導體裝置的製造方法。
上述一種介紹過的三維半導體裝置為鰭部場效電晶體(FinFET)。鰭部場效電晶體(FinFET)的名稱來自於自基底延伸出來的鰭狀結構,並用於形成場效電晶體(FET)通道。另一種三維半導體裝置的示例稱作全繞式閘極(gate-all-around, GAA)裝置,其通道結構包括多個半導體通道層,閘極結構圍繞通道層延伸,並提供全側的通道區的通道。由於製造技術的限制,所使用的鰭部場效電晶體(FinFET)或全繞式閘極(GAA)電晶體的單薄且瘦高的半導體鰭部結構的表面存在一些缺陷,這可能會影響隔離特徵部件(例如,淺溝槽隔離(STI)特徵部件)隔離半導體裝置主動區域的可靠度。隔離襯層被認為可施加於半導體鰭部周圍以修復/恢復其表面。舉例來說,可形成包括氧化矽(SiO2 )或氮化矽(Si3 N4 )的隔離襯層於半導體鰭部的表面上。然而,氧化矽(SiO2 )隔離襯層無法阻擋隔離特徵部件內的氧離子,此可能會引起p型場效應電晶體(PFET)的通道(例如,包括矽鍺(SiGe))的氧化問題,而氮化矽(Si3 N4 )隔離襯層可能會導致n型場效應電晶體(NFET)中的硼摻雜物失去作用。
本揭露提供了一種具有雙重隔離襯層的半導體裝置。舉例來說,在形成自基底突出的半導體鰭部後,沉積一第一襯層(例如,包括SiO2 )於NFET及PFET的半導體鰭部上。之後,沉積一第二襯層(例如,包括Si3 N4 )於第一襯層上。在一些實施例中,可移除位於NFET的半導體鰭部上(在基底的p型摻雜區上)的第二襯層。隨後,形成隔離特徵部件以隔離半導體裝置的主動區域。從而,雙重襯層可復原NFET及PFET的半導體鰭部的表面。 具有SiO2 的第一襯層可保護NFET的p型摻雜物不失去作用,而具有Si3 N4 的第二襯層可保護PFET的通道不氧化。因此,可提高半導體裝置的效能。當然,這些優點僅僅為示例性的,對於任何特定的實施例,不需要特定的優點。
第1圖繪示出根據本揭露的一些實施例之半導體裝置200(以下稱為裝置200)的製造方法100的流程圖。方法100僅為一示例,並未限制本揭露的內容超出請求項中明確記載的範圍。可在方法100之前、期間及之後進行額外的操作步驟,且所述的一些操作步驟可在額外的方法實施例中被替換、排除或移動。以下結合其他圖式說明方法100,這些圖式繪示出方法100的中間步驟期間裝置200的各種平面示意圖及剖面示意圖。
第2圖繪示出根據本揭露的一些實施例的裝置200的簡化示意性平面示意圖(例如,x-y平面),而第3-18圖繪示出沿第1圖中A-A’線的裝置200的區塊B的剖面示意圖(即,x-z平面)。裝置200一般是指任何鰭式裝置,其可含於微處理器、記憶體單元及/或其它積體電路(IC)裝置內。在一些實施例中,裝置200為局部的積體電路(IC)晶片、系統晶片(system on chip, SoC)或其一部分,裝置200包括各種被動及主動微電子裝置,例如電阻、電容、電感、二極體、p型場效應電晶體(PFET)、n型場效應電晶體(NFET)。金屬氧化物半導體場效電晶體(metal-oxide semiconductor field effect transistor, MOSFET)、互補式金屬氧化物半導體(CMOS)電晶體、雙極接面電晶體(bipolar junction transistor, BJT)、橫向擴散MOS(laterally diffused MOS, LDMOS)電晶體、高壓電晶體、高頻電晶體、其它合適的部件或其組合。 為了清楚起見,簡化第2-18圖,以便更好理解本揭露的發明概念。可在裝置200內加入額外的特徵部件,並且可在裝置200的其它實施例中替換、修改或牌除以下所述的一些特徵部件。
根據第1-3圖,在操作步驟105處,接收一基底202。在所繪示的實施例,基底202為包括矽的塊材基底。其他或另外,基底202包括另一元素半導體(例如,鍺);一化合物半導體(例如,碳化矽、磷化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦);一合金半導體(例如,SiGe、SiPC、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GaInAsP);或其組合。另外,基底202為絕緣體覆半導體(例如,絕緣體覆矽 (silicon-on-insulator, SOI)基底、絕緣體覆矽鍺 (silicon germanium-on-insulator, SGOI)基底或絕緣體覆鍺 (germanium-on-insulator,GOI)基底。絕緣體覆半導體基底的製造可透過氧植入分離(separation by implantation of oxygen, SIMOX)、晶圓接合及/或其他合適的方法。 在一些實施方式中,基底202包括一或多種III-V族材料。在一些實施方式中,基底202包括一或多種II-IV族材料。
請參照第3圖,以不同的摻雜物摻雜基底202,以在其內形成各種摻雜區。在一些實施例中,基底202包括摻雜p型摻雜物(例如,硼(例如,BF2 ) 、銦、其他p型摻雜物或其組合)的p型摻雜區204(例如,p型井區)。基底202也包括摻雜n型摻雜物(例如,磷、砷、其它n型摻雜物,或其組合)的n型摻雜區206(例如,n型井區)。各種摻雜區可直接形成於基底202上及/或在基底202內。可進行離子佈植製程、擴散製程及/或其它合適的摻雜製程,以形成各種摻雜區。在所繪示的實施例中,p型摻雜區204(也稱為p型井區)配置於為n型場效應電晶體(NFET),因此p型摻雜區204也稱為NFET區204。n型摻雜區206(也稱為n型井區)配置於p型場效應電晶體(PFET),因此n型摻雜區206也被稱為PFET區206。在一些實施例中,PFET區(n型摻雜區)206包括濃度約在1×1013 cm-3 至1×1014 cm-3 的n型摻雜物(例如磷,以下用[P]表示),NFET區(p型摻雜區)204包括濃度約在1×1013 cm-3 至1×1014 cm-3 的p型摻雜物(例如硼,以下用[B]表示)。在一些實施例中,n型摻雜物的濃度與p型摻雜物的濃度實質上相等。這裡的用語“實質上相等”表示相等或小於20%的差異。在所繪示的實施例,n型及/或p型摻雜區形成於半導體鰭部的製造之前。在一些其它實施例中,n型及/或p型摻雜區形成半導體鰭部及/或隔離特徵部件的製造之後,此將於以下討論。
請參照第1及4-8圖,在操作步驟110處,在NFET區204及PFET區206中分別形成自基底202突出的半導體鰭部210-1及210-2(均稱為半導體鰭部210)。 半導體鰭部210的製造係有關於各種不同步驟,例如磊晶製程、沉積、微影、蝕刻等。請參照第4圖,首先,一第一半導體材料層208-1位於基底202上。在一些實施例中,第一半導體材料層208-1包括矽鍺(SiGe),其用於在PFET區206內形成p型半導體鰭部210-2(請參照第8圖)。在一些實施例中,第一半導體材料層208-1磊晶生長於基底202上。磊晶製程可實施為化學氣相沉積(chemical vapor deposition, CVD)技術(例如,氣相磊晶(vapor-phase epitaxy, VPE)、超高真空化學氣相沉積(ultra-high vacuum CVD, UHV-CVD)、低壓化學氣相沉積(low pressure CVD, LPCVD)及/或電漿增強化學氣相沉積(plasma-enhanced CVD, PECVD))、分子束磊晶(molecular beam epitaxy, MBE)、其它合適的選擇性磊晶生長(selective epitaxy growth, SEG)製程或其組合。磊晶製程可使用氣態及/或液態前驅物,其與基底202的組成相互作用。如第4圖所繪示,第一半導體材料層208-1可生長至高度H1。在一些實施例中,高度H1約在40nm至70nm。
請參照第5圖,仍於操作步驟110中,進行一微影製程,以自p型摻雜區204內去除第一半導體材料層208-1。微影製程包括:形成一阻劑層212於第一半導體材料層208-1上、進行一前曝烤製程、使用罩幕進行一曝光製程、進行一後曝烤製程以及進行一顯影製程。在曝光製程期間,阻劑層與輻射能量(例如紫外線(UV)、深紫外線(DUV)或極紫外線(EUV))接觸,其中根據罩幕的罩幕圖案及/或罩幕類型(例如二元罩幕、相移罩幕或EUV罩幕),罩幕阻擋、透射及/或反射輻射至阻劑層,使對應於罩幕圖案的圖像投射至阻劑層212上。 由於阻劑層212對輻射能量敏感,阻劑層的接觸部分會發生化學變化,並且於顯影製程期間根據阻劑層的特性及顯影製程期間使用的顯影液的特性,阻劑層的接觸(或非接觸)部分溶解。 顯影後,圖案化的阻劑層212包括對應於罩幕的阻劑圖案。蝕刻製程以圖案化阻劑層212作為蝕刻罩幕,去除位於p型摻雜區204上第一半導體材料層208-1的部分。蝕刻製程可包括乾式蝕刻製程(例如,反應離子蝕刻(reactive ion etching, RIE)製程)、濕式蝕刻製程、其他合適的蝕刻製程或其組合。 在蝕刻製程之後,例如透過阻劑剝離製程將圖案化的阻劑層自第一半導體材料層208-1移除。
請參照第6圖,仍於操作步驟110中,形成一第二半導體材料層208-2於基底202及第一半導體材料層208-1上。在一些實施例中,第二半導體材料層208-2包括矽(Si),相同於基底202的材料,且用於形成n型半導體鰭部210-1於NFET區204內 (請參照第8圖)。在一些實施例中,第二半導體材料層208-2磊晶生長於基底202及第一半導體材料層208-1上。磊晶製程的實施可為化學氣相沉積(CVD)技術(例如,氣相磊晶(VPE)、超高真空化學氣相沉積(UHV-CVD)、低壓化學氣相沉積(LPCVD)及/或電漿增強化學氣相沉積(PECVD))、分子束磊晶(MBE)、其它合適的選擇性磊晶生長(SEG)製程或其組合。磊晶製程可使用氣態及/或液態前驅物,其與基底202的組成相互作用。。
請參照第7圖,對裝置200的頂部加一平坦化製程。平坦化製程可包括化學機械研磨(chemical mechanical polishing, CMP)製程,以去除第二半導體材料層208-2的多餘材料,使第一半導體層208-1自裝置200的上表面露出。如第7圖所示,第二半導體材料層208-2形成於NFET區204上,而第一半導體材料層208-1形成於PFET區206上。在一些實施例中,第一半導體材料層208-1及第二半導體材料層208-2(形成於對應摻雜區上)可包括與上述對應摻雜區內的摻雜物相同的摻雜物。舉例來說,在所繪示的實施例中,第一半導體材料層208-1包括SiGe,以形成p型半導體鰭部於PFET區206上,PFET區206的n型摻雜物(例如,[P])可向上移動並擴散至第一半導體材料層208-1內。第二半導體材料層208-2包括Si,以形成n型半導體鰭部於NFET區204上,NFET區204的p型摻雜物(例如,[B])可向上移動並擴散至第二半導體材料層208-2內。在一些實施例中,第一半導體材料層208-1內的摻雜物濃度小於PFET區206內的摻雜物濃度,且第二半導體材料層208-2內的摻雜物濃度小於NFET區204內的摻雜物濃度。在一些其它實施例中,可對第一半導體材料層208-1及/或第二半導體材料層208-2進行額外的摻雜製程,以得到半導體鰭部210的設計摻雜濃度。第一半導體材料層2018-1及第二半導體材料層208-2內的摻雜物濃度可分別實質上等於或大於摻雜區(PFET區206及NFET區204)的摻雜物濃度。可對第一半導體材料層208-1及/或第二半導體材料層208-2進行離子佈植製程、擴散製程及/或其他合適的摻雜製程。
請參照第8圖,進行微影及/或蝕刻製程的組合,以定義自基底202延伸的半導體鰭部210-1及 210-2(如第2圖所繪示)。微影製程與以上關於第5圖所述的相似。首先,形成一圖案化的硬式罩幕214於半導體材料層208-1及208-2上。蝕刻製程使用圖案化硬式罩幕214作為蝕刻罩幕來去除部分的半導體材料層208-1及208-2,以定義半導體鰭部210-1及210-2。在所繪示的實施例中,半導體材料層208-1的餘留部分形成半導體鰭部210-2的上部210-2U,且半導體材料層208-2的餘留部分形成半導體鰭部210-1的上部210-1U。在所繪示的實施例中,也蝕刻基底202,以分別形成半導體鰭部210-1的下部210-1L及半導體鰭部210-2的下部210-2L。 蝕刻製程可包括乾式蝕刻製程(例如,反應離子蝕刻(RIE)製程)、濕式蝕刻製程、其他合適的蝕刻製程或其組合。在蝕刻製程之後,自半導體鰭部210-1及210-2去除圖案化的硬式罩幕214,例如,透過阻劑剝離製程。
或者,半導體鰭部210-1及210-2透過多重圖案化製程形成,例如,雙重圖案化微影(double patterning lithography, DPL)製程(例如,微影-蝕刻-微影-蝕刻(lithography-etch-lithography-etch, LELE)製程、自對準雙重圖案化(self-aligned double patterning, SADP)製程、間隔層為介電層(spacer-is-dielectric, SID) 自對準雙重圖案化(SADP)製程、其他雙重圖案化製程或其組合)、三重圖案化製程(例如,微影-蝕刻-微影-蝕刻-微影-蝕刻(LELELE)製程、自對準三重圖案化(self-aligned triple patterning, SATP)製程、其他三重圖案化製程或其組合)、其他多重圖案化製程(例如,自對準四重圖案化(self-aligned quadruple patterning, SAQP)製程)或其組合。在一些實施方式中,在形成半導體鰭部210-1及210-2的同時,實施定向自組裝(directed self-assembly, DSA)技術。再者,在一些實施例中,曝光製程可實施為無罩幕微影、極紫外微影(EUV)、電子束(e-beam)寫入、離子束寫入及/或奈米轉印(nanoimprint)技術來圖案化阻劑層及/或其他膜層。
如第8圖所示,n型半導體鰭部210-1中的每一者包括上部210-1U及下部210-1L,而p型半導體鰭部210-2中的每一者包括上部210-2U及下部210-2L。在一些實施例中,半導體鰭部210-1的上部210-1U的p型摻雜物(例如,[B])濃度小於半導體鰭部210-1的下部210-1L的p型摻雜物(例如,[B])濃度,而半導體鰭部210-2的上部210-2U的n型摻雜物(例如,[P])濃度小於半導體鰭部210-2的下部210-2L的n型摻雜物(例如,[P])濃度。在其他一些實施例中,半導體鰭部的上部的摻雜物濃度實質上等於或大於半導體鰭部的下部的摻雜物濃度。
半導體鰭部210(包括半導體鰭部210-1及210-2) 定向為實質上相互平行,每一鰭部具有以x方向定義的寬度、以y方向定義的長度及以z方向定義的高度。本揭露考慮了可能從製程及製造中產生的半導體鰭部210的高度、寬度及長度的變化。舉例來說,在第8圖中,半導體鰭部210的寬度自半導體鰭部210的上表面變化至半導體鰭部210的下表面。在一些實施例中,寬度並非逐漸變細,使得半導體鰭部210中的至少一者沿其高度具有實質上相同的寬度。在一些實施例中,上部210-1U及210-2U的高度H1約在40nm至70nm。在一些實施例中,半導體鰭部210-1及210-2的高度H2(自凹陷的基底202的上表面測量)約在90nm至150nm。在一些實施例中,n型電晶體的相鄰半導體鰭部210-1之間的間距距離小於p型電晶體的相鄰半導體鰭部210-2之間的間距距離。舉例來說,如第8圖所示,n型電晶體的相鄰半導體鰭部210-1之間的間距距離D1約在20nm至35nm,p型電晶體的相鄰半導體鰭部210-2之間的間距距離D2約在25nm至50nm。
半導體鰭部210各自具有至少一通道區、至少一源極區及至少一汲極區,沿其長度的y方向,其中通道區位於源極區與汲極區(一般稱為源極/汲極區)之間。在所繪示的實施例,包括Si及摻雜[B]的半導體鰭部210-1的上部210-1U形成NFET的通道區,包括SiGe及摻雜[P]的半導體鰭部210-2的上部210-2U形成p型電晶體的通道區。通道區將與閘極結構(稍後形成)嚙合,使進行操作期間電流可於源極/汲極區之間通過。在所繪示的實施例,半導體鰭部210的上部(例如,210-1U或210-2U)中的每一者都包括一半導體層。在一些其它實施例中,半導體鰭部210的上部可包括一半導體層堆疊,具有位於下部上的各種半導體層(例如,異質結構)。 半導體層可包括任何合適的半導體材料,例如矽、鍺、矽鍺、其他合適的半導體材料或其組合。半導體層可包括相同或不同的材料、蝕刻速率、成分原子百分比、成分重量百分比、厚度及/或配置。在一些實施例中,半導體層堆疊包括交替的半導體層,例如由第一材料構成的半導體層及由第二材料構成的半導體層。 舉例來說,半導體層堆疊為交替的矽層及矽鍺層(例如,由下而上為SiGe/Si/SiGe/SiGe/Si)。 在一些實施方式中,半導體層堆疊包括相同材料但具有交替的成分原子百分比的半導體層,例如具有第一原子百分比的成分的半導體層及具有第二原子百分比的成分的半導體層。舉例來說,半導體層堆疊包括矽鍺層,具有交替的矽及/或鍺原子百分比的 (例如,由下而上為Sia Geb /Sic Ged /Sia Geb /Sic Ged /Sia Geb /Sic Ged ,其中a、c為不同的矽原子百分比,b、d為不同的鍺原子百分比)。
現在請參照第1及9圖,在操作步驟115中,沉積一第一襯層220於半導體鰭部210(包括半導體鰭部210-1及210-2)上。在一些實施例中,第一襯層220為一介電層,包括含氧介電材料。舉例來說,第一襯層220包括二氧化矽(SiO2 )。由於半導體鰭部210-1之間小的間距距離D1(例如,約在20nm至35nm)及半導體鰭部210-2之間小的間距距離D2(例如,約在25nm至50nm),第一襯層220的厚度T1受到限制。在一些實施例中,第一襯層220順應性沉積於半導體鰭部210-1及210-2以及基底202上。亦即,其於半導體鰭部210的上表面上、沿半導體鰭部210的側壁上以及於基底202的上表面上具有約為相同的厚度。舉例來說,第一襯層220透過原子層沉積(atomic layer deposition, ALD)進行沉積,使得第一襯層220於在半導體鰭部210-1及210-2的上表面上、沿半導體鰭部210-1及210-2的側壁延伸以及於基底202的上表面上具有約為相同的厚度T1。在一些實施例中,厚度T1約在2nm至4nm,使其厚至足以保護半導體鰭部210-1內的p型摻雜物(例如[B])不因電荷而失去作用,且使其薄至足以確保在相鄰的半導體鰭部210-1及210-2之間具有足夠空間用以形成第二襯層及隔離特徵部件。
在一些實施例中,在沉積第一襯層220之後,可進行退火製程以強化第一襯層220。舉例來說,以無氧氣體(例如,氮氣(N2 ))加熱裝置200至約在900°C至1100°C的溫度,使得第一襯層220的矽原子能以更好的方式排列,且第一襯層220能與半導體鰭部210有更好的接合。
現在請參照第1及10圖,在操作步驟120中,沉積一第二襯層222於第一襯層220上。在一些實施例中,第二襯層222為一介電層,包括含氮介電材料,其比第一襯層220更為緻密。舉例來說,第二襯層222包括氮化矽(Si3 N4 )、碳化矽(SiCN)及/或氮氧化矽(SiON)。在一些實施例中,第二襯層222可包括n型摻雜物(例如[P])或p型摻雜物(例如[B])。由於半導體鰭部210-1之間小的間距距離D1(例如,約在20nm至35nm)及半導體鰭部210-2之間小的間距距離D2(例如,約在25nm至50nm),第二襯層222的厚度T2受到限制。在一些實施例中,第二襯層222順應性沉積於第一襯層220上。亦即,於第一襯層220的上表面上、於第一襯層220的下表面上以及沿第一襯層220的側壁上具有約為相同的厚度。舉例來說,第二襯層222透過原子層沉積(ALD)進行沉積,使得第二襯層220於第一襯層220的上表面及下表面上以及沿著第一襯層220的側壁延伸具有約為相同的厚度T2。在一些實施例中,厚度T2約在1nm至3nm,其厚至足以保護PFET的通道區(例如,半導體鰭部210-2的上部210-2U)不被後來形成的隔離特徵部件氧化,且薄至足以確保在相鄰的半導體鰭部210-1及210-2之間具有足夠的空間用以形成隔離特徵部件。
現在請參照第1、11及12圖,在操作步驟125中,去除形成於第一襯層220上並位於半導體鰭部210-1上部分的第二襯層222。換句話說,去除形成於NFET區204的第二襯層222的部分,使得位於NFET區204的第一襯層220露出。在一些實施例中,去除NFET區204的第二襯層222牽涉許多步驟。舉例來說,請參照第11圖,形成一圖案化的硬式罩幕224於PFET區206上。硬式罩幕224透過如上所述的微影製程進行圖案化。硬式罩幕224可保護位於PFET區206的第二襯層222、第一襯層220及半導體鰭部210-2不受影響,同時去除位於NFET區204的第二襯層222。在一些實施例中,由於製程控制能力,圖案化的硬式罩幕224可在x方向上具有一位移距離S(例如,向左、向右或向兩側位移(如第11圖所示)。在一些實施例中,位移比(亦即,位移距離S對最靠近的NFET的半導體鰭部210-1與PFET的半導體鰭部210-2之間的距離D3的比值)小於約30%。舉例來說,最接近的NFET的半導體鰭部210-1與PFET的半導體鰭部210-2的中心之間的距離D3約在30nm至100nm,且位移距離S小於約15nm。
之後,請參照第12圖,對位於NFET區204的第二襯層222進行去除製程。由於第二襯層222的材料(例如,Si3 N4 )及第一襯層220的材料(例如,SiO2 )提供不同的蝕刻選擇比,因此可選擇性去除位於NFET區204的第二襯層222部分。在一些實施例中,選擇性去除製程為選擇性蝕刻製程,包括乾式蝕刻製程、濕式蝕刻製程、其他蝕刻製程或其組合。在一些進一步實施例中,選擇性蝕刻製程為使用磷酸(H3 PO4 )的選擇性乾式蝕刻製程。之後,透過合適的製程去除硬罩幕224。如第12圖所示,在操作步驟125之後,NFET的半導體鰭部210-1形成於NFET區204內,且覆蓋了第一襯層220,而非第二襯層222。PFET的半導體鰭部210-2形成於PFET區206內,且不僅覆蓋了第一襯層220,還覆蓋了第二襯層222。 第一襯層220及第二襯層222形成一襯層結構。換句話說,襯層結構包括位於NFET區204的半導體鰭部210-1上及PFET區206的半導體鰭部210-2上的第一襯層220以及位於PFET區206的半導體鰭部210-2上的第二襯層222。在一些實施例中,由於製程控制能力,第二襯層222位移至NFET區204,並覆蓋位於基底202上一部分的第一襯層220。第二襯層222的位移比定義為位移距離S對最接近的NFET鰭部210-1與PFET鰭部210-2之間的距離D3的比值(亦即,最接近的NFET的半導體鰭部210-1與PFET的半導體鰭部210-2的中心之間的距離)。在一些實施例中,第二襯層222的位移比小於約30%。舉例來說,最接近的NFET的移鰭部210-1與PFET的移鰭部210-2的中心之間的距離D3約在30nm至100nm,且位移距離S小於約15nm。
在傳統的半導體裝置中,襯層結構可只包括一層(例如,SiO2 襯層或Si3 N4 襯層)。然而,無論是SiO2 襯層還是Si3 N4 襯層都有其問題。舉例來說,若只使用SiO2 襯層,則無法阻擋後來形成的隔離特徵部件的氧離子擴散至PFET的SiGe通道內而與其反應。 因此,PFET的SiGe通道會氧化,PFET的效能會下降。另一示例中,若只使用Si3 N4 襯層,其可保護PFET的SiGe通道不被氧化。 然而,Si3 N4 襯層內含有電荷,這可能會導致NFET中的p型摻雜物(例如,[B])失去作用,因而降低NFET的效能。
然而,在本揭露的實施例中,襯層結構包括二個襯層,第一襯層220包括含氧介電材料,並位於PFET及NFET兩者的半導體鰭部上,第二襯層222包括含氮介電材料,並位於PFET的半導體鰭部上。因此,NFET(亦即,p型井區)的摻雜物(例如,[B])受到含氧第一襯層220的保護,並防止第二襯層222的電荷使其失去作用,使得NFET的通道可更加均勻,且較佳的p型井區摻雜物穩定性可減輕CMOS的閂鎖(短路)問題。第二襯層222可阻擋隔離特徵部件的氧離子與PFET的SiGe通道反應,以減輕PFET的SiGe通道的氧化問題。因此,可提高PFET的遷移率。因此,半導體裝置的NFET及PFET的效能可得到改善。對於SRAM來說,雙襯層結構可提高下拉電晶體(亦即,NFET)的閾值電壓及漏電流的穩定性以及上拉電晶體(亦即,PFET)的遷移率。因此,SRAM可在較低的電壓下進行操作,而在操作期間消耗較少的功率。
現在請參照第1、13及14圖,在操作步驟130中,形成一隔離特徵部件230於基底202上,以隔離裝置200的各個不同區域。舉例來說,隔離特徵部件230電性隔離裝置200的主動裝置區域及/或被動裝置區域。 隔離特徵部件230進一步將半導體鰭部210(包括半導體鰭部210-1及210-2)彼此分開及隔離。隔離特徵部件230包括隔離材料,例如氧化矽、氮化矽、氮氧化矽、其他合適的隔離材料(例如,包括矽、氧、氮、碳或其他合適的隔離成分),或其組合。隔離特徵部件230可包括不同的結構,例如淺溝槽隔離(STI)結構、深溝隔離(deep trench isolation, DTI)結構及/或局部矽氧化(local oxidation of silicon, LOCOS)結構。
請參照第13圖,在一些實施例中,隔離特徵部件230可透過使用化學氣相沉積(CVD)、PVD、熱氧化製程或旋塗玻璃製程,將絕緣材料(例如,氧化矽)填入半導體鰭部210-1與210-2之間的間隙(溝槽)而形成。之後,請參照第14圖,回蝕刻絕緣材料,以形成隔離特徵部件230。蝕刻製程可包括乾式蝕刻製程、濕式蝕刻製程、其他蝕刻製程或其組合。並且,可進行化學機械研磨(CMP)製程,以去除多餘的絕緣材料及/或使隔離特徵部件230的上表面平坦化。如第14圖所繪示,在NFET區204,對於NFET來說,隔離特徵部件230位於第一襯層220上,且在PFET區206,對於PFET來說,隔離特徵部件230位於第二襯層222上,且進一步位於第一襯層220上。隔離特徵部件230將半導體鰭部210-1的下部210-1L與半導體鰭部210-2的下部210-2L隔離。
此處,以隔離特徵部件230包括氧化矽為例。在隔離特徵部件的形成期間,絕緣材料中的氧離子可能導致PFET的SiGe通道氧化。 然而,在本揭露的實施例中,PFET的SiGe通道受到包括SiO2 的第一襯層及包括Si3 N4 的第二襯層兩者的保護。包括Si3 N4 的第二襯層可阻擋絕緣材料中的氧離子擴散至PFET的SiGe通道中而與其反應,因此可減輕PFET的通道氧問題而改善PFET的效能。
現在請參照第1及15-17圖,在操作步驟135中,形成一金屬閘極結構250於基底202上(也請參照第2圖)。 使用閘極取代製程形成金屬閘極結構250。舉例來說,請參照第15圖,形成一虛置閘極結構240於半導體鰭部210的通道區上,特別是形成於隔離特徵部件230上、位於NFET區204的第一襯層220上及位於PFET區206的第二襯層222上。在一些實施例中,虛置閘極結構240可包括由多晶矽及各種其它膜層(例如,位於虛置閘極電極上方的硬罩幕層,以及位於半導體鰭部210及隔離特徵部件230上方及虛置閘極電極下方的界面層)。虛置閘極結構240作為後續形成的金屬閘極結構250的預留位置區。
請參照第16圖,在形成裝置200的其它結構(例如,磊晶S/D特徵部件及層間介電(interlayer dielectric, ILD)層,未繪示)之後,使用一或多道蝕刻製程去除虛置閘極結構,因而留下一開口於半導體鰭部210的通道區上,此開口露出位於NFET區204的第一襯層220及位於PFET區206的第二襯層222。隨後,可透過蝕刻製程選擇性去除位於隔離特徵部件230上的第二襯層222部分(亦即,包圍半導體鰭部210-2的頂部210-2U的第二襯層222部分)。 蝕刻製程可包括乾式蝕刻製程、濕式蝕刻製程、其他蝕刻製程或其組合。在一些進一步的實施例中,選擇性蝕刻製程為使用磷酸(H3 PO4 )的選擇性乾式蝕刻製程。之後,透過蝕刻製程(例如,乾式蝕刻製程、濕式蝕刻製程、其他蝕刻製程或其組合),去除位於隔離特徵部件230上的第一襯層220部分(亦即,包裹半導體鰭部210-1的頂部210-1U及半導體鰭部210-2的頂部210-2U的第一襯層220部分)。 因此,露出半導體鰭部210-1的頂部210-1U及半導體鰭部210-2的頂部210-2U,如第16圖所示。在其他一些實施例中,在形成隔離特徵部件230之後且在形成虛置閘極結構240之前,去除上述位於隔離特徵部件上的第二襯層222部分及第一襯層220部分。
請參照第17圖,接著形成一金屬閘極結構250於半導體鰭部210的通道區上,以取代虛置閘極結構240。在一些實施例中,金屬閘極結構250包括一閘極介電層252位於隔離特徵部件230上、位於NFET區204的半導體鰭部210-1上以及位於PFET區206的半導體鰭部210-2上。金屬閘極結構250更包括一閘極電極254位於閘極介電層252上。如第17圖所繪示,閘極介電層252的底部直接接觸隔離特徵部件230的上表面、位於NFET區204的第一襯層220的上表面以及位於PFET區206的第一襯層220及第二襯層222的上表面。閘極介電層252的側邊部包圍半導體層210的頂部。在一些實施例中,閘極介電層252可包括高k值介電材料。在一些實施例中,閘極介電層252可為單層介電層或多層介電層。在一些實施例中,閘極電極254可包括含金屬材料。在一些實施例中,閘極電極254可包括功函數金屬(work function metal, WFM)及一塊材金屬。功函數金屬(WFM)係用以調整所對應的電晶體的功函數,以獲取所需的閾值電壓Vt。並且,塊材金屬係用以作為功能性閘極結構的主要導電部分。在一些實施例中,金屬閘極結構250可包括其他膜層,例如界面層、阻障層、硬式罩幕層等。金屬閘極結構250的製作包括多樣製程,例如原子層沉積(ALD)、化學氣相沉積(CVD)、PVD及/或其他合適的製程。可進行一平坦化(例如,化學機械研磨(CMP))製程,以去除金屬閘極結構的任何多餘材料,並平坦化金屬閘極結構250的上表面。
請參照第1及18圖,在操作步驟140中,可形成各種其他特徵部件以完成製造。舉例來說,可形成分離特徵部件256於金屬閘極結構250內。分離特徵部件256的位置由裝置200的設計要求決定(例如,在所繪示的實施例中,裝置200為SRAM)。在一些實施例中,分離特徵部件256包括介電材料(例如SiN、SiO、SiCN、SiOCN、SiOC、其它介電材料或其組合),且透過任何合適的製程(例如,圖案化製程、蝕刻製程及/或沉積製程)形成。如第18圖所繪示,分離特徵部件256插入隔離特徵部件230內,以確保位於不同區域(NFET區204及PFET區206)的閘極結構250完全分開。在一些實施例中,隔離特徵部件230的厚度T6(在z方向上)約在30nm至120nm。並且,隔離特徵部件256插入隔離特徵部件230的上表面下方的深度T5(在z方向上)約在0nm至150nm。在插入深度T5大於隔離特徵部件230的厚度T6的情況下,分離特徵部件256進一步插入基底202中,分離特徵部件256可接觸第一襯層220及/或第二襯層222的側壁。
仍在操作步驟140中,形成多層內連接特徵部件(例如,金屬層及層間介電層(例如,層間介電(ILD)層258)),以及接點(contact)/介層連接(via)260於裝置200上,用以連接各種不同的特徵部件,以形成一功能電路(其可包括單一或多閘極裝置)。
第19圖繪示出根據本揭露的一些其它實施例之半導體裝置2000(以下稱為裝置2000) 的製造方法1900的另一流程圖。方法1900僅為一示例,並未限制本揭露的內容超出請求項中明確記載的範圍。可於方法1900之前、期間及之後進行額外的操作步驟,且所述的一些操作步驟可在額外的方法實施例中被替換、排除或移動。以下結合其他圖式(例如,第20-25圖)說明方法1900,這些圖式繪示出方法1900的中間步驟期間裝置2000的各種剖面示意圖。方法1900的操作步驟中標號相同於方法100表示相同的操作步驟。 裝置2000的特徵部件中標號相同於裝置200的特徵部件表示相同的結構及材料以及相同的製造方法,除非以下另有說明。
方法1900與方法100相似,除了移除操作步驟125以外。如上所述,在操作步驟125中,去除形成於第一襯層220上及半導體鰭部210-1上的第二襯層222部分(位於NFET區204)。然而,為了簡化製造,在此方法1900中,形成於半導體鰭部210-1上的第二襯層222維持不變。 因此,請參照第20圖,形成第二襯層222於NFET區204及PFET區206內的第一襯層220上。由於半導體鰭部210-1之間的小間距距離D1及半導體鰭部210-2之間的小間距距離D2,裝置2000的第一襯層220的厚度T3及第二襯層222的厚度T4都會受到限制。在一些實施例中,厚度T3約在1nm至2nm,厚度T4約在1nm至2nm。並且,厚度T3及厚度T4加總約在2nm至4nm。 裝置2000的第一襯層220及第二襯層222的厚度薄於裝置200,使得第一襯層220及第二襯層222各自具有功能(例如,第一襯層可阻擋使NFET的摻雜物失去作用的電荷,第二襯層可減輕PFET的SiGe通道的氧化問題),且第一襯層及第二襯層的總厚度不會太厚而不至於佔用半導體鰭部之間太多的空間。
如第20圖所繪示,第二襯層222位於裝置2000的NFET及PFET的第一襯層220上。裝置2000的第一襯層220的厚度T3薄於裝置200的第一襯層220,因此NFET的摻雜物(例如[B])仍可能受第二襯層222的電荷略微影響(亦即,失去作用)。為了減輕此問題,當形成基底202及/或半導體鰭部210的摻雜區時,NFET通道及/或NFET區(p型摻雜區)204的摻雜濃度可大於PFET通道及/或PFET區(n型摻雜區)206的摻雜濃度。舉例來說,NFET鰭部及/或NFET區204的摻雜濃度約為PFET鰭部及/或PFET區206的摻雜濃度的1.2至1.5倍。
請參照第21圖,關於裝置2000,隔離特徵部件230於NFET區204及PFET區206內的第二襯層222上。
請參照第22-24圖,金屬閘極結構250形成於半導體鰭部210的通道區上。舉例來說,請參照第22圖,形成一虛置閘極結構240於NFET區204及PFET區206內的隔離特徵部件230及第二襯層222上。請參照第23圖,在形成磊晶S/D特徵部件及層間介電(ILD)層(未繪示)之後,去除虛置閘極結構240。之後,接著去除包圍半導體鰭部210的頂部的第二襯層222部分及第一襯層220部分。因此,露出半導體鰭部210的頂部。然後,請參照第24圖,形成包括閘極介電層252及閘極電極254的金屬閘極結構250而與半導體鰭部210的通道區嚙合。在所繪示的裝置2000的實施例中,閘極介電層252的底部接觸位於NFET區204及PFET區206的隔離特徵部件230的上表面以及第一襯層220與第二襯層222的上表面。
請參照第25圖,可形成各種其他特徵部件以完成製造。舉例來說,可根據裝置2000的設計要求,形成分離特徵部件256於金屬閘極結構250內。多層內連接特徵部件(例如,金屬層及層間介電層(例如,層間介電(ILD)層258))以及接點/介層連接260形成於裝置200上,用以連接各種特徵部件以形成一功能電路(其可包括單一或多閘極裝置)。
儘管並未加以限制,然而本揭露的一或多個實施例為半導體裝置及其形成製程提供了諸多益處。舉例來說,本揭露的實施例提供了一種半導體裝置,其具有第一襯層及第二襯層形成於半導體鰭部與隔離特徵部件(例如,淺溝槽隔離(STI)特徵部件)之間。第一襯層(例如,包括SiO2 )可阻擋第二襯層的電荷(其會使NFET區內的摻雜物(例如,[B])失去作用),而第二襯層(例如,包括Si3 N4 )可保護PFET的 SiGe通道不被隔離特徵部件所氧化。在一些實施例中,去除位於NFET區內的第二襯層,以減少NFET區的摻雜物失去作用的問題。因此,半導體裝置的PFET及NFET的效能均可得到改善。上述製造製程可整合於現行的製程流程中,並且可應用於多個技術世代。
本揭露提供了許多不同的實施例。此處揭露了半導體裝置及其製造方法。一種示例性的半導體裝置包括:一基底,具有一第一區及一第二區;一第一半導體鰭部,形成於第一區內的基底上;一第二半導體鰭部,形成於第二區內的基底上;一第一襯層,沿第一半導體鰭部的一下部及第二半導體鰭部的下部設置;一第二襯層,位於第二區內的第一襯層上,其中第二襯層與第一襯層的組成不同;以及一隔離特徵部件,位於第一區內的第一襯層上及第二區內的第二襯層上,並將第一半導體鰭部的下部與第二半導體鰭部的下部分開。
在一些實施例中,第一半導體鰭部摻雜一p型摻雜物以形成一n型場效電晶體(FET)的一通道,而第二半導體鰭部摻雜一n型摻雜物以形成一p型場效電晶體(FET)的一通道。在一些實施例中,第一襯層包括一第一介電材料,有效阻止位於第一半導體鰭部的p型摻雜物失去作用;以及一第二介電材料,有效阻止氧氣擴散至第二半導體鰭部內。在一些實施例中,第一襯層包括二氧化矽(SiO2 ),而第二襯層包括氮化矽(Si3 N4 )。在一些實施例中,第一襯層於第一半導體鰭部及第二半導體鰭部上具有一均勻的厚度;以及第二襯層於第二區的第一襯層上具有一均勻的厚度。在一些實施例中,第一襯層的一厚度約在2nm至4nm,而第二襯層的一厚度約在1nm至3nm。
在一些實施例中,半導體裝置更包括:一金屬閘極結構,包括一閘極介電層及一閘極電極,其中閘極介電層形成於隔離特徵部件的一上表面、第一區的第一襯層的一上表面以及第二區的第二襯層的一上表面,且閘極電極形成於閘極介電層上。
在一些實施例中,半導體裝置更包括一介電分離特徵部件,位於第一區與第二區之間的金屬閘極結構內,其中介電分離特徵插入隔離特徵部件。
在一些實施例中,介電隔離特徵部件更插入至基底內,且接觸第一襯層的側壁及第二襯層的側壁。在一些實施例中,第二襯層於第一區的一部分的第一襯層上位移,且第二襯層於第一區的上述部分的第一襯層上的一移位距離小於第一半導體鰭部與第二半導體鰭部之間的一距離的約30%。
一種示例性半導體裝置之製造方法包括:於一基底的一第一區內形成一第一半導體鰭部及於基底的一第二區內形成一第二半導體鰭部,其中第一半導體鰭部包括一第一型摻雜物,而第二半導體鰭部包括一第二型摻雜物;於第一半導體鰭部上及第二半導體鰭部上沉積一第一襯層;於第一襯層上沉積一第二襯層;去除位於第一區的第二襯層,以露出位於第一區域的第一襯層;以及直接於第一區的第一襯層上及直接於第二區域的第二襯層上形成一隔離特徵部件,以隔離第一半導體鰭部沉積第二襯層及第二半導體鰭部的下部區。
在一些實施例中,第一半導體鰭部包括具有一第一摻雜濃度的第一型摻雜物,第二半導體鰭部包括具有一第二摻雜濃度的第二型摻雜物,且第一摻雜濃度與第二摻雜濃度實質上相同。
在一些實施例中,沉積第一襯層包括透過原子層沉積順應性沉積第一襯層;以及沉積第二襯層包括透過原子層沉積順應性沉積第二襯層。
在一些實施例中,第一襯層的沉積包括沉積一第一介電層,其包括有效阻止第一半導體鰭部內的p型摻雜物失去作用的一第一介電材料;以及第二襯層的沉積包括沉積一第二介電層,其包括有效阻止氧擴散至第二半導體鰭部的一第二介電材料。
在一些實施例中,去除位於第一區的第二襯層包括:於第二區上沉積一硬式罩幕;於第二區覆蓋硬式罩幕同時,選擇性蝕刻位於第一區的第二襯層;以及去除位於第二區上的硬式罩幕。
在一些實施例中,上方法更包括:在沉積第二襯層之前對第一襯層進行一退火製程。
在一些實施例中,上述方法更包括:去除位於隔離特徵部件上方的第一襯層部分及第二襯層部分;以及於隔離特徵部件上、位於第一區的第一襯層的一上表面上以及位於第二區的第二襯層的一上表面上形成一金屬閘極結構,其中金屬閘極結構圍繞第一半導體鰭部及第二半導體鰭部的通道。
在一些實施例中,上述方法更包括:於隔離特徵部件上、位於第一區的第一襯層上以及位於第二區的第二襯層上形成一虛置閘極結構;於第一區及第二區內形成多個磊晶源極/汲極特徵部件;以及去除虛置閘極結構,以露出位於第一區的第一襯層及位於第二區的第二襯層。
另一示例性半導體裝置包括:一基底,具有一第一區及一第二區;一第一半導體鰭部,形成於基底上且位於第一區內;一第二半導體鰭部,形成於基底上且位於第二區內;一第一襯層,直接位於基底上且沿著位於第一區的第一半導體鰭部的一下部的多個側壁;一第二襯層,位於基底上且沿著位於第二區的第二半導體鰭部的一下部的多個側壁;以及一隔離特徵部件,直接位於第一區域內的第一襯層上及第二區內的第二襯層上。
在一些實施例中,半導體裝置更包括:一第一金屬閘極結構,位於第一半導體鰭部的一頂部,其中第一金屬閘極結構包括一第一閘極介電層及位於第一閘極介電層上的一第一閘極電極,第一閘極介電層的一底部直接接觸位於第一區的第一襯層的一上表面;以及一第二金屬閘極結構,位於第二半導體鰭部的一頂部,其中第二金屬閘極結構包括一第二閘極介電層及位於第二閘極介電層上的一第二閘極電極,第二閘極介電層的一底部直接接觸位於第二區的第二襯層的一上表面。
以上概略說明瞭本發明數個實施例的特徵,使所屬技術領域中具有通常知識者對於本揭露的型態可更為容易理解。任何所屬技術領域中具有通常知識者應瞭解到可輕易利用本揭露作為其它製程或結構的變更或設計基礎,以進行相同於此處所述實施例的目的及/或獲得相同的優點。任何所屬技術領域中具有通常知識者也可理解與上述等同的結構並未脫離本揭露之精神及保護範圍,且可於不脫離本揭露之精神及範圍,當可作更動、替代與潤飾。
100,1900:方法 105,110,115,120,130,135,140:操作步驟 200,2000:裝置 202:基底 204:NFET區/p型摻雜區 206:PFET區/n型摻雜區 208-1:(第一)半導體材料層 208-2:(第二)半導體材料層 210,210-1,210-2:半導體鰭部210 210-1L,210-2L:下部 210-1U,210-2U:上部 212:阻劑層 214,224:硬式罩幕 220:第一襯層 222:第二襯層 230:隔離特徵部件 240:虛置閘極結構 250:金屬閘極結構 252:閘極介電層 254:閘極電極 256:分離特徵部件 258:層間介電(ILD)層 260:接點/介層連接 D1,D2:間距距離 D3:距離 H1,H2:高度 S:位移距離 T1,T2,T3,T4,T6:厚度 T5:深度
第1圖繪示出根據本揭露的一些實施例的示例性半導體裝置的製造方法的流程圖; 第2圖繪示出根據本揭露的一些實施例的示例性半導體裝置的簡化平面示意圖; 第3-18圖繪示出根據本揭露的一些實施例於第1圖的示例性方法的中間階段中沿第2圖中A-A’線的示例性半導體裝置的區塊B中的剖面示意圖; 第19圖繪示出根據本揭露的一些其它實施例的另一示例性半導體裝置的製造方法的流程圖;以及 第20-25圖繪示出根據本揭露的一些其它實施例於第19圖的示例性方法的中間階段中其他示例性半導體裝置的剖面示意圖。
200:裝置
202:基底
204:NFET區/p型摻雜區
206:PFET區/n型摻雜區
210-1,210-2:半導體鰭部210
220:第一襯層
222:第二襯層
230:隔離特徵部件
250:金屬閘極結構
252:閘極介電層
254:閘極電極
256:分離特徵部件
258:層間介電(ILD)層
260:接點/介層連接
T1,T2,T6:厚度
T5:深度

Claims (1)

  1. 一種半導體裝置,包括: 一基底,具有一第一區及一第二區; 一第一半導體鰭部,形成於該第一區內的該基底上; 一第二半導體鰭部,形成於該第二區內的該基底上; 一第一襯層,沿第該一半導體鰭部的一下部及該第二半導體鰭部的一下部設置; 一第二襯層,位於該第二區內的該第一襯層上,其中該第二襯層與該第一襯層的組成不同;以及 一隔離特徵部件,位於該第一區內的該第一襯層上及該第二區內的該第二襯層上,並將該第一半導體鰭部的該下部與該第二半導體鰭部的該下部分開。
TW110106274A 2020-02-26 2021-02-23 半導體裝置 TW202147567A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/801,576 US11133230B2 (en) 2020-02-26 2020-02-26 Semiconductor device with dual isolation liner and method of forming the same
US16/801,576 2020-02-26

Publications (1)

Publication Number Publication Date
TW202147567A true TW202147567A (zh) 2021-12-16

Family

ID=76709292

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110106274A TW202147567A (zh) 2020-02-26 2021-02-23 半導體裝置

Country Status (3)

Country Link
US (1) US11133230B2 (zh)
CN (1) CN113113466A (zh)
TW (1) TW202147567A (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11527653B2 (en) * 2020-07-22 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
CN114765171A (zh) * 2021-01-14 2022-07-19 联华电子股份有限公司 半导体结构及其制作方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
KR102476356B1 (ko) * 2015-10-07 2022-12-09 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9947675B1 (en) * 2016-09-29 2018-04-17 International Business Machines Corporation Mask-programmable ROM using a vertical FET integration process

Also Published As

Publication number Publication date
US20210265224A1 (en) 2021-08-26
US11133230B2 (en) 2021-09-28
CN113113466A (zh) 2021-07-13

Similar Documents

Publication Publication Date Title
US11749720B2 (en) Integrated circuit structure and method with solid phase diffusion
KR102316935B1 (ko) 반도체 디바이스의 상이한 영역에서 상이한 유전 상수 및 크기를 가지는 유전체 핀들
US11367663B2 (en) Interconnect structure for fin-like field effect transistor
US9196543B2 (en) Structure and method for finFET device
US11955425B2 (en) Interconnect structure for logic circuit
US9070742B2 (en) FinFet integrated circuits with uniform fin height and methods for fabricating the same
CN108807381B (zh) Finfet器件及其制造方法
US10522633B2 (en) Methods and structures of novel contact feature
US9865515B2 (en) Ion implantation methods and structures thereof
US11856746B2 (en) Well strap structures and methods of forming the same
CN114556546A (zh) 通过选择性外延再生长的环绕式栅极输入/输出的形成方法
US11610980B2 (en) Method for processing a FinFET device
TW202147567A (zh) 半導體裝置
US20230094466A1 (en) Nanosheet transistors with buried power rails
US20240055424A1 (en) Nanosheet devices and methods of fabricating the same
US20240147685A1 (en) Semiconductor device structure and methods of forming the same
TW202349722A (zh) 半導體結構及其製造方法