TW202143491A - 半導體結構及其形成方法 - Google Patents

半導體結構及其形成方法 Download PDF

Info

Publication number
TW202143491A
TW202143491A TW110115265A TW110115265A TW202143491A TW 202143491 A TW202143491 A TW 202143491A TW 110115265 A TW110115265 A TW 110115265A TW 110115265 A TW110115265 A TW 110115265A TW 202143491 A TW202143491 A TW 202143491A
Authority
TW
Taiwan
Prior art keywords
dielectric layer
source
interlayer dielectric
drain
contact
Prior art date
Application number
TW110115265A
Other languages
English (en)
Other versions
TWI827923B (zh
Inventor
黃玉蓮
王冠人
傅勁逢
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202143491A publication Critical patent/TW202143491A/zh
Application granted granted Critical
Publication of TWI827923B publication Critical patent/TWI827923B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4821Flat leads, e.g. lead frames with or without insulating supports
    • H01L21/4828Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76886Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances
    • H01L21/76892Modifying permanently or temporarily the pattern or the conductivity of conductive members, e.g. formation of alloys, reduction of contact resistances modifying the pattern
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0928Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors comprising both N- and P- wells in the substrate, e.g. twin-tub
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs

Abstract

一種半導體結構的形成方法,包括:形成閘極堆疊於通道區域上;成長源極/汲極區域相鄰於通道區域;沉積第一層間介電層於源極/汲極區域及閘極堆疊上;形成源極/汲極接觸件與閘極接觸件穿過第一層間介電層,以分別物理接觸源極/汲極區域與閘極堆疊;進行蝕刻製程以部分暴露第一及第二側壁,第一側壁位於源極/汲極接觸件與第一層間介電層的第一界面,第二側壁位於閘極接觸件與第一層間介電層的第二界面;形成第一導電部件物理接觸源極/汲極接觸件的第一側壁及第一頂表面;形成第二導電部件物理接觸閘極接觸件的第二側壁及第二頂表面。

Description

半導體結構及其形成方法
本發明實施例係有關於一種半導體結構,且特別係有關於一種包括具有較大界面面積的接觸件的半導體結構及其形成方法。
半導體裝置使用於各種電子應用中,例如,個人電腦、行動電話、數位相機及其他電子設備。半導體裝置通常藉由以下方式而製造,包括在半導體基板上依序沉積絕緣或介電層、導電層及半導體層,使用微影製程圖案化上述各材料層,藉以在此半導體基板上形成電路組件及元件。
由於各種電子組件(例如,電晶體、二極體、電阻、電容等)的積體密度的持續提高,半導體工業經歷了快速的增長。在大部分的情況下,積體密度的提高來自最小部件尺寸的持續降低,這允許將更多的組件集積到特定區域中。然而,隨著最小部件尺寸的減小,產生其他應該解決的問題。
本揭露之一實施例揭示一種半導體結構,包括:閘極堆疊,位於基板的通道區域之上;源極/汲極區域,相鄰於通道區域;第一層間介電層,位於源極/汲極區域及閘極堆疊之上;第一金屬層間介電層,位於第一層間介電層之上;第一導電部件,延伸穿過第一金屬層間介電層;第二導電部件,延伸穿過第一金屬層間介電層;源極/汲極接觸件,延伸穿過第一層間介電層並且部分地進入第一導電部件之中,源極/汲極接觸件物理性地接觸源極/汲極區域及第一導電部件;以及閘極接觸件,延伸穿過第一層間介電層並且部分地進入第二導電部件之中,閘極接觸件物理性地接觸閘極堆疊。
本揭露之一實施例揭示一種半導體結構,包括:閘極堆疊,位於基板的通道區域之上;源極/汲極區域,相鄰於通道區域;第一層間介電層,位於源極/汲極區域及閘極堆疊之上;第一金屬層間介電層,位於第一層間介電層之上;第一導電部件,延伸穿過第一金屬層間介電層並且部分地進入第一層間介電層之中;第二導電部件,延伸穿過第一金屬層間介電層並且部分地進入第一層間介電層之中;源極/汲極接觸件,延伸且部分地進入第一層間介電層之中,源極/汲極接觸件物理性地接觸源極/汲極區域及第一導電部件;以及閘極接觸件,延伸且部分地進入第一層間介電層之中,閘極接觸件物理性地接觸閘極堆疊。
本揭露之一實施例揭示一種半導體結構的形成方法,包括:形成閘極堆疊於基板的通道區域之上;成長源極/汲極區域相鄰於通道區域;沉積第一層間介電層於源極/汲極區域及閘極堆疊之上;形成源極/汲極接觸件穿過第一層間介電層,源極/汲極接觸件物理性地接觸源極/汲極區域;形成閘極接觸件穿過第一層間介電層,閘極接觸件物理性地接觸閘極堆疊;凹陷化第一層間介電層,以暴露源極/汲極接觸件的第一側壁及閘極接觸件的第二側壁;形成第一導電部件物理性地接觸該源極/汲極接觸件的第一側壁及第一頂表面;以及形成第二導電部件物理性地接觸閘極接觸件的第二側壁及第二頂表面。
以下的揭露內容提供許多不同的實施例或範例以實施本案的不同部件(feature)。以下的揭露內容敘述各個構件及其排列方式的特定範例,以簡化說明。當然,這些特定的範例並非用以限定。例如,此外,若是本說明書敘述了一第一部件形成於一第二部件之上或上方,即表示其可能包含上述第一部件與上述第二部件被形成為直接接觸的實施例,亦可能包含了有額外的部件形成於上述第一部件與上述第二部件之間,而使上述第一部件與第二部件可能未直接接觸的實施例。此外,本揭露的實施例可能在各種範例中重複參考標號以及/或字母。如此重複是為了簡明和清楚之目的,而非用以表示所討論的不同實施例及/或配置之間的關係。
再者,空間相關用詞,例如“在…下方”、“下方”、“較低的”、“上方”、“較高的”及類似的用詞,係為了便於描述圖式中一個元件或部件與另一個(些)元件或部件之間的關係。除了在圖式中繪示的方位外,這些空間相關用詞意欲包含部件的裝置之不同方位。裝置能夠以其他方式定向(旋轉90度或其他方向),並且本文中所使用的空間相關用詞可以同樣地被相應地解釋。
依據一些實施例,形成與位於其上方的導電部件之間具有較大界面的閘極接觸件及/或源極/汲極接觸件。具體而言,界面具有較大的表面積。在製程期間,可以藉由接觸件的暴露的側壁、凸形的頂表面或凹的頂表面而增加界面的表面積。將位於上方的導電部件形成為物理性地接觸暴露的表面。這樣的界面比平面的界面具有更大的表面積。也可以視需要而對界面進行摻雜。當接觸件與位於上方的導電部件由不同的導電材料形成時,對界面進行摻雜可以減小接觸件的材料與上位於上方的導電部件的材料之間的功函數差異。增加界面的表面積並減小功函數差異,能夠有助於減小接觸件的電阻,進而改善鰭式場效電晶體的效能。
第1圖是依據一些實施例之鰭式場效電晶體(Fin Field-Effect Transistor, FinFET)的示範例的三維立體示意圖。為了清楚起見,省略鰭式場效電晶體的某些其他部件(如下所述)。所繪示的鰭式場效電晶體可以藉由一種方式電性耦合以作為,例如,一個電晶體或多個電晶體(例如,四個電晶體)而進行操作。
鰭式場效電晶體包括從基板50延伸的鰭片52。淺溝槽隔離(STI)區域56設置於基板50之上,並且鰭片52從相鄰的淺溝槽隔離隔離區域56之間向上方突出。雖然將淺溝槽隔離隔離區域56描述/繪示為與基板50分離,但是,如本文所用,技術用語「基板」可用於僅指稱半導體基板,或是用於指稱包括隔離區域的半導體基板。此外,雖然鰭片52被繪示為與基板50相同的單一連續材料,但是,鰭片52及/或基板50可包括單一材料或多種材料。在此上下文中,鰭片52是指在相鄰的淺溝槽隔離隔離區域56之間延伸的部分。
閘極結構80位於鰭片52的通道區域上方。閘極結構80包括閘極介電質82及閘極電極84。閘極介電質82沿著鰭片52的側壁且在鰭片52的頂表面上延伸,且閘極電極84位於閘極介電質82之上。源極/汲極區域70相對於閘極介電質82及閘極電極84而設置在鰭片52的相對兩側。閘極間隔物66將源極/汲極區域70與閘極結構80分開。在形成多個電晶體的情況下,可以在各個電晶體之間共享源極/汲極區域70。在其中一個電晶體由多個鰭片52形成的實施例中,相鄰的源極/汲極區域70可以電性耦合,例如,藉由磊晶成長將源極/汲極區域70接合,或者藉由將源極/汲極區域70與同一源極/汲極接觸件耦合。一個或多個層間介電(ILD)層(將在下文中進一步討論)位於源極/汲極區域70及/或閘極電極84之上,連接到源極/汲極區域70及/或閘極電極84的接觸件(將在下文中進一步討論)穿過此層間介電層而形成。
第1圖進一步繪示出幾個參考剖面。剖面A-A沿著鰭片52的縱軸,並且在,例如,源極/汲極區域70之間的電流流動方向的方向上延伸。剖面B-B垂直於剖面A-A,並且沿著閘極電極84的縱軸延伸。剖面C-C垂直於剖面A-A,並且延伸穿過源極/汲極區域70。為了清楚起見,後續的圖式將參考這些參考剖面。
本文所討論的一些實施例是在使用閘極後製製程(gate-last process)形成的鰭式場效電晶體的背景下討論的。在其他實施例中,可以使用閘極先製製程(gate-first process)。而且,一些實施例考慮了使用在平面裝置(例如,平面場效電晶體)中的方面。
第2圖及第3圖是依據一些實施例之製造鰭式場效電晶體的中間階段的三維立體示意圖。第2圖及第3圖顯示與第1圖相似的三維立體示意圖,其中顯示了兩個閘極結構。
在第2圖中,提供基板50。基板50可以是半導體基板,例如,塊體(bulk)半導體、絕緣體上覆半導體(semiconductor-on-insulator, SOI)基板或其他類似物,其可以被摻雜(例如,使用p型或n型摻質)或未摻雜。基板50可以是晶圓,例如,矽晶圓。通常,絕緣體上覆半導體基板是形成在絕緣體層上的一層半導體材料。絕緣體層可以是,例如,埋藏氧化物(buried oxide, BOX)層、氧化矽層或其他類似物。絕緣層設置在通常為矽或玻璃基板的基板上。也可以使用其他基板,例如,多層(multi-layered)或漸變(gradient)基板。在一些實施例中,基板50的半導體材料可包括矽;鍺;化合物半導體,包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦(indium antimonide);合金半導體,包括矽鍺(silicon-germanium)、磷砷化鎵(gallium arsenide phosphide)、砷化銦鋁(aluminum indium arsenide)、砷化鎵鋁(aluminum gallium arsenide)、砷化銦鎵(gallium indium arsenide)、磷化銦鎵(gallium indium phosphide)及/或磷砷化銦鎵(gallium indium arsenide phosphide);或上述之組合。
基板50具有區域50N和區域50P。區域50N可用於形成n型裝置,例如,n型金屬氧化物半導體(NMOS)電晶體,例如,n型鰭式場效電晶體。區域50P可用於形成p型裝置,例如,p型金屬氧化物半導體(PMOS)電晶體,例如,p型鰭式場效電晶體。區域50N可與區域50P物理性地分開(如分隔線51所示),並且可在區域50N與區域50P之間設置任何數量的裝置部件(例如,其他主動裝置、摻雜區域、隔離結構等)。
鰭片52形成為從基板50延伸。鰭片52是半導體條帶(semiconductor strip)。在一些實施例中,可藉由在基板50中蝕刻溝槽,以在基板50中形成鰭片52。蝕刻可以是任何可接受的蝕刻製程,例如,反應離子蝕刻(reactive ion etch, RIE)或其他類似方法。蝕刻可以是非等向性的。
可藉由任何合適的方法將鰭片圖案化。舉例而言,可以使用一個或多個光微影製程(photolithography)將鰭片圖案化,包括雙重圖案化(double-patterning)製程或多重圖案化(multi-patterning)製程。一般而言,雙重圖案化或多重圖案化製程結合了光微影製程及自對準製程(self-aligned process),以創造具有較小節距的圖案,舉例而言,此圖案所具有的節距比使用單一直接光微影製程所能夠得到的節距更小。舉例而言,在一實施例中,形成犧牲層於基板之上並使用光微影製程將其圖案化。使用自對準製程形成間隔物於經過圖案化的犧牲層旁。之後,移除犧牲層,並且可接著使用剩餘的間隔物將鰭片圖案化。在一些實施例中,罩幕(或其他層)可保留在鰭片52上。
淺溝槽隔離區域56形成於基板50上並且位於相鄰的鰭片52之間。作為形成淺溝槽隔離區域56的示範例,可在中間結構之上形成絕緣材料。絕緣材料可以是氧化物(例如,氧化矽)、氮化物、其他類似物或上述之組合,並且可藉由下列方法形成,包括高密度電漿化學氣相沉積(high density plasma chemical vapor deposition, HDP-CVD)、流動式化學氣相沉積(flowable chemical vapor deposition, FCVD) (例如,在遠距電漿系統中進行的基於CVD的材料沉積,以及後固化(post curing)而使其轉化為另一種材料,例如,氧化物)、其他類似方法或上述之組合。可以使用藉由任何可接受的方法所形成的其他絕緣材料。在所示的實施例中,絕緣材料是藉由流動式化學氣相沉積製程所形成的氧化矽。當形成絕緣材料後,即可進行退火製程。在一實施例中,形成絕緣材料,使得多餘的絕緣材料覆蓋鰭片52。雖然淺溝槽隔離區域56被繪示為單層,但是一些實施例可以使用多層結構。舉例而言,在一些實施例中,可先沿著基板50及鰭片52的表面形成襯層。之後,可在襯層上形成填充材料,例如,如上文所討論的材料。在一些實施例中,對絕緣材料進行移除製程,以移除位於鰭片52上方的多餘的絕緣材料。在一些實施例中,可以使用,平坦化製程(例如,化學機械研磨)、回蝕刻製程、上述之組合或其他類似方法。平坦化製程暴露出鰭片52,使得在平坦化製程完成之後,鰭片52的頂表面與絕緣材料的頂表面是共平面的。在將罩幕保留於鰭片52上的實施例中,平坦化製程可以暴露出罩幕或是移除罩幕,使得在平坦化過程完成之後,罩幕的頂表面或鰭片52的頂表面分別與絕緣材料的頂表面是共平面的。然後,將絕緣材料凹陷化,以形成淺溝槽隔離區域56。將絕緣材料凹陷化,使得位於區域50N及區域50P中的鰭片52的上部分從相鄰的淺溝槽隔離區域56之間突出。鰭片52的暴露部分包括將成為所得到的鰭式場效電晶體的通道區域的區域。
此外,淺溝槽隔離區域56的頂表面可具有如圖式所繪示的平坦表面、凸表面、凹表面(例如,碟形凹陷)或上述之組合。淺溝槽隔離區域56的頂表面可藉由適當的蝕刻而形成為平坦的、凸形的及/或凹的。可以使用可接受的蝕刻製程將淺溝槽隔離區域56凹陷化,例如,對絕緣材料的材料具有選擇性的蝕刻製程(例如,以比鰭片52的材料更快的速率蝕刻絕緣材料的材料)。舉例而言,可以使用,例如,使用稀氫氟酸(dilute hydrofluoric, dHF)的氧化物移除。
上述製程僅僅是可以形成鰭片52的一個示範例。在一些實施例中,可藉由磊晶成長製程形成鰭片52。舉例而言,可形成介電層於基板50的頂表面上,並且可蝕刻形成穿過此介電層的溝槽,以暴露出下方的基板50。可在此溝槽中磊晶成長同質磊晶結構(homoepitaxial structure),並且可凹陷化此介電層,使得同質磊晶結構從介電層突出,而形成鰭片。另外,在一些實施例中,異質磊晶結構(heteroepitaxial structure)可以使用於鰭片52。例如,在將淺溝槽隔離區域56的絕緣材料平坦化至與鰭片52齊平之後,可將鰭片52凹陷化,並且可以在將過凹陷化的鰭片52上磊晶成長與鰭片52不同的材料。在如此的實施例中,鰭片52包括經過凹陷化的材料及設置在經過凹陷化的材料上方的磊晶成長材料。在另一個實施例中,可在基板50的頂表面上方形成介電層,並且可蝕刻形成穿過此介電層的溝槽。然後可以使用與基板50不同的材料在溝槽中磊晶成長異質磊晶結構,並且可使介電層凹陷化,使得異質磊晶結構從介電層突出而形成鰭片52。在一些實施例中,當磊晶成長同質磊晶結構或異質磊晶結構時,可在成長過程中原位(in-situ)摻雜磊晶成長的材料,如此可省略原位摻雜之前及之後的佈植,雖然原位摻雜和佈植摻雜亦可一起使用。
再者,在區域50N (例如,NMOS區域)中磊晶成長與在區域50P (例如,PMOS區域)中的材料不同的材料,如此可能是有優點的。在各個實施例中,鰭片52的上部分可由矽鍺(Six Ge1-x ,其中x可以在0至1的範圍內)、碳化矽、純的或實質上純的鍺、III-V族化合物半導體、II-VI化合物半導體或其他類似物所形成。舉例而言,用以形成III-V化合物半導體的可用材料包括但不限於砷化銦、砷化鋁、砷化鎵、磷化銦、氮化鎵、砷化鎵銦(indium gallium arsenide)、砷化鋁銦(indium aluminum arsenide)、銻化鎵(gallium antimonide)、銻化鋁(aluminum antimonide)、磷化鋁、磷化鎵或其他類似物。
此外,可在鰭片52及/或基板50中形成適當的井區域(未繪示)。在一些實施例中,可形成P型井於區域50N中,並且可形成N型井於區域50P中。在一些實施例中,形成P型井或N型井於區域50N及區域50P的兩者中。
在具有不同井類型的實施例中,可以使用光阻或其他罩幕(未繪示),以實現用於區域50N及區域50P的不同佈植步驟。舉例而言,可在區域50N中的鰭片52及淺溝槽隔離區域56上方形成光阻。將光阻圖案化以暴露基板50的區域50P,例如,PMOS區域。可藉由使用旋轉塗佈技術以形成光阻,並且可以使用可接受的光微影技術對光阻進行圖案化。當將光阻圖案化後,在區域50P中進行n型雜質佈植,並且光阻可作為罩幕以實質上防止n型雜質被佈植到區域50N,例如,NMOS區域中。此n型雜質可以是磷、砷、銻或其他類似物,且其佈植到此區域中的濃度等於或小於1018 cm-3 ,例如,在大約1016 cm-3 與大約1018 cm-3 之間。佈植之後,例如,藉由可接受的灰化(ashing)製程移除光阻。
在對區域50P進行佈植之後,在區域50P中的鰭片52及淺溝槽隔離區域56上方形成光阻。將光阻圖案化以暴露基板50的區域50N,例如,NMOS區域。可藉由使用旋轉塗佈技術以形成光阻,並且可以使用可接受的光微影技術對光阻進行圖案化。當將光阻圖案化後,在區域50N中進行p型雜質佈植,並且光阻可作為罩幕以實質上防止p型雜質被佈植到區域50P,例如,PMOS區域中。此p型雜質可以是硼、氟化硼(boron fluoride)、銦或其他類似物,且其佈植到此區域中的濃度等於或小於1018 cm-3 ,例如,在大約1016 cm-3 與大約1018 cm-3 之間。佈植之後,例如,藉由可接受的灰化製程移除光阻。
在區域50N及區域50P的佈植之後,可進行退火,以修復佈植損傷並且活化所佈植的p型及/或n型雜質。在一些實施例中,磊晶鰭片的成長材料可以在成長期間被原位摻雜,如此可省略佈植,雖然原位摻雜和佈植摻雜亦可一起使用。
在第3圖中,形成虛置介電質60於鰭片52之上,並且形成虛置閘極62於虛置介電質60之上。虛置介電質60與虛置閘極62可以合稱為「虛置閘極堆疊」,其中每一個虛置閘極堆疊包括虛置介電質60及虛置閘極62。虛置閘極堆疊沿著鰭片52的側壁延伸。
作為形成虛置介電質60及虛置閘極62的示範例,在鰭片52上形成虛置介電層。虛置介電層可以是例如,氧化矽、氮化矽、上述之組合或其他類似物,並且可藉由可接受的技術沉積或熱成長。形成虛置閘極層於虛置介電層之上,並且形成罩幕層於虛置閘極層之上。可沉積虛置閘極層於虛置介電層之上,然後藉由例如,化學機械研磨(chemical mechanical polishing, CMP)將其平坦化。可沉積罩幕層於虛置閘極層上。虛置閘極層可以是導電或非導電材料,並且可選自包括非晶矽、多晶矽(polycrystalline-silicon, polysilicon)、多晶矽-鍺(poly-crystalline silicon-germanium, poly-SiGe)、金屬氮化物、金屬矽化物、金屬氧化物及金屬所組成的群組。可藉由物理氣相沉積(physical vapor deposition,PVD)、化學氣相沉積、濺鍍沉積(sputter deposition)或本領域中已知的且用於沉積所選材料的其他技術,以沉積虛置閘極層。虛置閘極層可由對淺溝槽隔離區域56的蝕刻具有高蝕刻選擇性的其他材料所形成。罩幕層可包括,例如,氮化矽、氮氧化矽或其他類似物。在本實施例中,形成單一個虛置閘極層及單一個罩幕層跨越區域50N及區域50P。然後,可以使用可接受的光微影及蝕刻技術對罩幕層進行圖案化,以形成罩幕64。然後,可以藉由可接受的蝕刻技術,將罩幕64的圖案轉移至虛置閘極層,以形成虛置閘極62。可以視需要而將罩幕64的圖案進一步轉移到虛置介電層,以形成虛置介電質60。虛置閘極62覆蓋鰭片52的相應的通道區域58 (見第4A圖及第4B圖)。罩幕64的圖案可以用於將每一個虛置閘極62與相鄰的虛置閘極物理性地分隔。虛置閘極62還可以具有實質上垂直於相應的鰭片52的長度方向的長度方向(在製程限制內)。雖然虛置介電質60被繪示為覆蓋淺溝槽隔離區域56,但是,應可理解的是,虛置介電質60可以是以其他方式形成。在一些實施例中,例如,當熱成長虛置介電層時,虛置介電質60被形成為僅覆蓋鰭片52。
第4A圖至第7B圖是依據一些實施例之製造鰭式場效電晶體的另外的中間階段的剖面示意圖。第4A圖、第5A圖、第6A圖及第7A圖是沿著第1圖的參考剖面A-A所繪示的剖面示意圖,其中繪示出兩個閘極結構。第4B圖、第5B圖、第6B圖及第7B圖是沿著第1圖的參考剖面B-B所繪示的剖面示意圖,其中只繪示出兩個鰭片。第4C圖及第4D圖是沿著第1圖的參考剖面C-C所繪示的剖面示意圖,其中只繪示出兩個鰭片。第4A圖至第7B圖繪示出位於區域50N及區域50P的任一者之中的部件。例如,第4A圖至第7B圖所繪示的結構可以適用於區域50N與區域50P兩者。在此描述區域50N與區域50P的結構上的差異(如果有差異的話)。
在第4A圖及第4B圖中,形成閘極間隔物66於虛置閘極62、罩幕64及/或鰭片52的暴露表面上。可以形成絕緣材料並且隨後蝕刻此絕緣材料,藉此形成閘極間隔物66。閘極間隔物66的絕緣材料可以是氮化矽、碳氮化矽(silicon carbonitride)、碳氮氧化矽(silicon oxycarbonitride)、上述之組合或其他類似物,並且可以藉由熱氧化、沉積、上述之組合或其他類似方法,而形成閘極間隔物66。在一些實施例中,閘極間隔物66由多層絕緣材料形成,並且包括多層結構。舉例而言,閘極間隔物66可以包括多層碳氮化矽,可以包括多層碳氮氧化矽,或者可以包括設置在兩層氮化矽之間的氧化矽層。閘極間隔物66的蝕刻可以是非等向性的。在蝕刻之後,閘極間隔物66可以具有筆直的側壁或彎曲的側壁。
在形成閘極間隔物66之前或形成閘極間隔物66的期間,可進行用於輕摻雜源極/汲極(lightly doped source/drain, LDD)區域(未明確繪示出)的佈植。在具有不同裝置類型的實施例中,類似於上文所討論的佈植,可在區域50N上方形成罩幕,例如光阻,同時暴露區域50P,並且可將適當類型(例如,p型)的雜質佈植到位於區域50P中的暴露的鰭片52中。然後可移除罩幕。隨後,可在區域50P上方形成罩幕,例如光阻,同時暴露區域50N,並且可將適當類型(例如,n型)的雜質佈植到位於區域50N中的暴露的鰭片52中。然後可移除罩幕。上述n型雜質可以是先前討論的任何n型雜質,並且上述p型雜質可以是先前討論的任何p型雜質。輕摻雜源極/汲極區域可具有在大約1015 cm-3 與大約1019 cm-3 之間的雜質濃度。可進行退火,以修復佈植損傷並且活化所佈植的雜質。
然後,形成磊晶源極/汲極區域70於鰭片52之中。形成磊晶源極/汲極區域70於鰭片52中,使得每一個虛置閘極62設置在各自相鄰的一對磊晶源極/汲極區域70之間。在一些實施例中,磊晶源極/汲極區域70可以延伸到淺溝槽隔離區域56的頂表面下方的鰭片52的部分中。在一些實施例中,閘極間隔物66用於將磊晶源極/汲極區域70與虛置閘極62分開適當的橫向距離,使得磊晶源極/汲極區域70不會造成後續形成的鰭式場效電晶體的閘極短路。磊晶源極/汲極區域70可以施加應力於鰭片52的通道區域58中,進而提高效能。
可藉由以下步驟而形成位於區域50N (例如,NMOS區域)中的磊晶源極/汲極區域70,藉由遮蔽區域50P (例如,PMOS區域)並蝕刻位於區域50N中的鰭片52的源極/汲極區域,以形成凹口於鰭片52中。然後,在此凹口中磊晶成長位於區域50N中的磊晶源極/汲極區域70。磊晶源極/汲極區域70可包括任何可接受的材料,例如,可適用於n型鰭式場效電晶體。舉例而言,若鰭片52是矽,則位於區域50N中的磊晶源極/汲極區域70可包括在通道區域58中實現拉伸應變的材料,例如,矽、碳化矽、摻雜磷的碳化矽、磷化矽或其他類似物。位於區域50N中的磊晶源極/汲極區域70可具有從鰭片52的相應表面突起的表面並且可以具有刻面(facet)。
可藉由以下步驟而形成位於區域50P (例如,PMOS區域)中的磊晶源極/汲極區域70,藉由遮蔽區域50N (例如,NMOS區域)並蝕刻位於區域50P中的鰭片52的源極/汲極區域,以形成凹口於鰭片52中。然後,在此凹口中磊晶成長位於區域50P中的磊晶源極/汲極區域70。磊晶源極/汲極區域70可包括任何可接受的材料,例如,可適用於p型鰭式場效電晶體。舉例而言,若鰭片52是矽,則位於區域50P中的磊晶源極/汲極區域70可包括在通道區域58中實現壓縮應變的材料,例如,矽鍺、摻雜硼的矽鍺、鍺、鍺錫(germanium tin)或其他類似物。位於區域50P中的磊晶源極/汲極區域70可具有從鰭片52的相應表面突起的表面並且可以具有刻面。
可以使用摻質佈植磊晶源極/汲極區域70及/或鰭片52,以形成源極/汲極區域,類似於上文所討論的用於形成輕摻雜源極/汲極區域的製程,然後進行退火。源極/汲極區域的雜質濃度可以在大約1x1019 原子/cm3 與大約1x1021 原子/cm3 之間。用於源極/汲極區域的n型及/或p型雜質可以是上文所討論的任何雜質。在一些實施例中,可在成長期間原位摻雜磊晶源極/汲極區域70。
作為用於形成磊晶源極/汲極區域70的磊晶製程的結果,磊晶源極/汲極區域70的上表面具有刻面,這些刻面橫向地向外擴展超過鰭片52的側壁。在一些實施例中,這些刻面導致同一個鰭式場效電晶體的相鄰的磊晶源極/汲極區域70合併,如第4C圖所繪示。舉例而言,當一個電晶體由多個鰭片52形成時,可以形成合併的磊晶源極/汲極區域70。在其他實施例中,如第4D圖所繪示,在磊晶製程完成之後,相鄰的磊晶源極/汲極區域70保持分離。舉例而言,例如,當一個電晶體由單一個鰭片52形成時,或是當一個電晶體由多個鰭片52形成時,可以形成未合併的磊晶源極/汲極區域70。在所繪示的實施例中,閘極間隔物66形成為鰭片52的側壁的一部分,其中此鰭片52的側壁的此部分在淺溝槽隔離區域56上方延伸,而阻擋磊晶成長。在一些其他實施例中,可以調整用於形成閘極間隔物66的間隔物蝕刻,以移除間隔物材料,進而允許磊晶成長的區域延伸到淺溝槽隔離區域56的表面。
應注意的是,以上所揭露一般性地描述了形成間隔物及輕摻雜源極/汲極區域的製程。可以使用其他製程和順序。舉例而言,可以使用更少的間隔物或額外的間隔物,可以使用不同的步驟順序,可以形成並移除額外的間隔物,及/或其他類似之變化。在一些實施例中,可以在磊晶源極/汲極區域70之後,形成閘極間隔物66。再者,可以使用不同的結構及步驟而形成n型裝置與p型裝置。在一些實施例中,在位於區域50N中的磊晶源極/汲極區域70的形成期間,可以形成虛置間隔物於區域50N中。然後可以移除位於區域50N中的虛置間隔物。然後,在位於區域50P中的磊晶源極/汲極區域70的形成期間,可以形成虛置間隔物於區域50P中。然後可以移除區域50P中的虛置間隔物。然後,在已經形成磊晶源極/汲極區域70於區域50N及區域50P兩者中之後,可以形成閘極間隔物66。
在第5A圖及第5B圖中,沉積接觸蝕刻停止層(contact etch stop layer, CESL) 72於磊晶源極/汲極區域70、閘極間隔物66、罩幕64 (如果存在)或虛置閘極62、及淺溝槽隔離區域56之上。接觸蝕刻停止層72由介電材料所形成,例如,氮化矽、氧化矽、氮氧化矽或其他類似物。在一實施例中,接觸蝕刻停止層72由氮化矽所形成。
接著,形成第一層間介電層74於接觸蝕刻停止層72。第一層間介電層74由介電材料所形成,此介電材料具有與接觸蝕刻停止層72的蝕刻速率不同的蝕刻速率,並且可以藉由任何合適的方法沉積第一層間介電層74,例如,化學氣相沉積、電漿輔助化學氣相沉積(plasma enhanced chemical vapor deposition, PECVD)或流動式化學氣相沉積。介電材料可包括氧化物,例如,氧化矽、磷矽酸鹽玻璃(phosphosilicate glass, PSG)、硼矽酸鹽玻璃(borosilicate glass, BSG)、摻雜硼的磷矽酸鹽玻璃(boron-doped phospho-silicate glass, BPSG)、未摻雜的矽酸鹽玻璃(undoped silicate glass, USG)或其他類似物;氮化物,例如,氮化矽;或其他類似物。可以使用藉由任何可接受的製程所形成的其他絕緣材料。在形成之後,可以藉由,例如,化學機械研磨製程,將第一層間介電層74平坦化。
在第6A圖及第6B圖中,可以進行平坦化製程,例如,化學機械研磨製程,以使第一層間介電層74的頂表面與罩幕64 (如果存在)的頂表面或虛置閘極62的頂表面齊平。平坦化製程可以移除位於虛置閘極62上的罩幕64以及沿著罩幕64的側壁的閘極間隔物66的部分。平坦化製程還可以移除位於虛置閘極62及閘極間隔物66上方的接觸蝕刻停止層72的部分。在平坦化製程之後,虛置閘極62的頂表面、閘極間隔物66的頂表面、接觸蝕刻停止層72的頂表面及第一層間介電層74的頂表面是共平面的。因此,虛置閘極62的頂表面藉由第一層間介電層74而暴露。在一些實施例中,可以保留罩幕64,在這種情況下,平坦化製程使得第一層間介電層74的頂表面與罩幕64的頂表面齊平。
在第7A圖及第7B圖中,虛置閘極62及視需要的虛置介電質60被移除並且被閘極結構80替換。閘極結構80包括閘極介電質82及閘極電極84。作為形成閘極結構80的示範例,在一個或複數個蝕刻步驟中移除虛置閘極62及罩幕64 (如果存在),以形成凹口。虛置介電質60在凹口中的部分也可以被移除。在一些實施例中,僅虛置閘極62被移除,而虛置介電質60被保留並且藉由凹口而暴露。在一些實施例中,虛置介電質60從位於晶粒的第一區域(例如,核心邏輯區域)的凹口中被移除,並且保留在位於晶粒的第二區域(例如,輸入/輸出區域)的凹口中。在一些實施例中,藉由非等向性乾式蝕刻製程移除虛置閘極62。例如,蝕刻製程可包括使用反應氣體(多種反應氣體)的乾式蝕刻製程,此反應氣體選擇性地蝕刻虛置閘極62而不蝕刻第一層間介電層74、接觸蝕刻停止層72或閘極間隔物66。每一個凹口暴露及/或覆蓋各自的鰭片52的通道區域58。每一個通道區域58設置在相鄰的一對磊晶源極/汲極區域70之間。在移除製程的期間,當蝕刻虛置閘極62時,虛置介電質60可以被使用作為蝕刻停止層。在移除虛置閘極62之後,可以視需要而移除虛置介電質60。在移除製程在移除之後,閘極介電質82順應性地沉積在凹口中,例如,在鰭片52的頂表面及側壁上,並且在鰭片的側壁上。閘極介電質82也可以形成在第一層間介電層74的頂表面上。依據一些實施例,閘極介電質82包括氧化矽、氮化矽或上述之多層結構。在一些實施例中,閘極介電質82包括高介電常數(high-k)介電材料,並且在這些實施例中,閘極介電質82可以具有大於約7.0的介電常數值(k值),並且可包括下列金屬的金屬氧化物或矽酸鹽,這些金屬包括:鉿、鋁、鋯、鑭、錳、鋇、鈦、鉛及上述之組合。閘極介電質82的形成方法可包括分子束沉積(molecular-beam deposition, MBD)、(atomic layer deposition, ALD)、電漿輔助化學氣相沉積及其他類似方法。在虛置介電質60的一部分保留在凹口中的實施例中,閘極介電質82包括虛置介電質60的材料(例如,氧化矽)。複數個閘極電極84分別沉積在複數個閘極介電質82上,並填充上述凹口的其餘部分。閘極電極84可包括含金屬的材料,例如,氮化鈦、氧化鈦、氮化鉭、碳化鉭、鈷、釕、鋁、鎢、上述之組合或上述之多層結構。舉例而言,雖然在圖式中繪示單層閘極電極84,但是閘極電極84可包括任何數量的襯層、任何數量的功函數調整層及填充材料。在閘極電極84的填充製程之後,可以進行平坦化製程(例如,化學機械研磨),以移除閘極介電質82的多餘部分及閘極電極84的材料的多餘部分,這些多餘部分位於第一層間介電層74的頂表面之上。閘極電極84及閘極介電質82的材料的其餘部分形成所得到的鰭式場效電晶體的閘極結構80。閘極結構80也可以稱為「閘極堆疊」或「金屬閘極」。閘極結構80可以沿著鰭片52的通道區域58的側壁延伸。
在區域50N及區域50P中的閘極結構80的形成可以同時發生,使得每一個區域中的閘極介電質82由相同的材料形成,並且每一個區域中的閘極電極84由相同的材料形成。在一些實施例中,每一個區域中的閘極結構80可以藉由不同的製程形成,使得每一個區域中的閘極介電質82可以是不同的材料,並且每一個區域中的閘極電極84可以是不同的材料。當使用不同的製程時,可以使用各種罩幕步驟以遮蔽與暴露合適的區域。
第8圖至第10圖是依據一些實施例之製造鰭式場效電晶體的另外的中間階段的剖面示意圖。第8圖至第10圖是沿著第1圖的參考剖面A-A所繪示的剖面示意圖,其中繪示出四個閘極結構。第8圖至第10圖繪示出第一區域50A (將在其中形成閘極接觸件)及第二區域50B (在其中將形成源極/汲極接觸件)。區域50A及50B被同時加工處理並且一起討論。區域50A及50B是在其中形成接觸件的不同剖面的部分,這可以避免接觸件的短路。應可理解的是,可以在電晶體的相同剖面中形成閘極接觸件及源極/汲極接觸件。雖然在區域50A及50B的每一者之中繪示出一個鰭片52,但是應可理解的是,區域50A及50B的每一者可以包括來自基板50的兩個區域50N及50P的鰭片52,例如,第一區域50A及第二區域50B可以各自包括n型裝置及p型裝置。
在第8圖中,沉積第二層間介電層90於第一層間介電層74之上。第二層間介電層90由介電材料所形成,並且可以藉由任何合適的方法沉積第二層間介電層90,例如,化學氣相沉積、電漿輔助化學氣相沉積或流動式化學氣相沉積。介電材料可包括氧化物,例如,氧化矽、磷矽酸鹽玻璃、硼矽酸鹽玻璃、摻雜硼的磷矽酸鹽玻璃、未摻雜的矽酸鹽玻璃或其他類似物;氮化物,例如,氮化矽;或其他類似物。可以使用藉由任何可接受的製程所形成的其他絕緣材料。在形成之後,可以藉由,例如,化學機械研磨製程,將第二層間介電層90平坦化。在一些實施例中,形成蝕刻停止層於第一層間介電層74與第二層間介電層90之間。接觸蝕刻停止層可以包括介電材料,例如,氮化矽、氧化矽、氮氧化矽或其他類似物,且此介電材料具有與第二層間介電層90的材料的蝕刻速率不同的蝕刻速率。在一些實施例中,在形成第二層間介電層90之前,可以形成閘極罩幕(未繪示)於閘極介電質82及閘極電極84之上,如此可以在接觸件的形成期間保護閘極介電質82及閘極電極84。
在形成第二層間介電層90之後,形成延伸穿過第二層間介電層90的源極/汲極接觸件92及閘極接觸件94。用於源極/汲極接觸件92的開口被形成為穿過層間介電層74、90,且用於閘極接觸件94的開口被形成為穿過第二層間介電層90。可使用可接受的光微影及蝕刻技術以形成開口。在開口中形成襯層(例如,擴散阻障層、黏著層或其他類似物)及導電材料。襯層可包括鈦、氮化鈦、鉭、氮化鉭或其他類似物。導電材料可以是銅、銅合金、銀、金、鎢、鈷、鋁、鎳或其他類似物。可進行平坦化製程(例如,化學機械研磨),以從第二層間介電層90的表面移除多餘的材料。剩餘的襯層及導電材料在開口中形成源極/汲極接觸件92及閘極接觸件94。在一些實施例中,源極/汲極接觸件92及閘極接觸件94由相同的導電材料形成。源極/汲極接觸件92連接(例如,物理性且電性耦合)到磊晶源極/汲極區域70,並且閘極接觸件94連接(例如,物理性且電性耦合)到閘極電極84。閘極接觸件94可以穿過位於閘極電極84上的閘極罩幕(如果存在)。源極/汲極接觸件92與閘極接觸件94可以在不同的製程中形成,或者,可以在相同的製程中形成。
在第9圖中,將第二層間介電層90凹陷化,以形成凹口98。凹口98暴露第二層間介電層90與接觸件92、94的界面處的表面的一部分,例如,第二層間介電層90的側壁的一部分。在本實施例中,接觸件92、94的暴露部分具有實質上筆直的側壁及實質上平坦的頂表面。換言之,側壁可以實質上垂直於基板50的主要表面,並且頂表面可以實質上平行於基板50的主要表面。可以藉由可接受的蝕刻製程而進行凹陷化,例如,對第二層間介電層90的材料具有選擇性的蝕刻製程。在第二層間介電層90是氧化物的實施例中,可以進行化學氧化物移除。舉例而言,可以使用氟化氫(HF)與氨(NH3 )的混合物;三氟化氮(NF3 )與氨的混合物;稀氫氟酸;或其他類似物,在沒有電漿的情況下,藉由濕式蝕刻或乾式蝕刻,而蝕刻第二層間介電層90,且其持續時間在大約7秒至大約60秒的範圍內,如此可以將凹口98形成為至少約2 nm的深度D1 ,例如,在大約2 nm至大約15 nm的範圍內。深度D1 可以是第二層間介電層90的原始高度的大約4%到大約25%。如此的蝕刻製程可以導致第二層間介電層90的介電材料與接觸件92、94的導電材料之間的高蝕刻選擇性。舉例而言,如此的蝕刻製程可以選擇性地蝕刻第二層間介電層90的介電材料,其速度比接觸件92、94的導電材料快約15倍。以高選擇性的蝕刻製程將第二層間介電層90凹陷化,如此可以導致接觸件92、94的暴露部分具有實質上垂直的側壁及實質上平坦的頂表面。將第二層間介電層90凹陷化,使得接觸件92、94的暴露表面積增加約100%至約700%的量。
可選地,可以進行一個或多個佈植製程以修飾第二層間介電層90的暴露表面、源極/汲極接觸件92的暴露表面及閘極接觸件94的暴露表面。形成第二層間介電層90的摻雜區域90M,形成源極/汲極接觸件92的摻雜區域92M,並且形成閘極接觸件94的摻雜區域94M。受到佈植的部件的其餘未摻雜區域可以稱為「主要區域」。例如,第二層間介電層90、源極/汲極接觸件92及閘極接觸件94可以佈植一種或多種雜質,例如,硼、磷或其他類似物。源極/汲極接觸件92的摻雜區域92M可以被佈植到具有大約1018 cm-3 至大約1021 cm-3 的雜質濃度,並且閘極接觸件94的摻雜區域94M可以被佈植到具有大約1018 cm-3 至大約1021 cm-3 的雜質濃度。佈植可以在低能量下進行,例如,在大約0.5 keV至大約3 keV的範圍內的能量,以使得雜質不會穿過第二層間介電層90而佈植到位於其下方的部件中。使用雜質佈植第二層間介電層90可增加第二層間介電層90的體積,進而使其膨脹。因此,在佈植之後,第二層間介電層90可以具有減小的密度。在一些實施例中,在佈植之後,第二層間介電層90的密度小於第一層間介電層74的密度。如下文中進一步所討論的,後續形成的內連線結構可以由與接觸件92、94不同的導電材料所形成。形成摻雜區域92M、94M可以幫助減小接觸件92、94與後續形成的內連線結構之間的功函數差異。
在佈植之後,可以進行退火。舉例而言,可以在大約700℃至大約1200℃的溫度範圍內進行退火。退火可活化佈植佈植到第二層間介電層90、源極/汲極接觸件92及閘極接觸件94中的雜質。
在第10圖中,金屬層間介電(IMD)層102形成在凹口98中以及源極/汲極接觸件92及閘極接觸件94的暴露表面上。導電部件104形成在金屬層間介電層102中,並連接到源極/汲極接觸件92及閘極接觸件94。導電部件104A的第一子集連接至源極/汲極接觸件92,且導電部件104B的第二子集連接至閘極接觸件94。金屬層間介電層102及導電部件104可以是內連線結構的一部分。例如,導電部件104可以包括導電通孔及導電線路,其為內連線結構的金屬化圖案(例如,內連線)的一部分。金屬化圖案將所得到的鰭式場效電晶體互連,以形成積體電路。內連線結構(包括金屬層間介電層102及導電部件104)可以藉由鑲嵌製程形成,例如,單鑲嵌製程、雙鑲嵌製程或其他類似方法。
金屬層間介電層102可由任何合適的介電材料所形成,例如,介電材料可包括氧化物,例如,氧化矽、磷矽酸鹽玻璃、硼矽酸鹽玻璃、摻雜硼的磷矽酸鹽玻璃、未摻雜的矽酸鹽玻璃或其他類似物;氮化物,例如,氮化矽;或其他類似物。可以藉由任何可接受的沉積製程而形成金屬層間介電層102,例如,旋轉塗佈、物理氣相沉積、化學氣相沉積、其他類似方法或上述之組合。金屬層間介電層102可以是由k值低於約3.9的低介電常數(low-k)介電材料所形成的層。金屬層間介電層102可以是由k值小於2.5的超低介電常數(extra-low-k, ELK)介電材料所形成的層。在一些實施例中,形成蝕刻停止層於金屬層間介電層102與第二層間介電層90之間。接觸蝕刻停止層可以包括介電材料,例如,氮化矽、氧化矽、氮氧化矽或其他類似物,且此介電材料具有與第二層間介電層90的材料的蝕刻速率不同的蝕刻速率。
導電部件104可以包括擴散阻障層及位於擴散阻障層之上的導電材料。作為形成導電部件104的示範例,形成於金屬層間介電層102中的開口暴露出位於其下方的導電部件,例如,源極/汲極接觸件92及閘極接觸件94。可以使用可接受的光微影及蝕刻技術而形成開口。擴散阻障層可以由鈦、氮化鈦、鉭、氮化鉭或其他類似物所形成,並且可以藉由沉積製程,例如,原子層沉積或其他方法,而形成於上述開口中。導電材料可以包括銅、鋁、鎢、銀、上述之組合或其他類似物,並且可以藉由電化學電鍍(electro-chemical plating)製程、化學氣相沉積、原子層沉積、物理氣相沉積、其他類似方法或上述之組合,而形成在開口中的擴散阻障層之上。在一實施例中,導電材料是銅,並且擴散阻障層是薄的阻障層,其防止銅擴散到金屬層間介電層102之中。在形成擴散阻障層及導電材料之後,可以藉由平坦化製程(例如,化學機械研磨),以移除多餘的擴散阻障層及導電材料。擴散阻障層及導電材料的其餘部分形成導電部件104。
導電部件104接觸在第二層間介電層90上方延伸的接觸件92、94的暴露表面。換言之,導電部件104A接觸源極/汲極接觸件92的頂表面及側壁,且導電部件104B接觸閘極接觸件94的頂表面及側壁。由於將第二層間介電層90凹陷化,接觸件92、94延伸穿過第二層間介電層90,並且以一定距離D1 部分地延伸到導電部件104之中。源極/汲極接觸件92也延伸穿過第一層間介電層74。因此,每一個導電部件104均物理性地與接觸件92、94其中一者的多個表面接觸。因此可以增加接觸件92、94與導電部件104之間的界面的表面積。舉例而言,每一個界面的表面積可以增加約100%至約700%的量。增加接觸件92、94與導電部件104之間的界面的表面積能夠有助於減小接觸件的電阻。因為接觸件92、94延伸穿過第二層間介電層90並部分地進入導電部件104之中,所以接觸件92、94的最終高度大於第二層間介電層90的最終高度。具體而言,第二層間介電層90的頂表面被設置成比接觸件92、94的頂表面更靠近基板50。
導電部件104A與源極/汲極接觸件92的摻雜區域92M直接物理性地接觸,且導電部件104B與閘極接觸件94的摻雜區域94M直接物理性地接觸。在一些實施例中,導電部件104包括與接觸件92、94不同的導電材料。舉例而言,接觸件92、94可以由鈷所形成,而導電部件104可以由鎢所形成。值得注意的是,接觸件92、94的導電材料(例如,鈷)可以具有與導電部件104的導電材料(例如,鎢)的功函數不同的(例如,更大的)功函數。依據一些實施例,摻雜區域92M有助於減小源極/汲極接觸件92與導電部件104A之間的功函數差異。相似地,摻雜區域94M有助於減小閘極接觸件94與導電部件104B之間的功函數差異。具體而言,摻雜區域92M、94M的材料的功函數小於接觸件92、94的材料的功函數,並且大於導電部件104的材料的功函數。減小接觸件92、94與導電部件104之間的功函數差異能夠有助於減小接觸件的電阻。
第11圖是依據一些其他實施例之鰭式場效電晶體的剖面示意圖。除了源極/汲極接觸件包括下部源極/汲極接觸件92A及上部源極/汲極接觸件92B之外,本實施例相似於第10圖所描述的實施例。下部源極/汲極接觸件92A延伸穿過第一層間介電層74及接觸蝕刻停止層72,且上部源極/汲極接觸件92B延伸穿過第二層間介電層90。因此,凹口98 (見第9圖)暴露出接觸件92B、94的部分側壁。下部源極/汲極接觸件92A因此設置於上部源極/汲極接觸件92B與磊晶源極/汲極區域70之間。
作為形成下部源極/汲極接觸件92A的示範例,在形成第二層間介電層90之前,可以形成用於下部源極/汲極接觸件92A的開口,其穿過第一層間介電層74及接觸蝕刻停止層72。可以使用可接受的光微影及蝕刻技術而形成開口。在開口中形成襯層(例如,擴散阻障層、黏著層或其他類似物)及導電材料。襯層可包括鈦、氮化鈦、鉭、氮化鉭或其他類似物。導電材料可以是銅、銅合金、銀、金、鎢、鈷、鋁、鎳或其他類似物。可進行平坦化製程(例如,化學機械研磨),以從第一層間介電層74的表面移除多餘的材料。剩餘的襯層及導電材料在開口中形成下部源極/汲極接觸件92A。可進行退火製程,以在磊晶源極/汲極區域70與下部源極/汲極接觸件92A之間的界面處形成矽化物。下部源極/汲極接觸件92A連接到磊晶源極/汲極區域70。在形成之後,閘極間隔物66的頂表面、第一層間介電層74的頂表面、閘極電極84的頂表面與下部源極/汲極接觸件92A的頂表面是共平面的。
作為形成上部源極/汲極接觸件92B的示範例,在形成第二層間介電層90之後,可以形成用於上部源極/汲極接觸件92B的開口,其穿過第二層間介電層90。可以使用可接受的光微影及蝕刻技術而形成開口。在開口中形成襯層(例如,擴散阻障層、黏著層或其他類似物)及導電材料。襯層可包括鈦、氮化鈦、鉭、氮化鉭或其他類似物。導電材料可以是銅、銅合金、銀、金、鎢、鈷、鋁、鎳或其他類似物。可進行平坦化製程(例如,化學機械研磨),以從第二層間介電層90的表面移除多餘的材料。剩餘的襯層及導電材料在開口中形成上部源極/汲極接觸件92B。上部源極/汲極接觸件92B連接到下部源極/汲極接觸件92A,且下部源極/汲極接觸件92A連接到磊晶源極/汲極區域70。在形成之後,閘極間隔物66的頂表面、第一層間介電層74的頂表面、閘極電極84的頂表面與下部源極/汲極接觸件92A的頂表面是共平面的。上部源極/汲極接觸件92B與閘極接觸件94可以在不同的製程中形成,或者,可以在相同的製程中形成。在形成之後,第二層間介電層90的頂表面、上部源極/汲極接觸件92B的頂表面與閘極接觸件94的頂表面是共平面的。
應可理解的是,一些實施例可以結合來自第10圖及第11圖所繪示實施例的部件。舉例而言,位於晶粒的第一區域(例如,輸入/輸出區域)中的源極/汲極接觸件可以是連續的導電部件,其延伸穿過多個層間介電層(如第10圖所繪示),並且位於晶粒的第二區域(例如,核心邏輯區域)中的源極/汲極接觸件可以在各別對相應的層間介電層中具有獨立的上部導電部件及下部導電部件(如第11圖所繪示)。
第12圖是依據一些其他實施例之鰭式場效電晶體的剖面示意圖。除了上部源極/汲極接觸件92B接觸下部源極/汲極接觸件92A的頂表面及側壁之外,本實施例相似於第11圖所描述的實施例。下部源極/汲極接觸件92A因此部分地延伸到上部源極/汲極接觸件92B之中。可以在形成第二層間介電層90之前,將第一層間介電層74凹陷化,進而暴露出下部源極/汲極接觸件92A的側壁,藉此形成上部源極/汲極接觸件92B。作為暴露下部源極/汲極接觸件92A的側壁的示範例,可以使用與第9圖所描述的用於將第二層間介電層90凹陷化的製程相似的製程,而將第一層間介電層74凹陷化(例如,藉由在第一層間介電層74的介電材料與下部源極/汲極接觸件92A的導電材料之間具有高蝕刻選擇性的蝕刻製程),如此可以將第一層間介電層74凹陷化到至少約2 nm的深度D2 ,例如,在約2 nm至約15 nm的範圍內。深度D2 可以是第一層間介電層74的原始高度的大約4%到大約25%。在凹陷化之後,可以形成第二層間介電層90而與接觸蝕刻停止層72的側壁接觸。之後,可形成上部源極/汲極接觸件92B穿過第二層間介電層90,而接觸下部源極/汲極接觸件92A的頂表面及側壁。
第13圖是依據一些其他實施例之鰭式場效電晶體的剖面示意圖。除了接觸件92、94及其相關的摻雜區域92M,94M具有凸形的頂表面且沒有明確定義的垂直側壁之外,本實施例相似於第10圖所描述的實施例。作為形成具有凸形的頂表面的接觸件92、94的示範例,可以使用氟化氫與氨的混合物;三氟化氮與氨的混合物;稀氫氟酸;或其他類似物,在沒有電漿的情況下,藉由濕式蝕刻或乾式蝕刻,而蝕刻第二層間介電層90,且其持續時間在大約7秒至大約60秒的範圍內,如此可以將第二層間介電層90凹陷化到至少約2 nm的深度D3 ,例如,在大約2 nm至大約15 nm的範圍內。深度D3 可以是第二層間介電層90的原始高度的大約4%到大約25%。與第9圖所描述的蝕刻製程相比,本實施例中如此的蝕刻製程可以導致第二層間介電層90的介電材料與接觸件92、94的導電材料之間的較低的蝕刻選擇性。舉例而言,如此的蝕刻製程可以選擇性地蝕刻第二層間介電層90的介電材料,其速度比接觸件92、94的導電材料快約10倍。以較低選擇性的蝕刻製程將第二層間介電層90凹陷化,如此可以導致接觸件92、94的暴露部分具有凸形的頂表面。
第14圖是依據一些其他實施例之鰭式場效電晶體的剖面示意圖。除了源極/汲極接觸件包括下部源極/汲極接觸件92A及上部源極/汲極接觸件92B,而相似於第11圖所描述的實施例之外,本實施例相似於第13圖所描述的實施例。
第15圖是依據一些其他實施例之鰭式場效電晶體的剖面示意圖。除了下部源極/汲極接觸件92A具有與上部源極/汲極接觸件92B接觸的凸表面之外,本實施例相似於第14圖所描述的實施例。可以在形成第二層間介電層90之前,將第一層間介電層74凹陷化,藉此形成上部源極/汲極接觸件92B,進而暴露出下部源極/汲極接觸件92A的凸表面。作為暴露下部源極/汲極接觸件92A的側壁的示範例,可以使用與第13圖所描述的用於將第二層間介電層90凹陷化的製程相似的製程,而將第一層間介電層74凹陷化(例如,藉由在第一層間介電層74的介電材料與下部源極/汲極接觸件92A的導電材料之間具有低蝕刻選擇性的蝕刻製程),如此可以將第一層間介電層74凹陷化到至少約2 nm的深度D4 ,例如,在約2 nm至約15 nm的範圍內。深度D4 可以是第一層間介電層74的原始高度的大約4%到大約25%。
第16圖及第17圖是依據一些其他實施例之製造鰭式場效電晶體的中間階段的剖面示意圖。第16圖及第17圖是沿著第1圖中的參考剖面A-A所繪示的剖面示意圖,其中繪示出四個閘極結構。第16圖及第17圖也繪示出第一區域50A (將在其中形成閘極接觸件)及第二區域50B (將在其中形成源極/汲極接觸件)。
在第16圖中,得到相似於第8圖所描述的結構。然後,將源極/汲極接觸件92及閘極接觸件94凹陷化,以形成凹口112。凹口112暴露出第二層間介電層90與接觸件92、94的界面處的表面的一部分,例如,第二層間介電層90的側壁的一部分。可以藉由可接受的蝕刻製程而進行凹陷化,例如,對接觸件92、94的導電材料具有選擇性的蝕刻製程。在接觸件92、94是由鈷所形成的實施例中,可以使用基於氟的(fluorine based)蝕刻劑或基於氯的(chlorine based)蝕刻劑進行電漿蝕刻。蝕刻氣體可以包括附加氣體(例如,氫氣或氧氣),以將固相的蝕刻副產物昇華。在接觸件92、94是由鈷所形成的其他實施例中,可使用過氧化氫硫酸混合物(sulfuric peroxide mixture, SPM)、鹽酸-過氧化氫-水混合物(hydrochloric acid-hydrogen peroxide-water mixture, HPM)、食人魚溶液(piranha solution)或其他類似物進行濕式化學蝕刻。SPM是硫酸(H2 SO4 )、過氧化氫(H2 O2 )及去離子水(DIW)的混合物。HPM是鹽酸(HCl)、過氧化氫及水的混合物。食人魚溶液是硫酸及過氧化氫的混合物。作為形成凹口112的示範例,可以使用SPM藉由濕式蝕刻而蝕刻接觸件92、94,持續時間在大約3秒至大約10秒的範圍內,如此可以將凹口112形成為至少約2 nm的深度D5 ,例如,在大約2 nm至大約15 nm的範圍內。深度D5 可以是第二層間介電層90的原始高度的大約4%到大約25%。如此的蝕刻製程可以導致第二層間介電層90的介電材料與接觸件92、94的導電材料之間的高蝕刻選擇性。舉例而言,如此的蝕刻製程可以選擇性地蝕刻第二層間介電層90的介電材料,其速度比接觸件92、94的導電材料快約10倍。在形成凹口112之後,接觸件92、94具有凹形的頂表面。將接觸件92、94凹陷化,以使其具有凹形的頂表面,使得接觸件92、94的暴露表面積增加約100%至約700%的量。
可選地,可以進行一個或多個佈植製程以修飾第二層間介電層90的上部分區域90M、源極/汲極接觸件92的暴露區域92M及閘極接觸件94的暴露區域94M。在佈植之後,可進行退火,以活化所佈植的雜質。佈植及退火可以相似於第9圖所描述的佈植及退火。
在第17圖中,形成金屬層間介電層102於第二層間介電層90上。形成導電部件104於金屬層間介電層102及凹口112中。可以使用相似於第10圖所描述的方法,而形成金屬層間介電層102及導電部件104。
導電部件104與接觸件92、94的凹形的頂表面接觸。換言之,導電部件104A接觸源極/汲極接觸件92的凹形的頂表面,並且導電部件104B接觸閘極接觸件94的凹形的頂表面。由於將接觸件92、94凹陷化,導電部件104A、104B部分地延伸到第二層間介電層90之中,且導電部件104延伸穿過金屬層間介電層102,而導電部件104以一定距離D5 部分地延伸到第二層間介電層90之中。再者,導電部件104延伸到接觸件92、94之中。因此,每一個導電部件104均物理性地接觸第二層間介電層90的側壁及接觸件92、94其中一者的凹形的頂表面。因此可以增加接觸件92、94與導電部件104之間的界面的表面積。舉例而言,每一個界面的表面積可以增加約100%至約700%的量。增加接觸件92、94與導電部件104之間的界面的表面積能夠有助於減小接觸件的電阻。因為導電部件104延伸穿過金屬層間介電層102並且部分地進入第二層間介電層90之中,所以接觸件92、94的最終高度小於第二層間介電層90的最終高度。具體而言,第二層間介電層90的頂表面被設置成比接觸件92、94的頂表面更遠離基板50。此外,如上所述,形成摻雜區域92M、94M可以幫助減小接觸件92、94與導電部件104之間的功函數差異。具體而言,摻雜區域92M、94M的材料的功函數大於接觸件92、94的材料的功函數,並且小於導電部件104的材料的功函數。減小接觸件92、94與導電部件104之間的功函數差異能夠有助於減小接觸件的電阻。
第18圖是依據一些其他實施例之鰭式場效電晶體的剖面示意圖。除了源極/汲極接觸件包括下部源極/汲極接觸件92A及上部源極/汲極接觸件92B,而相似於第11圖所描述的實施例之外,本實施例相似於第17圖所描述的實施例。下部汲極接觸件92A延伸穿過第一層間介電層74及接觸蝕刻停止層72,且上部源極/汲極接觸件92B部分地延伸穿過第二層間介電層90。因此,藉由蝕刻接觸件92B、94,以形成凹口112 (見第16圖)。
應可理解的是,一些實施例可以結合來自第17圖及第18圖所繪示實施例的部件。舉例而言,位於晶粒的第一區域(例如,輸入/輸出區域)中的源極/汲極接觸件可以是連續的導電部件,其延伸穿過多個層間介電層(如第17圖所繪示),並且位於晶粒的第二區域(例如,核心邏輯區域)中的源極/汲極接觸件可以在各別對相應的層間介電層中具有獨立的上部導電部件及下部導電部件(如第18圖所繪示)。
第19圖是依據一些其他實施例之鰭式場效電晶體的剖面示意圖。除了上部源極/汲極接觸件92B部分地延伸到第一層間介電層74及下部源極/汲極接觸件92A中之外,本實施例相似於第18圖所描述的實施例。可以在形成第二層間介電層90之前,將下部源極/汲極接觸件92A凹陷化,藉此形成上部源極/汲極接觸件92B,進而形成用於下部源極/汲極接觸件92A的凹形的頂表面。作為形成用於下部源極/汲極接觸件92A的凹形的頂表面的示範例,可以使用與第16圖所描述的用於將上部源極/汲極接觸件92B凹陷化的製程相似的製程,而將下部源極/汲極接觸件92A凹陷化,如此可以將下部源極/汲極接觸件92A凹陷化到至少約2 nm的深度D6 ,例如,在約2 nm至約15 nm的範圍內。深度D6 可以是下部源極/汲極接觸件92A的原始高度的大約4%到大約25%。
針對所揭露的實施例的一些變化例是可能的。舉例而言,在第18圖所描述的實施例中,在形成上部源極/汲極接觸件92B之前,可以使用相似於第12圖及第15圖所描述的實施例的方法,將第一層間介電層74凹陷化,進而使下部源極/汲極接觸件92A延伸到上部源極/汲極接觸件92B之中。相似地,在第11圖及第14圖所描述的實施例中,在形成上部源極/汲極接觸件92B之前,可以使用相似於第19圖所描述的實施例的方法,將下部源極/汲極接觸件92A凹陷化,進而使上部源極/汲極接觸件92B延伸到下部源極/汲極接觸件92A之中。
本文所描述的實施例可實現許多優點。將第二層間介電層90或接觸件92、94凹陷化,使得接觸件92、94具有暴露的側壁、凸形的頂表面或凹形的頂表面。形成具有暴露的側壁、凸形的頂表面或凹的頂表面的接觸件92、94能夠有助於增加接觸件92、94與導電部件104之間的界面的表面積。增加接觸件92、94與導電部件104之間的界面的表面積能夠有助於減小接觸件的電阻,進而改善鰭式場效電晶體的效能。再者,摻雜接觸件92、94的上部分區域可以減小接觸件92、94與導電部件104之間的功函數差異,特別是當接觸件92、94與導電部件104是由不同的導電材料所形成時。減小接觸件92、94與導電部件104之間的功函數差異能夠有助於減小接觸件的電阻,進而改善鰭式場效電晶體的效能。
在一實施例中,提供一種半導體結構,包括:閘極堆疊,位於基板的通道區域之上;源極/汲極區域,相鄰於上述通道區域;第一層間介電層,位於上述源極/汲極區域及上述閘極堆疊之上;第一金屬層間介電層,位於上述第一層間介電層之上;第一導電部件,延伸穿過上述第一金屬層間介電層;第二導電部件,延伸穿過上述第一金屬層間介電層;源極/汲極接觸件,延伸穿過上述第一層間介電層並且部分地進入上述第一導電部件之中,上述源極/汲極接觸件物理性地接觸上述源極/汲極區域及上述第一導電部件;以及閘極接觸件,延伸穿過上述第一層間介電層並且部分地進入上述第二導電部件之中,上述閘極接觸件物理性地接觸上述閘極堆疊。
在上述半導體結構的一些實施例中,上述源極/汲極接觸件具有第一凸形頂表面物理性地接觸上述第一導電部件,且上述閘極接觸件具有第二凸形頂表面物理性地接觸上述第二導電部件。在上述半導體結構的一些實施例中,上述源極/汲極接觸件具有多個第一側壁及第一平坦頂表面各自物理性地接觸上述第一導電部件,且上述閘極接觸件具有的多個第二側壁及第二平坦頂表面各自物理性地接觸上述第二導電部件。在一些實施例中,上述半導體結構更包括:第二層間介電層,位於上述源極/汲極區域與上述第一層間介電層之間,其中上述源極/汲極接觸件是連續的導電部件,其中上述連續的導電部件延伸穿過上述第一層間介電層、穿過上述第二層間介電層並且部分地進入上述第一導電部件之中。在一些實施例中,上述半導體結構更包括:第二層間介電層,位於上述源極/汲極區域與上述第一層間介電層之間,其中上述源極/汲極接觸件包括:第三導電部件,延伸穿過上述第一層間介電層並且部分地進入上述第一導電部件之中;以及第四導電部件,位於上述第三導電部件與上述源極/汲極區域之間,上述第四導電部件延伸穿過上述第二層間介電層。在一些實施例中,上述半導體結構更包括:第二層間介電層,位於上述源極/汲極區域與上述第一層間介電層之間,其中上述源極/汲極接觸件包括:第三導電部件,延伸穿過上述第一層間介電層並且部分地進入上述第一導電部件之中;以及第四導電部件,位於上述第三導電部件與上述源極/汲極區域之間,上述第四導電部件延伸穿過上述第二層間介電層並且部分地進入上述第三導電部件之中。在上述半導體結構的一些實施例中,上述源極/汲極接觸件及上述閘極接觸件的每一者包括第一導電材料,上述第一導電部件及上述第二導電部件的每一者包括第二導電材料,且上述第一導電材料不同於上述第二導電材料。在上述半導體結構的一些實施例中,上述第一導電材料具有功函數大於上述第二導電材料的功函數。
在一實施例中,提供一種半導體結構,包括:閘極堆疊,位於基板的通道區域之上;源極/汲極區域,相鄰於上述通道區域;第一層間介電層,位於上述源極/汲極區域及上述閘極堆疊之上;第一金屬層間介電層,位於上述第一層間介電層之上;第一導電部件,延伸穿過上述第一金屬層間介電層並且部分地進入上述第一層間介電層之中;第二導電部件,延伸穿過上述第一金屬層間介電層並且部分地進入上述第一層間介電層之中;源極/汲極接觸件,延伸且部分地進入上述第一層間介電層之中,上述源極/汲極接觸件物理性地接觸上述源極/汲極區域及上述第一導電部件;以及閘極接觸件,延伸且部分地進入上述第一層間介電層之中,上述閘極接觸件物理性地接觸上述閘極堆疊。
在上述半導體結構的一些實施例中,上述源極/汲極接觸件具有第一凹形頂表面物理性地接觸上述第一導電部件,且上述閘極接觸件具有第二凹形頂表面物理性地接觸上述第二導電部件。在一些實施例中,上述半導體結構更包括:第二層間介電層,位於上述源極/汲極區域與上述第一層間介電層之間,其中上述源極/汲極接觸件是連續的導電部件,其中上述連續的導電部件延伸穿過上述第一層間介電層且穿過上述第二層間介電層。在一些實施例中,上述半導體結構更包括:第二層間介電層,位於上述源極/汲極區域與上述第一層間介電層之間,其中上述源極/汲極接觸件包括:第三導電部件,延伸且部分地進入上述第一層間介電層之中;以及第四導電部件,位於上述第三導電部件與上述源極/汲極區域之間,上述第四導電部件延伸穿過上述第二層間介電層。在一些實施例中,上述半導體結構更包括:第二層間介電層,位於上述源極/汲極區域與上述第一層間介電層之間,其中上述源極/汲極接觸件包括:第三導電部件,延伸且部分地進入上述第一層間介電層之中並且部分地進入上述第二層間介電層之中;以及第四導電部件,位於上述第三導電部件與上述源極/汲極區域之間,上述第四導電部件延伸且部分地進入上述第二層間介電層之中。在上述半導體結構的一些實施例中,上述源極/汲極接觸件及上述閘極接觸件的每一者包括第一導電材料,上述第一導電部件及上述第二導電部件的每一者包括第二導電材料,且上述第一導電材料不同於上述第二導電材料。在上述半導體結構的一些實施例中,上述第一導電材料具有功函數大於上述第二導電材料的功函數。
在一實施例中,提供一種半導體結構的形成方法,包括:形成閘極堆疊於基板的通道區域之上;成長源極/汲極區域相鄰於上述通道區域;沉積第一層間介電層於上述源極/汲極區域及上述閘極堆疊之上;形成源極/汲極接觸件穿過上述第一層間介電層,上述源極/汲極接觸件物理性地接觸上述源極/汲極區域;形成閘極接觸件穿過上述第一層間介電層,上述閘極接觸件物理性地接觸上述閘極堆疊;凹陷化上述第一層間介電層,以暴露上述源極/汲極接觸件的第一側壁及上述閘極接觸件的第二側壁;形成第一導電部件物理性地接觸上述源極/汲極接觸件的上述第一側壁及第一頂表面;以及形成第二導電部件物理性地接觸上述閘極接觸件的上述第二側壁及第二頂表面。
在上述半導體結構的形成方法的一些實施例中,凹陷化上述第一層間介電層使上述源極/汲極接觸件的暴露面積及上述閘極接觸件的暴露面積增加100%至700%的量。在一些實施例中,上述半導體結構的形成方法更包括:沉積第二層間介電層於上述源極/汲極區域之上,其中上述第一層間介電層被沉積於上述第二層間介電層之上;其中形成上述源極/汲極接觸件包括:形成下部源極/汲極接觸件於上述第二層間介電層中;將上述第二層間介電層凹陷化;以及形成上部源極/汲極接觸件於上述第一層間介電層中。在一些實施例中,上述半導體結構的形成方法更包括:沉積第二層間介電層於上述源極/汲極區域之上,其中上述第一層間介電層被沉積於上述第二層間介電層之上;其中形成上述源極/汲極接觸件包括:形成下部源極/汲極接觸件於上述第二層間介電層中;將上述下部源極/汲極接觸件凹陷化;以及形成上部源極/汲極接觸件於上述第一層間介電層中。在一些實施例中,上述半導體結構的形成方法更包括:沉積第二層間介電層於上述源極/汲極區域之上,其中上述第一層間介電層被沉積於上述第二層間介電層之上;其中形成上述源極/汲極接觸件包括:形成連續的導電部件穿過上述第一層間介電層及上述第二層間介電層。
前述內文概述了許多實施例的部件,使本技術領域中具有通常知識者可以從各個方面更佳地了解本發明實施例。本技術領域中具有通常知識者應可理解,且可輕易地以本發明實施例為基礎來設計或修飾其他製程及結構,並以此達到相同的目的及/或達到與在此介紹的實施例等相同之優點。本技術領域中具有通常知識者也應了解這些相等的結構並未背離本發明的發明精神與範圍。在不背離本發明的發明精神與範圍之前提下,可對本發明進行各種改變、置換或修改。
雖然本發明已以數個較佳實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可作任意之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
50:基板 50A:區域(第一區域) 50B:區域(第二區域) 50N:區域 50P:區域 52:鰭片 56:淺溝槽隔離區域 58:通道區域 60:虛置介電質 62:虛置閘極 64:罩幕 66:閘極間隔物 70:源極/汲極區域(磊晶源極/汲極區域) 72:接觸蝕刻停止層 74:第一層間介電層 80:閘極結構 82:閘極介電質 84:閘極電極 90:第二層間介電層 90M:摻雜區域(上部分區域) 92:源極/汲極接觸件 92A:下部汲極接觸件 92B:上部汲極接觸件 92M:摻雜區域(暴露區域) 94:閘極接觸件 94M:摻雜區域(暴露區域) 98:凹口 102:金屬層間介電層 104:導電部件 104A:導電部件 104B:導電部件 112:凹口 D1:深度(距離) D2:深度 D3:深度 D4:深度 D5:深度(距離) D6:深度
依據以下的詳細說明並配合所附圖式做完整揭露。應注意的是,依據本產業的一般作業,圖式並未必按照比率繪製。事實上,可能任意的放大或縮小元件的尺寸,以做清楚的說明。 第1圖是依據一些實施例之鰭式場效電晶體的示範例的三維立體示意圖。 第2圖及第3圖是依據一些實施例之製造鰭式場效電晶體的中間階段的三維立體示意圖。 第4A圖、第4B圖、第4C圖、第4D圖、第5A圖、第5B圖、第6A圖、第6B圖、第7A圖及第7B圖是依據一些實施例之製造鰭式場效電晶體的另外的中間階段的剖面示意圖。 第8圖、第9圖及第10圖是依據一些實施例之製造鰭式場效電晶體的另外的中間階段的剖面示意圖。 第11圖是依據一些其他實施例之鰭式場效電晶體的剖面示意圖。 第12圖是依據一些其他實施例之鰭式場效電晶體的剖面示意圖。 第13圖是依據一些其他實施例之鰭式場效電晶體的剖面示意圖。 第14圖是依據一些其他實施例之鰭式場效電晶體的剖面示意圖。 第15圖是依據一些其他實施例之鰭式場效電晶體的剖面示意圖。 第16圖及第17圖是依據一些其他實施例之製造鰭式場效電晶體的中間階段的剖面示意圖。 第18圖是依據一些其他實施例之鰭式場效電晶體的剖面示意圖。 第19圖是依據一些其他實施例之鰭式場效電晶體的剖面示意圖。
50:基板
50A:區域(第一區域)
50B:區域(第二區域)
50N:區域
50P:區域
52:鰭片
58:通道區域
66:閘極間隔物
70:源極/汲極區域(磊晶源極/汲極區域)
72:接觸蝕刻停止層
74:第一層間介電層
82:閘極介電質
84:閘極電極
90:第二層間介電層
90M:摻雜區域(上部分區域)
92:源極/汲極接觸件
92M:摻雜區域(暴露區域)
94:閘極接觸件
94M:摻雜區域(暴露區域)
102:金屬層間介電層
104:導電部件
104A:導電部件
104B:導電部件
D1:深度(距離)

Claims (20)

  1. 一種半導體結構,包括: 一閘極堆疊,位於一基板的一通道區域之上; 一源極/汲極區域,相鄰於該通道區域; 一第一層間介電層,位於該源極/汲極區域及該閘極堆疊之上; 一第一金屬層間介電層,位於該第一層間介電層之上; 一第一導電部件,延伸穿過該第一金屬層間介電層; 一第二導電部件,延伸穿過該第一金屬層間介電層; 一源極/汲極接觸件,延伸穿過該第一層間介電層並且部分地進入該第一導電部件之中,該源極/汲極接觸件物理性地接觸該源極/汲極區域及該第一導電部件;以及 一閘極接觸件,延伸穿過該第一層間介電層並且部分地進入該第二導電部件之中,該閘極接觸件物理性地接觸該閘極堆疊。
  2. 如請求項1所述之半導體結構,其中該源極/汲極接觸件具有一第一凸形頂表面物理性地接觸該第一導電部件,且該閘極接觸件具有一第二凸形頂表面物理性地接觸該第二導電部件。
  3. 如請求項1所述之半導體結構,其中該源極/汲極接觸件具有多個第一側壁及一第一平坦頂表面各自物理性地接觸該第一導電部件,且該閘極接觸件具有的多個第二側壁及一第二平坦頂表面各自物理性地接觸該第二導電部件。
  4. 如請求項1所述之半導體結構,更包括: 一第二層間介電層,位於該源極/汲極區域與該第一層間介電層之間, 其中該源極/汲極接觸件是一連續的導電部件,其中該連續的導電部件延伸穿過該第一層間介電層、穿過該第二層間介電層並且部分地進入該第一導電部件之中。
  5. 如請求項1所述之半導體結構,更包括: 一第二層間介電層,位於該源極/汲極區域與該第一層間介電層之間, 其中該源極/汲極接觸件包括: 一第三導電部件,延伸穿過該第一層間介電層並且部分地進入該第一導電部件之中;以及 一第四導電部件,位於該第三導電部件與該源極/汲極區域之間,該第四導電部件延伸穿過該第二層間介電層。
  6. 如請求項1所述之半導體結構,更包括: 一第二層間介電層,位於該源極/汲極區域與該第一層間介電層之間, 其中該源極/汲極接觸件包括: 一第三導電部件,延伸穿過該第一層間介電層並且部分地進入該第一導電部件之中;以及 一第四導電部件,位於該第三導電部件與該源極/汲極區域之間,該第四導電部件延伸穿過該第二層間介電層並且部分地進入該第三導電部件之中。
  7. 如請求項1所述之半導體結構,其中該源極/汲極接觸件及該閘極接觸件的每一者包括一第一導電材料,該第一導電部件及該第二導電部件的每一者包括一第二導電材料,且該第一導電材料不同於該第二導電材料。
  8. 如請求項7所述之半導體結構,其中該第一導電材料具有一功函數大於該第二導電材料的一功函數。
  9. 一種半導體結構,包括: 一閘極堆疊,位於一基板的一通道區域之上; 一源極/汲極區域,相鄰於該通道區域; 一第一層間介電層,位於該源極/汲極區域及該閘極堆疊之上; 一第一金屬層間介電層,位於該第一層間介電層之上; 一第一導電部件,延伸穿過該第一金屬層間介電層並且部分地進入該第一層間介電層之中; 一第二導電部件,延伸穿過該第一金屬層間介電層並且部分地進入該第一層間介電層之中; 一源極/汲極接觸件,延伸且部分地進入該第一層間介電層之中,該源極/汲極接觸件物理性地接觸該源極/汲極區域及該第一導電部件;以及 一閘極接觸件,延伸且部分地進入該第一層間介電層之中,該閘極接觸件物理性地接觸該閘極堆疊。
  10. 如請求項9所述之半導體結構,其中該源極/汲極接觸件具有一第一凹形頂表面物理性地接觸該第一導電部件,且該閘極接觸件具有一第二凹形頂表面物理性地接觸該第二導電部件。
  11. 如請求項9所述之半導體結構,更包括: 一第二層間介電層,位於該源極/汲極區域與該第一層間介電層之間, 其中該源極/汲極接觸件是一連續的導電部件,其中該連續的導電部件延伸穿過該第一層間介電層且穿過該第二層間介電層。
  12. 如請求項9所述之半導體結構,更包括: 一第二層間介電層,位於該源極/汲極區域與該第一層間介電層之間, 其中該源極/汲極接觸件包括: 一第三導電部件,延伸且部分地進入該第一層間介電層之中;以及 一第四導電部件,位於該第三導電部件與該源極/汲極區域之間,該第四導電部件延伸穿過該第二層間介電層。
  13. 如請求項9所述之半導體結構,更包括: 一第二層間介電層,位於該源極/汲極區域與該第一層間介電層之間, 其中該源極/汲極接觸件包括: 一第三導電部件,延伸且部分地進入該第一層間介電層之中並且部分地進入該第二層間介電層之中;以及 一第四導電部件,位於該第三導電部件與該源極/汲極區域之間,該第四導電部件延伸且部分地進入該第二層間介電層之中。
  14. 如請求項9所述之半導體結構,其中該源極/汲極接觸件及該閘極接觸件的每一者包括一第一導電材料,該第一導電部件及該第二導電部件的每一者包括一第二導電材料,且該第一導電材料不同於該第二導電材料。
  15. 如請求項14所述之半導體結構,其中該第一導電材料具有一功函數大於該第二導電材料的一功函數。
  16. 一種半導體結構的形成方法,包括: 形成一閘極堆疊於一基板的一通道區域之上; 成長一源極/汲極區域相鄰於該通道區域; 沉積一第一層間介電層於該源極/汲極區域及該閘極堆疊之上; 形成一源極/汲極接觸件穿過該第一層間介電層,該源極/汲極接觸件物理性地接觸該源極/汲極區域; 形成一閘極接觸件穿過該第一層間介電層,該閘極接觸件物理性地接觸該閘極堆疊; 凹陷化該第一層間介電層,以暴露該源極/汲極接觸件的一第一側壁及該閘極接觸件的一第二側壁; 形成一第一導電部件物理性地接觸該源極/汲極接觸件的該第一側壁及一第一頂表面;以及 形成一第二導電部件物理性地接觸該閘極接觸件的該第二側壁及一第二頂表面。
  17. 如請求項16所述之半導體結構的形成方法,其中凹陷化該第一層間介電層使該源極/汲極接觸件的一暴露面積及該閘極接觸件的一暴露面積增加100%至700%的量。
  18. 如請求項16所述之半導體結構的形成方法,更包括: 沉積一第二層間介電層於該源極/汲極區域之上,其中該第一層間介電層被沉積於該第二層間介電層之上; 其中形成該源極/汲極接觸件包括: 形成一下部源極/汲極接觸件於該第二層間介電層中; 將該第二層間介電層凹陷化;以及 形成一上部源極/汲極接觸件於該第一層間介電層中。
  19. 如請求項16所述之半導體結構的形成方法,更包括: 沉積一第二層間介電層於該源極/汲極區域之上,其中該第一層間介電層被沉積於該第二層間介電層之上; 其中形成該源極/汲極接觸件包括: 形成一下部源極/汲極接觸件於該第二層間介電層中; 將該下部源極/汲極接觸件凹陷化;以及 形成一上部源極/汲極接觸件於該第一層間介電層中。
  20. 如請求項16所述之半導體結構的形成方法,更包括: 沉積一第二層間介電層於該源極/汲極區域之上,其中該第一層間介電層被沉積於該第二層間介電層之上; 其中形成該源極/汲極接觸件包括: 形成一連續的導電部件穿過該第一層間介電層及該第二層間介電層。
TW110115265A 2020-05-08 2021-04-28 半導體結構及其形成方法 TWI827923B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/869,861 2020-05-08
US16/869,861 US11398385B2 (en) 2020-05-08 2020-05-08 Semiconductor device and method

Publications (2)

Publication Number Publication Date
TW202143491A true TW202143491A (zh) 2021-11-16
TWI827923B TWI827923B (zh) 2024-01-01

Family

ID=76921707

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110115265A TWI827923B (zh) 2020-05-08 2021-04-28 半導體結構及其形成方法

Country Status (5)

Country Link
US (3) US11398385B2 (zh)
KR (1) KR102418726B1 (zh)
CN (1) CN113178446A (zh)
DE (1) DE102020113628A1 (zh)
TW (1) TWI827923B (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210137276A (ko) * 2020-05-07 2021-11-17 삼성전자주식회사 반도체 소자
US11398385B2 (en) * 2020-05-08 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
KR20220045314A (ko) * 2020-10-05 2022-04-12 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US11495599B2 (en) * 2021-02-19 2022-11-08 Nanya Technology Corporation Semiconductor device with self-aligning contact and method for fabricating the same
US11721700B2 (en) * 2021-06-23 2023-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing thereof

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
CN104183609B (zh) 2013-05-21 2017-08-01 中芯国际集成电路制造(上海)有限公司 半导体器件及其制备方法
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9396953B2 (en) * 2014-03-14 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Conformity control for metal gate stack
US9406804B2 (en) 2014-04-11 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with contact-all-around
US9443769B2 (en) 2014-04-21 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Wrap-around contact
US9831183B2 (en) 2014-08-07 2017-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure and method of forming
US10727122B2 (en) 2014-12-08 2020-07-28 International Business Machines Corporation Self-aligned via interconnect structures
US9466727B1 (en) * 2015-10-29 2016-10-11 United Microelectronics Corp. Semiconductor device and method of fabricating the same
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10014255B2 (en) 2016-03-14 2018-07-03 International Business Machines Corporation Contacts having a geometry to reduce resistance
US9548366B1 (en) 2016-04-04 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self aligned contact scheme
US10522359B2 (en) * 2016-11-29 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming
US10157785B2 (en) * 2017-05-01 2018-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10468300B2 (en) * 2017-07-05 2019-11-05 Globalfoundries Inc. Contacting source and drain of a transistor device
US10651292B2 (en) 2018-02-19 2020-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. Dual metal via for contact resistance reduction
US10475702B2 (en) 2018-03-14 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive feature formation and structure using bottom-up filling deposition
US10529860B2 (en) * 2018-05-31 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for FinFET device with contact over dielectric gate
US10840189B2 (en) 2018-07-30 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit devices having raised via contacts and methods of fabricating the same
US11121025B2 (en) 2018-09-27 2021-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Layer for side wall passivation
US10943983B2 (en) 2018-10-29 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits having protruding interconnect conductors
US11342378B2 (en) * 2019-04-25 2022-05-24 Taiwan Semiconductor Manufacturing Company Ltd. Magnetic tunnel junction device with residue-protection sidewall spacer and the method for forming a magnetic tunnel junction device with residue-protection sidewall spacer
US11450563B2 (en) * 2020-04-29 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure and method
US11398385B2 (en) * 2020-05-08 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method

Also Published As

Publication number Publication date
TWI827923B (zh) 2024-01-01
US11854814B2 (en) 2023-12-26
DE102020113628A1 (de) 2021-11-11
CN113178446A (zh) 2021-07-27
US20230352308A1 (en) 2023-11-02
KR102418726B1 (ko) 2022-07-11
US11398385B2 (en) 2022-07-26
US20220359210A1 (en) 2022-11-10
US20210351039A1 (en) 2021-11-11
KR20210137363A (ko) 2021-11-17

Similar Documents

Publication Publication Date Title
US11004688B2 (en) FinFET device and method of forming
TWI827923B (zh) 半導體結構及其形成方法
US11532519B2 (en) Semiconductor device and method
TWI801859B (zh) 半導體裝置及其形成方法
TW202232582A (zh) 半導體裝置及其製造方法
KR102522809B1 (ko) 반도체 소자 및 그 형성 방법
TW202118067A (zh) 半導體裝置
CN113410230A (zh) 半导体器件及方法
TW202109623A (zh) 形成半導體裝置的方法
TWI758149B (zh) 鰭式場效電晶體裝置及其形成方法
TWI827115B (zh) 半導體裝置及其形成方法
KR102623749B1 (ko) 갭충전 구조물 및 그 제조 방법
US20230299136A1 (en) Semiconductor device and method
TWI808458B (zh) 半導體裝置及其形成方法
US20220277994A1 (en) Conductive feature of semiconductor device and method of forming same
US20230043635A1 (en) Semiconductor device and method
US20220246479A1 (en) Source/drain regions and methods of forming same
KR20220154598A (ko) 반도체 디바이스 및 제조 방법