TW202133252A - 蝕刻方法、基板處理裝置及基板處理系統 - Google Patents

蝕刻方法、基板處理裝置及基板處理系統 Download PDF

Info

Publication number
TW202133252A
TW202133252A TW110101580A TW110101580A TW202133252A TW 202133252 A TW202133252 A TW 202133252A TW 110101580 A TW110101580 A TW 110101580A TW 110101580 A TW110101580 A TW 110101580A TW 202133252 A TW202133252 A TW 202133252A
Authority
TW
Taiwan
Prior art keywords
film
substrate
area
gas
mask
Prior art date
Application number
TW110101580A
Other languages
English (en)
Inventor
笹川大成
戸村幕樹
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202133252A publication Critical patent/TW202133252A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02595Microstructure polycrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching

Abstract

本發明提供一種技術,對於遮罩之蝕刻提高基板內的區域之蝕刻的選擇性,並提高形成於該區域之開口的垂直性。例示性實施形態之蝕刻方法,包含於基板之表面上形成膜的步驟。該基板具備至少一部分由氧化矽形成之區域、及遮罩。該遮罩設置於基板之區域上,提供使該區域部分地露出的開口。該膜由與基板之區域的材料同種類的材料形成。該膜形成為將界定開口之側壁面的形狀修正為垂直的形狀。蝕刻方法,進一步包含區域蝕刻步驟,蝕刻基板之區域。

Description

蝕刻方法、基板處理裝置及基板處理系統
本發明之例示性實施形態,係關於一種蝕刻方法、基板處理裝置、及基板處理系統。
為了於基板內的膜形成開口,利用電漿蝕刻。專利文獻1,揭露矽氧化膜之電漿蝕刻。藉由來自由氟碳化物氣體形成之電漿的化學種,蝕刻矽氧化膜。於矽氧化膜之蝕刻中,使氟碳化物沉積在界定開口之側壁面上,抑制矽氧化膜的往橫向之蝕刻。 [習知技術文獻] [專利文獻]
專利文獻1:日本特開第2019-050305號公報
[本發明所欲解決的問題]
本發明提供一種技術,對於遮罩之蝕刻提高基板內的區域之蝕刻的選擇性,提高形成於該區域之開口的垂直性。 [解決問題之技術手段]
於一例示性實施形態中,提供一種蝕刻方法。該蝕刻方法,包含膜形成步驟,於基板之表面上形成膜。基板,具備至少一部分由氧化矽形成之區域、及遮罩。遮罩,設置於基板之區域上,提供使該區域部分地露出的開口。膜,由與基板之區域的材料同種類的材料形成。蝕刻方法,進一步包含區域蝕刻步驟,蝕刻基板之區域。膜,修正遮罩的形狀,俾提高於區域蝕刻步驟中形成於該區域之開口的垂直性。 [本發明之效果]
依一例示性實施形態,則可對於遮罩之蝕刻提高基板內的區域之蝕刻的選擇性,提高形成於該區域之開口的垂直性。
以下,針對各種例示性實施形態予以說明。
於一例示性實施形態中,提供一種蝕刻方法。該蝕刻方法,包含膜形成步驟,於基板之表面上形成膜。基板,具備至少一部分由氧化矽形成之區域、及遮罩。遮罩,設置於基板之區域上,提供使該區域部分地露出的開口。膜,由與基板之區域的材料同種類的材料形成。蝕刻方法,進一步包含區域蝕刻步驟,蝕刻基板之區域。膜,修正遮罩的形狀,俾提高於區域蝕刻步驟中形成於該區域之開口的垂直性。
在上述實施形態之蝕刻方法,形成於基板上的膜,在區域的蝕刻開始時保護遮罩。因此,依上述實施形態之蝕刻方法,則提高對於遮罩之蝕刻的基板的區域之蝕刻的選擇性。此外,藉由膜修正遮罩的形狀,故使藉由蝕刻形成於區域之開口的垂直性變高。
一例示性實施形態中,膜,亦可形成為從基板之上端沿著開口之深度方向使其厚度減少。
一例示性實施形態中,亦可交互地重複膜形成步驟與區域蝕刻步驟。
一例示性實施形態中,膜形成步驟,亦可包含:前驅物層形成步驟,藉由將第1氣體供給至基板,而於基板上形成前驅物層;以及由前驅物層形成膜步驟,藉由將第2氣體供給至前驅物層,而由前驅物層形成膜。
一例示性實施形態中,基板之區域,亦可包含矽氧化膜。一例示性實施形態中,基板之區域,亦可包含交互疊層的一層以上之矽氧化膜與一層以上之矽氮化膜。一例示性實施形態中,基板之區域,亦可包含交互疊層的一層以上之矽氧化膜與一層以上之多晶矽膜。一例示性實施形態中,基板之區域,亦可包含疊層的一層以上之矽氧化膜、一層以上之矽氮化膜、及一層以上之多晶矽膜。
一例示性實施形態中,遮罩,亦可由矽、含碳材料、或含金屬材料形成。
另一例示性實施形態中,提供一種基板處理裝置。基板處理裝置,具備腔室、氣體供給部、及控制部。氣體供給部,往腔室內供給氣體。控制部,為了於基板上形成膜,控制氣體供給部俾往腔室內供給氣體。基板,具備至少一部分由氧化矽形成之區域、及遮罩。膜,由與基板之區域的材料同種類的材料形成。膜,形成為藉由修正遮罩的形狀,而提高藉由蝕刻形成於區域之開口的垂直性。控制部,為了蝕刻基板之區域,控制氣體供給部俾往腔室內供給氣體。
再另一例示性實施形態中,提供一種基板處理系統。基板處理系統,具備成膜裝置及基板處理裝置。成膜裝置,於基板上形成膜。基板,具備至少一部分由氧化矽形成之區域、及遮罩。膜,由與基板之區域的材料同種類的材料形成。膜,形成為藉由修正遮罩的形狀,而提高藉由蝕刻形成於區域之開口的垂直性。基板處理裝置,蝕刻基板之區域。
以下,參考圖式,針對各種例示性實施形態詳細地予以說明。另,對於各圖式中相同或相當的部分,給予相同符號。
圖1係一例示性實施形態之蝕刻方法的流程圖。圖1所示之蝕刻方法(下稱「方法MT」),係為了蝕刻基板內之區域而實行。圖2係一例之基板的部分放大剖面圖。圖2所示之基板W,具備區域RE及遮罩MK。基板W,亦可進一步具備基底區域UR。
區域RE,係方法MT中蝕刻之區域。於圖2所示之基板W中,區域RE,設置於基底區域UR上。遮罩MK,設置於區域RE上。使遮罩MK圖案化。亦即,遮罩MK,提供使區域RE部分地露出之一個以上的開口OP。遮罩MK所提供的開口OP之寬度,例如可為100nm以下。
區域RE,至少一部分由氧化矽形成。於基板W的第1例中,區域RE,亦可包含矽氧化膜。於基板W的第1例中,區域RE,亦可由單一矽氧化膜形成。於基板W的第2例中,區域RE,亦可包含交互疊層的一層以上之矽氧化膜與一層以上之矽氮化膜。於基板W的第2例中,區域RE,亦可包含單一矽氧化膜與單一矽氮化膜。單一矽氮化膜,可設置於單一矽氧化膜與遮罩MK之間。於基板W的第3例中,區域RE,亦可包含交互疊層的一層以上之矽氧化膜與一層以上之多晶矽膜。於基板W的第4例中,區域RE,亦可包含疊層的一層以上之矽氧化膜、一層以上之矽氮化膜、及一層以上之多晶矽膜。
遮罩MK,只要於後述步驟ST2中對遮罩MK選擇性地蝕刻區域RE,則可由任意材料形成。遮罩MK,可由矽、含碳材料、或含金屬材料形成。遮罩MK所含的矽,例如為多晶矽或非晶矽。遮罩MK所含的含碳材料,例如為非晶碳或旋塗碳材料。遮罩MK所含的含金屬材料,例如為鎢、碳化鎢、或氮化鈦。
一實施形態中,方法MT,係利用基板處理裝置實行。圖3係概略顯示一例示性實施形態之基板處理裝置的圖。圖3所示之基板處理裝置,為電容耦合型之電漿處理裝置1。
電漿處理裝置1,具備腔室10。腔室10,於其中提供內部空間10s。腔室10之中心軸線,為沿著鉛直方向延伸之軸線AX。一實施形態中,腔室10,包含腔室本體12。腔室本體12,具有略圓筒形狀。於腔室本體12之中,提供內部空間10s。腔室本體12,例如由鋁構成。腔室本體12電性接地。於腔室本體12之內壁面上,設置具有耐腐蝕性的膜。具有耐腐蝕性的膜,可為由氧化鋁、氧化釔等陶瓷形成的膜。
於腔室本體12之側壁,形成通路12p。基板W,在內部空間10s與腔室10的外部之間搬運時,通過通路12p。通路12p,成為可藉由閘閥12g開啟關閉。閘閥12g,沿著腔室本體12之側壁而設置。
電漿處理裝置1,進一步具備基板支持器16。基板支持器16,在腔室10內支持基板W。基板W,可具有略圓盤形狀。基板支持器16,藉由支持體15支持。支持體15,從腔室本體12之底部往上方延伸。支持體15,具有略圓筒形狀。支持體15,由石英等絕緣材料形成。
基板支持器16,包含下部電極18及靜電吸盤20。基板支持器16,亦可進一步包含電極板19。電極板19,由鋁等導電性材料形成。電極板19,具有略圓盤形狀,其中心軸線為軸線AX。下部電極18,設置於電極板19上。下部電極18,由鋁等導電性材料形成。下部電極18,具有略圓盤形狀,其中心軸線為軸線AX。下部電極18,與電極板19電性連接。
於下部電極18內,形成流路18f。流路18f,為熱交換媒體(例如冷媒)用的流路。於流路18f,連接熱交換媒體之供給裝置(例如急冷器單元)。該供給裝置,設置於腔室10之外部。從供給裝置,經由配管23a將熱交換媒體往流路18f供給。供給至流路18f的熱交換媒體,經由配管23b而返回供給裝置。熱交換媒體之供給裝置,構成電漿處理裝置1之溫度調整機構。
圖4係一例示性實施形態之基板處理裝置中的靜電吸盤之放大剖面圖。以下,參考圖3及圖4。靜電吸盤20,設置於下部電極18上。於靜電吸盤20的頂面上,載置基板W。靜電吸盤20,具備本體20m及電極20e。本體20m,由介電材料形成。靜電吸盤20及本體20m,各自具有略圓盤形狀,其中心軸線為軸線AX。電極20e,為膜狀之電極,設置於本體20m內。電極20e,經由開關20s而與直流電源20p連接。若對電極20e施加來自直流電源20p的電壓,則在靜電吸盤20與基板W之間產生靜電引力。藉由產生的靜電引力,將基板W吸引至靜電吸盤20,藉由靜電吸盤20保持。
基板支持器16,亦可具備一個以上之加熱器HT。一個以上之加熱器HT,可各自為電阻加熱元件。電漿處理裝置1,可進一步具備加熱器控制器HC。一個以上之加熱器HT,各自因應從加熱器控制器HC單獨給予的電力而發熱。此一結果,調整基板支持器16上之基板W的溫度。一個以上之加熱器HT,構成電漿處理裝置1之溫度調整機構。一實施形態中,基板支持器16,具備複數個加熱器HT。複數個加熱器HT,亦可設置於靜電吸盤20之中。
於基板支持器16之周緣部上,以包圍基板W之邊緣的方式,配置邊緣環ER。基板W,配置於靜電吸盤20上且為由邊緣環ER包圍之區域內。邊緣環ER,係為了改善電漿處理之對於基板W的面內均一性而利用。邊緣環ER,可由矽、碳化矽、或石英形成。
電漿處理裝置1,可進一步具備氣體供給管線25。氣體供給管線25,將來自氣體供給機構之傳熱氣體(例如He氣體),往靜電吸盤20的頂面與基板W的背面(底面)之間的間隙供給。
電漿處理裝置1,可進一步具備筒狀部28及絕緣部29。筒狀部28,由腔室本體12之底部往上方延伸。筒狀部28,沿著支持體15之外周延伸。筒狀部28,由導電性材料形成,具有略圓筒形狀。筒狀部28電性接地。絕緣部29,設置於筒狀部28上。絕緣部29,由具有絕緣性的材料形成。絕緣部29,例如由石英等陶瓷形成。絕緣部29,具有略圓筒形狀。絕緣部29,沿著電極板19之外周、下部電極18之外周、及靜電吸盤20之外周而延伸。
電漿處理裝置1,進一步具備上部電極30。上部電極30,設置於基板支持器16之上方。上部電極30,經由構件32,支持於腔室本體12之上部。構件32,由具有絕緣性的材料形成。上部電極30與構件32,將腔室本體12之上部開口關閉。
上部電極30,可包含頂板34及支持體36。頂板34的底面,為內部空間10s之側的底面,界定內部空間10s。頂板34,可由焦耳熱少之低電阻的導電體或半導體形成。一實施形態中,頂板34,由矽形成。於頂板34,形成複數個氣體噴吐孔34a。複數個氣體噴吐孔34a,將頂板34於其板厚方向貫通。
支持體36,以可任意裝卸的方式支持頂板34。支持體36,由鋁等導電性材料形成。於支持體36之內部,設置氣體擴散室36a。於支持體36,形成複數個氣體孔36b。複數個氣體孔36b,從氣體擴散室36a往下方延伸。複數個氣體孔36b,各自與複數個氣體噴吐孔34a連通。於支持體36,形成氣體導入口36c。氣體導入口36c,連接至氣體擴散室36a。於氣體導入口36c,連接氣體供給管38。
於氣體供給管38,經由閥群41、流量控制器群42、及閥群43而連接氣體源群40。氣體源群40、閥群41、流量控制器群42、及閥群43,構成氣體供給部GS。氣體源群40,包含複數個氣體源。氣體源群40的複數個氣體源,包含在方法MT利用之複數種氣體的氣體源。在方法MT利用的一種以上之氣體係由液體形成的情況,複數個氣體源,各自包含具有液體源及氣化器之一個以上的氣體源。閥群41及閥群43,各自包含複數個開閉閥。流量控制器群42,包含複數個流量控制器。流量控制器群42之複數個流量控制器,各自為質量流量控制器或壓力控制式之流量控制器。氣體源群40的複數個氣體源,各自經由閥群41之對應的開閉閥、流量控制器群42之對應的流量控制器、及閥群43之對應的開閉閥,而連接至氣體供給管38。
電漿處理裝置1,亦可進一步具備遮擋構件48。遮擋構件48,設置於筒狀部28與腔室本體12的側壁之間。遮擋構件48,可為板狀的構件。遮擋構件48,例如,藉由在由鋁形成的構件之表面上形成具有耐腐蝕性的膜而構成。具有耐腐蝕性的膜,可為由氧化釔等陶瓷形成之膜。於遮擋構件48,形成複數個貫通孔。於遮擋構件48之下方,且為腔室本體12之底部,設置排氣口12e。於排氣口12e,經由排氣管52而連接排氣裝置50。排氣裝置50,具備壓力調整閥及渦輪分子泵等真空泵。
電漿處理裝置1,進一步具備高頻電源61。高頻電源61,為產生電漿生成用之高頻電力HF的電源。高頻電力HF,具有第1頻率。第1頻率,例如為27~100MHz之範圍內的頻率。為了將高頻電力HF供給至下部電極18,使高頻電源61,經由匹配器61m及電極板19而與下部電極18連接。匹配器61m,具備匹配電路。匹配器61m之匹配電路,具有可變阻抗。調整匹配器61m之匹配電路的阻抗,俾減少來自高頻電源61之負載的反射。另,高頻電源61,亦可不與下部電極18電性連接,可經由匹配器61m而與上部電極30連接。高頻電源61,構成一例之電漿生成部。
電漿處理裝置1,進一步具備偏壓電源62。偏壓電源62,產生用於將離子引入基板W之偏壓電力BP。偏壓電源62,經由電極板19而與下部電極18連接。
一實施形態中,偏壓電源62,亦可為產生高頻電力LF作為偏壓電力BP的高頻電源。高頻電力LF,具有適合將電漿中的離子引入基板W之第2頻率。第2頻率,可為較第1頻率更低之頻率。第2頻率,例如為400kHz~13.56MHz之範圍內的頻率。此實施形態中,偏壓電源62,經由匹配器62m及電極板19而與下部電極18連接。匹配器62m,具備匹配電路。匹配器62m之匹配電路,具有可變阻抗。調整匹配器62m之匹配電路的阻抗,俾減少來自偏壓電源62之負載的反射。
另,亦可僅使用高頻電源61及偏壓電源62中之一個電源生成電漿。此一情況中,一個電源,構成一例之電漿生成部。此一情況中,從一個電源供給的高頻電力之頻率,可為較13.56MHz更大之頻率,例如為40MHz。此一情況中,電漿處理裝置,亦可不具備高頻電源61及偏壓電源62中之另一電源。
另一實施形態中,偏壓電源62,亦可為不連續地或周期性地對下部電極18施加負極性的直流電壓之脈波以作為偏壓電力BP的直流電源裝置。例如,偏壓電源62,亦可藉由1kHz~1MHz之範圍內的頻率,以規定之周期,周期性地對下部電極18施加負極性的直流電壓之脈波。
一實施形態中,電漿處理裝置1,亦可進一步具備直流電源裝置64。直流電源裝置64,連接至上部電極30。直流電源裝置64,對上部電極30施加直流電壓,例如負極性的直流電壓。直流電源裝置64,亦可不連續地或周期性地對上部電極30施加直流電壓之脈波。
於電漿處理裝置1中生成電漿的情況,將氣體,從氣體供給部GS往內部空間10s供給。此外,藉由供給高頻電力,而在上部電極30與下部電極18之間生成高頻電場。藉由生成的高頻電場,激發氣體。此一結果,於腔室10內生成電漿。
電漿處理裝置1,進一步具備控制部80。控制部80,係具備處理器、記憶裝置、輸入裝置、顯示裝置等之電腦,控制電漿處理裝置1的各部。具體而言,控制部80,實行記憶在記憶裝置的控制程式,依據記憶在該記憶裝置的配方資料而控制電漿處理裝置1的各部。藉由控制部80所進行之控制,於電漿處理裝置1中實行由配方資料指定的製程。可藉由控制部80所進行之電漿處理裝置1的各部之控制,於電漿處理裝置1中實行方法MT。
再度參考圖1,針對方法MT詳細地說明。以下說明中,以利用電漿處理裝置1處理圖2所示之基板W的情況為例,說明方法MT。另,方法MT,亦可利用其他基板處理裝置。方法MT,亦可處理其他基板。
方法MT,在將基板W載置於基板支持器16上之狀態下實行。方法MT,可維持腔室10之內部空間10s的減壓之環境,且不將基板W從內部空間10s取出地實行。
方法MT,包含步驟ST1及步驟ST2。在步驟ST1,將膜PF形成於基板W之表面上(參考圖6(b))。在步驟ST2,蝕刻區域RE。膜PF,由與區域RE的材料同種類的材料形成。膜PF,在步驟ST2中之區域RE的蝕刻之際同時蝕刻。一實施形態中,步驟ST2中之膜PF的蝕刻率,可為區域RE的蝕刻率以上。抑或,膜PF的蝕刻率除以區域RE的蝕刻率之值,亦可為0.7以上、1.2以下。一實施形態中,膜PF,由TEOS等氧化矽形成。
於步驟ST1中形成之膜PF,修正遮罩MK的形狀俾提高於步驟ST2中形成於區域RE之開口的垂直性。膜PF,可形成為使遮罩MK的推拔形狀(參考圖2)緩和。於步驟ST1中,可將膜PF,如圖6(b)所示,形成為將界定開口OP之側壁面SS的形狀(參考圖2)修正為垂直的形狀。一實施形態中,膜PF,形成為從基板W之上端沿著開口OP之深度方向使其厚度減少。
此膜PF,亦可於步驟ST1中藉由CVD法形成。CVD法,可為電漿(Plasma Enhanced)CVD法,亦可為熱CVD法。在由CVD法進行的步驟ST1,往腔室10內供給成膜氣體。在由CVD法進行的步驟ST1,亦可於腔室10內由成膜氣體生成電漿。成膜氣體,包含含矽氣體及含氧氣體。含矽氣體,例如為SiCl4 氣體。含氧氣體,例如為O2 氣體。
在由CVD法進行的步驟ST1,控制部80,控制氣體供給部GS,俾往腔室10內供給成膜氣體。此外,控制部80,控制排氣裝置50,俾將腔室10內的壓力設定為指定的壓力。在由CVD法進行的步驟ST1,控制部80,亦可為了由成膜氣體生成電漿而控制電漿生成部。具體而言,控制部80,可控制高頻電源61及/或偏壓電源62,俾供給高頻電力HF及/或高頻電力LF。
抑或,膜PF,亦可於步驟ST1中藉由圖5所示之成膜法形成。圖5係可於一例示性實施形態之蝕刻方法中使用的成膜方法之流程圖。以下,參考圖5暨圖6(a)及圖6(b)。圖6(a)係前驅物層形成後的狀態之一例的基板之部分放大剖面圖。圖6(b)係膜PF形成後的狀態之一例的基板之部分放大剖面圖。
如圖5所示,一實施形態中,步驟ST1,包含步驟ST11及步驟ST13。步驟ST1,亦可進一步包含步驟ST12及步驟ST14。步驟ST12,於步驟ST11與步驟ST13之間實行。步驟ST14,於步驟ST13與步驟ST11之間實行。
在步驟ST11,如圖6(a)所示,將前驅物層PC形成於基板W之表面上。在步驟ST11,為了形成前驅物層PC,使用第1氣體。第1氣體,包含構成前驅物層PC的物質。第1氣體,可為含矽氧氣體。第1氣體,例如包含胺基矽烷氣體。在步驟ST11,可不由第1氣體生成電漿地形成前驅物層PC。抑或,在步驟ST11,前驅物層PC,亦可使用來自由第1氣體生成之電漿的化學種而形成。
於步驟ST11中,控制部80,控制氣體供給部GS俾將第1氣體往腔室10內供給。於步驟ST11中,控制部80,控制排氣裝置50俾將腔室10內之氣體的壓力設定為指定的壓力。於步驟ST11中生成電漿之情況,控制部80,控制電漿生成部俾於腔室10內由第1氣體生成電漿。在一實施形態,為了由第1氣體生成電漿,控制部80,控制高頻電源61及/或偏壓電源62俾供給高頻電力HF及/或高頻電力LF。
為了如圖6(a)所示地形成前驅物層PC,於步驟ST11中,滿足(1)~(5)之條件裡的至少一個條件。在(1)之條件,將步驟ST11之實行中的腔室10中之氣體的壓力,設定為在其他處理條件相同之情況,較使形成前驅物層PC的物質吸附於基板W之全表面的壓力更低的壓力。在(2)之條件,將步驟ST11的處理時間,設定為在其他處理條件相同之情況,較使形成前驅物層PC的物質吸附於基板W之全表面的處理時間更短的時間。在(3)之條件,將形成前驅物層PC的物質之第1氣體的稀釋度,設定為在其他處理條件相同之情況,較使形成前驅物層PC的物質吸附於基板W之全表面的稀釋度更高之值。在(4)之條件,將步驟ST11的實行中之基板支持器16的溫度,設定為在其他處理條件相同之情況,較使形成前驅物層PC的物質吸附於基板W之全表面的溫度更低的溫度。(5)之條件,可應用在於步驟ST11中生成電漿的情況。在(5)之條件,將高頻電力(高頻電力HF及/或高頻電力LF)的絕對值,設定為在其他處理條件相同之情況,較使形成前驅物層PC的物質吸附於基板W之全表面的絕對值更小的值。
在步驟ST12,實行內部空間10s之吹掃。在步驟ST12,控制部80,控制排氣裝置50俾實行內部空間10s之排氣。在步驟ST12,控制部80,亦可控制氣體供給部GS俾往腔室10內供給惰性氣體。藉由步驟ST12的實行,可將腔室10內之第1氣體置換為惰性氣體。藉由步驟ST12的實行,亦可將吸附於基板W上之過剩物質除去。
在步驟ST13,如圖6(b)所示,由前驅物層PC形成膜PF。在步驟ST13,為了形成膜PF,使用第2氣體。第2氣體,包含藉由與構成前驅物層PC的物質反應而由前驅物層PC形成膜PF的反應種。第2氣體,可包含含氧氣體。第2氣體,例如包含氧氣。在步驟ST13,可不由第2氣體生成電漿地形成膜PF。抑或,在步驟ST13,亦可使用來自由第2氣體生成之電漿的化學種而形成膜PF。
於步驟ST13中,控制部80,控制氣體供給部GS俾將第2氣體往腔室10內供給。於步驟ST13中,控制部80,控制排氣裝置50俾將腔室10內之氣體的壓力設定為指定的壓力。於步驟ST13中生成電漿之情況,控制部80,控制電漿生成部俾於腔室10內由第2氣體生成電漿。在一實施形態,為了由第2氣體生成電漿,控制部80,控制高頻電源61及/或偏壓電源62,俾供給高頻電力HF及/或高頻電力LF。
為了形成圖6(b)所示之膜PF,亦可於步驟ST13中,滿足(1)~(5)之條件裡的至少一個條件。在(1)之條件,將步驟ST13之實行中的腔室10中之氣體的壓力,設定為在其他處理條件相同之情況,較使第2氣體中的物質與形成前驅物層PC的物質之反應於前驅物層PC全體中完成的壓力更低的壓力。在(2)之條件,將步驟ST13的處理時間,設定為在其他處理條件相同之情況,較使第2氣體中的物質與形成前驅物層PC的物質之反應於前驅物層PC全體中完成的處理時間更短的時間。在(3)之條件,將形成膜PF的物質之第2氣體的稀釋度,設定為在其他處理條件相同之情況,較使第2氣體中的物質與形成前驅物層PC的物質之反應於前驅物層PC全體中完成的稀釋度更高的值。在(4)之條件,將步驟ST13之實行中的基板支持器16之溫度,設定為在其他處理條件相同之情況,較使第2氣體中的物質與形成前驅物層PC的物質之反應於前驅物層PC全體中完成的溫度更低的溫度。(5)之條件,可應用在於步驟ST13中生成電漿的情況。在(5)之條件,將高頻電力(高頻電力HF及/或高頻電力LF)的絕對值,設定為在其他處理條件相同之情況,較使第2氣體中的物質與形成前驅物層PC的物質之反應於前驅物層PC全體中完成的絕對值更小的值。
在步驟ST14,實行內部空間10s之吹掃。步驟ST14,係與步驟ST12相同的步驟。藉由步驟ST14的實行,可將腔室10內之第2氣體置換為惰性氣體。
在步驟ST1,亦可依序重複各自包含步驟ST11及步驟ST13的複數個成膜周期CY1。複數個成膜周期CY1,亦可各自進一步包含步驟ST12及步驟ST14。膜PF的厚度,可藉由調整成膜周期CY1之重複次數而調整。重複成膜周期CY1之情況,於步驟ST15中判定是否滿足停止條件。停止條件,在成膜周期CY1之實行次數達到既定次數的情況滿足。於步驟ST15中判定為未滿足停止條件之情況,再度實行成膜周期CY1。於步驟ST15中判定為滿足停止條件之情況,結束步驟ST1的實行,如圖1所示,使處理前往步驟ST2。
步驟ST2,於步驟ST1中在基板W上形成膜PF後實行。在步驟ST2,蝕刻區域RE。在一實施形態,藉由來自電漿的化學種蝕刻區域RE。在步驟ST2,於腔室10內由處理氣體生成電漿P2。
在步驟ST2使用之處理氣體,在區域RE係由單一矽氧化膜形成的情況,包含氟碳化物氣體。處理基板W的第2例~第4例之情況,在步驟ST2使用之處理氣體,各自包含氟碳化物氣體及/或氫氟碳化物氣體。另,處理任一例的基板W之情況中,在步驟ST2使用之處理氣體,皆可進一步包含惰性氣體(例如稀有氣體)。
圖7(a)係用於說明圖1所示之蝕刻方法的步驟ST2之例子的圖,圖7(b)係步驟ST2之實行後的狀態之一例的基板之部分放大剖面圖。在步驟ST2,如圖7(a)所示,對區域RE照射來自電漿P2的化學種,藉由該化學種蝕刻區域RE。步驟ST2之實行的結果,如圖7(b)所示,使開口OP之深度增加。於區域RE中,開口OP,亦可具有10以上之寬高比。
於步驟ST2中,控制部80,控制排氣裝置50俾將腔室10內之氣體的壓力設定為指定的壓力。於步驟ST2中,控制部80,控制氣體供給部GS俾將處理氣體往腔室10內供給。於步驟ST2中,控制部80,為了由處理氣體生成電漿而控制電漿生成部。在一實施形態中的步驟ST2,控制部80,控制高頻電源61及偏壓電源62,俾供給高頻電力HF及偏壓電力BP。於步驟ST2中,亦可為了生成電漿,僅供給高頻電力HF及高頻電力LF中之一方。
在方法MT,亦可依序實行各自包含步驟ST1及步驟ST2的複數個周期CY。依序實行複數個周期CY之情況,於步驟ST3中判定是否滿足停止條件。停止條件,在周期CY之實行次數達到既定次數的情況滿足。於步驟ST3中判定為未滿足停止條件之情況,再度實行周期CY。於步驟ST3中判定為滿足停止條件之情況,結束方法MT的實行。
在方法MT,形成於基板W上之膜PF,於區域RE的蝕刻之開始時保護遮罩MK。因此,依方法MT,則提高對於遮罩MK之蝕刻的區域RE之蝕刻的選擇性。此外,藉由膜PF修正遮罩MK的形狀,故藉由蝕刻形成於區域RE之開口的垂直性變高。
另,亦可使用於在複數個周期CY裡之至少一個周期中形成膜PF的步驟ST1之條件,與用於在複數個周期CY裡之至少另一個周期中形成膜PF的步驟ST1之條件成為不同。亦可使全部周期CY的步驟ST1之條件,彼此成為不同。此一情況,於各周期中,可將膜PF,以其厚度或覆蓋範圍與在其他周期中形成之膜PF的厚度或覆蓋範圍不同之方式形成。
亦可使用於在複數個周期CY裡之至少一個周期中蝕刻區域RE的步驟ST2之條件,與用於在複數個周期CY裡之至少另一個周期中蝕刻區域RE的步驟ST2之條件成為不同。亦可使全部周期CY的步驟ST2之條件,彼此成為不同。此一情況,於各周期中,將區域RE,以其蝕刻量與在其他周期之區域RE的蝕刻量成為不同之方式蝕刻。
在各複數個周期CY,亦可使在複數個成膜周期CY1裡之一個成膜周期形成膜PF之條件,與用於在複數個成膜周期CY1裡之至少另一個成膜周期形成膜PF之條件成為不同。亦即,在各複數個周期CY,亦可使一個成膜周期中的步驟ST11之條件及/或步驟ST13之條件,與至少另一個成膜周期中的步驟ST11之條件及/或步驟ST13之條件成為不同。在各複數個周期CY,亦可使在全部成膜周期CY1形成膜PF之條件,彼此成為不同。此一情況,可於各複數個周期CY所包含的各複數個成膜周期CY1,控制膜PF的厚度之分布。
以下,參考圖8。方法MT,亦可利用包含成膜裝置及基板處理裝置之基板處理系統而實行。圖8係顯示一例示性實施形態之基板處理系統的圖。圖8所示之基板處理系統PS,可使用在方法MT的實行 。
基板處理系統PS,具備:載台2a~2d、容器4a~4d、裝載模組LM、對準器AN、裝載鎖定模組LL1和LL2、處理模組PM1~PM6、搬運模組TF、及控制部MC。另,基板處理系統PS中之載台的個數、容器的個數、裝載鎖定模組的個數,可為一以上的任意個數。此外,基板處理系統PS中之處理模組的個數,可為二以上的任意個數。
載台2a~2d,沿著裝載模組LM之一緣而配列。容器4a~4d,分別搭載於載台2a~2d上。容器4a~4d,例如分別為被稱作FOUP(Front Opening Unified Pod,前開式晶圓盒)之容器。容器4a~4d,分別構成為於其內部收納基板W。
裝載模組LM,具備腔室。將裝載模組LM之腔室內的壓力,設定為大氣壓。裝載模組LM,具備搬運裝置TU1。搬運裝置TU1,例如為多關節機械臂,藉由控制部MC而控制。搬運裝置TU1,經由裝載模組LM之腔室而搬運基板W。搬運裝置TU1,可於各容器4a~4d與對準器AN之間、對準器AN與各裝載鎖定模組LL1和LL2之間、各裝載鎖定模組LL1和LL2與各容器4a~4d之間,搬運基板W。對準器AN,與裝載模組LM連接。對準器AN,施行基板W之位置的調整(位置的校正)。
裝載鎖定模組LL1及裝載鎖定模組LL2,各自設置於裝載模組LM與搬運模組TF之間。裝載鎖定模組LL1及裝載鎖定模組LL2,各自提供預備減壓室。
搬運模組TF,經由閘閥而與裝載鎖定模組LL1及裝載鎖定模組LL2各自連接。搬運模組TF,具備可減壓之搬運腔室TC。搬運模組TF,具備搬運裝置TU2。搬運裝置TU2,例如為多關節機械臂,藉由控制部MC控制。搬運裝置TU2,經由搬運腔室TC搬運基板W。搬運裝置TU2,可於各裝載鎖定模組LL1和LL2與各處理模組PM1~PM6之間、及處理模組PM1~PM6裡的任意二個處理模組之間中搬運基板W。
處理模組PM1~PM6,各自構成為施行專用的基板處理之裝置。處理模組PM1~PM6裡的一個處理模組為成膜裝置。此成膜裝置,係為了於步驟ST1中形成膜PF而使用。因此,此成膜裝置,係構成為實行由上述成膜法進行的步驟ST1之裝置。此成膜裝置,於步驟ST1中生成電漿的情況,可為電漿處理裝置1或其他電漿處理裝置等電漿處理裝置。此成膜裝置,於步驟ST1中形成膜PF而非生成電漿的情況,亦可不具有用於生成電漿之構成。
處理模組PM1~PM6裡之另一個處理模組,為電漿處理裝置1或其他電漿處理裝置等基板處理裝置。此基板處理裝置,係為了於步驟ST2中蝕刻區域RE而使用。
於基板處理系統PS中,控制部MC,控制基板處理系統PS的各部。控制部MC,控制成膜裝置俾於步驟ST1中形成膜PF。控制部MC,控制基板處理裝置,俾於形成膜PF後,蝕刻區域RE。此基板處理系統PS,可在處理模組間以不接觸大氣的方式搬運基板W。
以上,雖針對各種例示性實施形態予以說明,但並未限定於上述例示性實施形態,亦可進行各式各樣的追加、省略、置換、及變更。此外,可組合不同實施形態之要素而形成另一實施形態。
例如,方法MT的實行所使用之基板處理裝置,亦可為任意類型之電漿處理裝置。例如,方法MT的實行所使用之基板處理裝置,亦可為電漿處理裝置1以外的電容耦合型之電漿處理裝置。方法MT的實行所使用之基板處理裝置,亦可為電感耦合型之電漿處理裝置、ECR(電子迴旋共振)電漿處理裝置、或將微波等表面波使用在電漿的生成之電漿處理裝置。此外,於方法MT中,在未利用電漿的情況,基板處理裝置,亦可不具備電漿生成部。
由上述說明來看,在說明之目的下,藉由本說明書闡述本發明之各種實施形態,應理解可不脫離本發明之範圍及主旨地進行各種變更。因此,本說明書所揭露之各種實施形態,其意旨不在於限定本發明,而本發明之真正的範圍與主旨,依添附之發明申請專利範圍所示。
1:電漿處理裝置 2a~2d:載台 4a~4d:容器 10:腔室 10s:內部空間 12:腔室本體 12e:排氣口 12g:閘閥 12p:通路 15:支持體 16:基板支持器 18:下部電極 18f:流路 19:電極板 20:靜電吸盤 20e:電極 20m:本體 20p:直流電源 20s:開關 23a,23b:配管 25:氣體供給管線 28:筒狀部 29:絕緣部 30:上部電極 32:構件 34:頂板 34a:氣體噴吐孔 36:支持體 36a:氣體擴散室 36b:氣體孔 36c:氣體導入口 38:氣體供給管 40:氣體源群 41,43:閥群 42:流量控制器群 48:遮擋構件 50:排氣裝置 52:排氣管 61:高頻電源 61m,62m:匹配器 62:偏壓電源 64:直流電源裝置 80:控制部 AN:對準器 AX:軸線 BP:偏壓電力 CY:周期 CY1:成膜周期 ER:邊緣環 GS:氣體供給部 HC:加熱器控制器 HF,LF:高頻電力 HT:加熱器 LL1,LL2:裝載鎖定模組 LM:裝載模組 MC:控制部 MK:遮罩 MT:方法 OP:開口 P2:電漿 PC:前驅物層 PM1~PM6:處理模組 PF:膜 PS:基板處理系統 RE:區域 SS:側壁面 ST1~ST3,ST11~ST15:步驟 TC:搬運腔室 TF:搬運模組 TU1,TU2:搬運裝置 UR:基底區域 W:基板
圖1係一例示性實施形態之蝕刻方法的流程圖。 圖2係一例之基板的部分放大剖面圖。 圖3係概略顯示一例示性實施形態之基板處理裝置的圖。 圖4係一例示性實施形態之基板處理裝置中的靜電吸盤之放大剖面圖。 圖5係可於一例示性實施形態之蝕刻方法中使用的成膜方法之流程圖。 圖6中,圖6(a)係前驅物層形成後的狀態之一例的基板之部分放大剖面圖;圖6(b)係膜PF形成後的狀態之一例的基板之部分放大剖面圖。 圖7中,圖7(a)係用於說明圖1所示之蝕刻方法的步驟ST2之例子的圖;圖7(b)係步驟ST2之實行後的狀態之一例的基板之部分放大剖面圖。 圖8係顯示一例示性實施形態之基板處理系統的圖。
CY:周期
MT:方法
ST1~ST3:步驟

Claims (11)

  1. 一種蝕刻方法,包含: 膜形成步驟,於基板之表面上形成膜,該基板具備至少一部分由氧化矽形成之區域、及遮罩,該遮罩設置於該區域上並提供使該區域部分地露出的開口,該膜,係由與該區域的材料同種類的材料形成;以及 區域蝕刻步驟,蝕刻該區域; 該膜,修正該遮罩的形狀,俾提高於該區域蝕刻步驟中形成於該區域之開口的垂直性。
  2. 如請求項1之蝕刻方法,其中, 該膜,係形成為從該基板之上端沿著該開口之深度方向使其厚度減少。
  3. 如請求項1或2之蝕刻方法,其中, 交互地重複進行該膜形成步驟與該區域蝕刻步驟。
  4. 如請求項1至3中任一項之蝕刻方法,其中, 該膜形成步驟,包含: 前驅物層形成步驟,藉由將第1氣體供給至基板,而於該基板上形成前驅物層;以及 由前驅物層形成膜步驟,藉由將第2氣體供給至該前驅物層,而由該前驅物層形成該膜。
  5. 如請求項1至4中任一項之蝕刻方法,其中, 該區域,包含矽氧化膜。
  6. 如請求項1至4中任一項之蝕刻方法,其中, 該區域,包含交互疊層的一層以上之矽氧化膜與一層以上的矽氮化膜。
  7. 如請求項1至4中任一項之蝕刻方法,其中, 該區域,包含交互疊層的一層以上之矽氧化膜與一層以上之多晶矽膜。
  8. 如請求項1至4中任一項之蝕刻方法,其中, 該區域,包含疊層的一層以上之矽氧化膜、一層以上之矽氮化膜、及一層以上之多晶矽膜。
  9. 如請求項1至8中任一項之蝕刻方法,其中, 該遮罩,由矽、含碳材料、或含金屬材料形成。
  10. 一種基板處理裝置,包含: 腔室; 氣體供給部,往該腔室內供給氣體;以及 控制部,控制該氣體供給部; 該控制部, 為了於具備至少一部分由氧化矽形成之區域及遮罩的基板上,將與該區域的材料同種類的材料之膜,形成為藉由以該膜修正該遮罩的形狀而提高藉由蝕刻形成於該區域之開口的垂直性,而控制該氣體供給部俾往該腔室內供給氣體; 為了蝕刻該區域,而控制該氣體供給部俾往該腔室內供給氣體。
  11. 一種基板處理系統,包含: 成膜裝置,於具備至少一部分由氧化矽形成之區域及遮罩的基板上,將與該區域的材料同種類的材料之膜,形成為藉由以該膜修正該遮罩的形狀而提高藉由蝕刻形成於該區域之開口的垂直性;以及 基板處理裝置,蝕刻該區域。
TW110101580A 2020-01-29 2021-01-15 蝕刻方法、基板處理裝置及基板處理系統 TW202133252A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2020012241A JP7390199B2 (ja) 2020-01-29 2020-01-29 エッチング方法、基板処理装置、及び基板処理システム
JP2020-012241 2020-01-29

Publications (1)

Publication Number Publication Date
TW202133252A true TW202133252A (zh) 2021-09-01

Family

ID=76970456

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110101580A TW202133252A (zh) 2020-01-29 2021-01-15 蝕刻方法、基板處理裝置及基板處理系統

Country Status (5)

Country Link
US (1) US11810791B2 (zh)
JP (1) JP7390199B2 (zh)
KR (1) KR20210097045A (zh)
CN (1) CN113192831A (zh)
TW (1) TW202133252A (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023127817A1 (ja) * 2021-12-28 2023-07-06 東京エレクトロン株式会社 基板処理方法及びプラズマ処理装置

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG140538A1 (en) 2006-08-22 2008-03-28 Lam Res Corp Method for plasma etching performance enhancement
JP2014225501A (ja) 2013-05-15 2014-12-04 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US10170324B2 (en) * 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US9543148B1 (en) * 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
JP2019050305A (ja) 2017-09-11 2019-03-28 東芝メモリ株式会社 プラズマエッチング方法、及び、半導体装置の製造方法
WO2020041213A1 (en) 2018-08-24 2020-02-27 Lam Research Corporation Metal-containing passivation for high aspect ratio etch

Also Published As

Publication number Publication date
US20210233777A1 (en) 2021-07-29
JP2021118315A (ja) 2021-08-10
CN113192831A (zh) 2021-07-30
JP7390199B2 (ja) 2023-12-01
KR20210097045A (ko) 2021-08-06
US11810791B2 (en) 2023-11-07

Similar Documents

Publication Publication Date Title
JP7174634B2 (ja) 膜をエッチングする方法
TW202133252A (zh) 蝕刻方法、基板處理裝置及基板處理系統
TW202133251A (zh) 蝕刻方法、基板處理裝置及基板處理系統
US20210233778A1 (en) Etching method, substrate processing apparatus, and substrate processing system
JP7412257B2 (ja) エッチング方法、基板処理装置、及び基板処理システム
JP7390219B2 (ja) エッジリングの保持方法、プラズマ処理装置、及び基板処理システム
JP7308110B2 (ja) シリコン酸化膜をエッチングする方法及びプラズマ処理装置
TWI797739B (zh) 蝕刻方法、電漿處理裝置及基板處理系統
JP2021106212A (ja) エッチング方法、基板処理装置、及び基板処理システム
CN111725062B (zh) 膜的蚀刻方法和等离子体处理装置
US20220068629A1 (en) Substrate processing method and plasma processing apparatus
TWI833873B (zh) 膜之蝕刻方法
TW202407804A (zh) 蝕刻方法、電漿處理裝置及基板處理系統
JP2022039910A (ja) 基板処理方法及びプラズマ処理装置
TW202044405A (zh) 清潔處理方法及電漿處理裝置