TW202129841A - 半導體裝置之製造方法 - Google Patents

半導體裝置之製造方法 Download PDF

Info

Publication number
TW202129841A
TW202129841A TW109131785A TW109131785A TW202129841A TW 202129841 A TW202129841 A TW 202129841A TW 109131785 A TW109131785 A TW 109131785A TW 109131785 A TW109131785 A TW 109131785A TW 202129841 A TW202129841 A TW 202129841A
Authority
TW
Taiwan
Prior art keywords
layer
fin structure
semiconductor
fin
liner
Prior art date
Application number
TW109131785A
Other languages
English (en)
Inventor
簡妤珊
江欣哲
梁春昇
潘國華
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202129841A publication Critical patent/TW202129841A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

一種半導體裝置之製造方法包括形成一半導體襯層於一第一鰭部結構及一第二鰭部結構上,以及形成一第一蓋層於設置在第一鰭部結構上的半導體襯層上。上述方法更包括形成一第二蓋層於設置在第一鰭部結構上的半導體襯層上,其中第一蓋層的組成不同於第二蓋層的組成。上述方法更包括對第一蓋層、第二蓋層及半導體襯層進行一熱處理,以形成第一通道區於第一鰭部結構內,且形成第二通道區於第二鰭部結構內。第一通道區的材料的濃度分佈剖面不同於第二通道區的材料的濃度分佈剖面。

Description

半導體裝置之製造方法
本發明實施例係關於一種半導體技術,且特別是關於一種半導體裝置及其製造方法。
積體電路(integrated circuit, IC)產業經歷了指數增長。積體電路(IC)材料及設計的技術進步已經產生了幾世代積體電路(IC),其中每一世代都比上一世代具有更小更複雜的電路。在積體電路(IC)發展過程中,通常增加了功能密度(即,每晶片面積的內連接裝置的數量),而幾何尺寸(即,可使用製造製程產生的最小部件(或線))卻縮小了。
儘管材料及製造技術方面取得了進步,但是之類的平面裝置(例如,金屬氧化物半導體場效電晶體(MOSFET)裝置)的微縮已證明具有挑戰性。 為了克服這些挑戰,已經發展非平面電晶體,示例為環繞式閘極(gate-all-around, GAA)電晶體及鰭式場效電晶體(FinFET)。 非平面電晶體的優點包括減少的短通道效應,減少的電流漏電及更高電流。為了進一步改善非平面電晶體的效能,一直在推動使用高遷移率通道。舉例來說,矽鍺合金由於其高載子遷移率而成為很有前途的通道材料。 為了滿足增加的功能密度及使用高遷移率通道的要求,可能需要具有多個閾值電壓的半導體裝置結構及其形成方法。
在一些實施例中,提供一種半導體裝置之製造方法,包括:形成一半導體襯層於一第一鰭部結構及一第二鰭部結構上; 形成一第一蓋層於設置在第一鰭部結構上的半導體襯層上; 形成一第二蓋層於設置在第二鰭部結構上的半導體襯層上,其中第一蓋層的組成不同於第二蓋層的組成;對第一蓋層、第二蓋層及半導體襯層進行一熱處理,以形成一第一通道區於第一鰭部結構內,且形成一第二通道區於第二鰭部結構內,其中第一通道區的材料濃度分佈剖面不同於第二通道區的材料的濃度分佈剖面。
在一些實施例中,提供一種半導體之製造方法,包括:提供一半導體結構,其包括具有第一導電型的一第一區域及具有不同於第一導電型的一第二導電型的一第二區域,第一區域包括一第一鰭部結構及一第二鰭部結構,第二區域包括一第三鰭部結構;形成一半導體襯層於第一鰭部結構及第二鰭部結構上;形成一含氮化物的蓋層於設置在第一鰭部結構上的半導體襯層上;形成一含氧化物的蓋層於設置在第二鰭部結構上的半導體襯層上;對含氧化物的蓋層及含氮化物的蓋層進行退火,上述退火在第一鰭部結構內形成一第一材料濃度分佈剖面,在第二鰭部結構內形成一第二材料濃度分佈剖面,第一材料濃度分佈剖面不同於第二材料濃度分佈剖面。
在一些實施例中,提供一種半導體裝置包括:一p型井區;一第一鰭部結構及一第二鰭部結構,自p型井區突出;一n型井區;一第三鰭部結構,自n型井區突出;一第一應變通道區,位於第一鰭部結構內,第一應變通道區具有一第一材料濃度分佈剖面; 一第二應變通道區,位於第二鰭部結構內,第二應變通道區具有不同於第一材料濃度分佈剖面的一第二材料濃度分佈剖面。
以下的揭露內容提供許多不同的實施例或範例,以實施本發明的不同特徵部件。而以下的揭露內容是敘述各個構件及其排列方式的特定範例,以求簡化本揭露內容。當然,這些僅為範例說明並非用以限定本發明。舉例來說,若是以下的揭露內容敘述了將一第一特徵部件形成於一第二特徵部件之上或上方,即表示其包含了所形成的上述第一特徵部件與上述第二特徵部件是直接接觸的實施例,亦包含了尚可將附加的特徵部件形成於上述第一特徵部件與上述第二特徵部件之間,而使上述第一特徵部件與上述第二特徵部件可能未直接接觸的實施例。另外,本揭露內容在各個不同範例中會重複標號及/或文字。重複是為了達至簡化及明確目的,而非自行指定所探討的各個不同實施例及/或配置之間的關係。
再者,在空間上的相關用語,例如"下方"、"之下"、"下"、"上方"、"上"等等在此處係用以容易表達出本說明書中所繪示的圖式中元件或特徵部件與另外的元件或特徵部件的關係。這些空間上的相關用語除了涵蓋圖式所繪示的方位外,還涵蓋裝置於使用或操作中的不同方位。此裝置可具有不同方位(旋轉90度或其他方位)且此處所使用的空間上的相關符號同樣有相應的解釋。再者,當用“約”、“近似”等敘述數字或數字範圍時,上述用語旨在涵蓋在包括所述數字的合理範圍內的數字,例如所述數量的+/-10%或所屬技術領域中具有通常知識者所理解的其他值。舉例來說,用語“約5nm”涵蓋從4.5nm至5.5nm的尺寸範圍。
本揭露總體上係關於一種半導體裝置之製造方法,且特別是有關於一種具有多個閾值電壓的半導體裝置結構之製造方法。本揭露提出透過至少沉積一半導體襯層(例如,包括矽鍺(SiGe))於第一半導體結構(例如,鰭部結構)及第二半導體結構(例如,另一鰭部結構)上,以形成半導體裝置結構。在各種實施例中,半導體襯層的材料不同於第一半導體結構及第二半導體結構的材料。本揭露中提出的方法也包括形成一第一蓋層以實體接觸位於第一半導體結構上的半導體襯層,以及形成一第二蓋層以實體接觸位於第二半導體結構上的半導體襯層。第一蓋層的材料不同於第二蓋層的材料。舉例來說,第一蓋層可包括氮化物,而第二蓋層可包括氧化物。上述方法更包括進行一熱處理(例如,退火處理)以將半導體襯層的材料(例如,鍺)驅入第一半導體結構及第二半導體結構內。完成半導體襯層的材料的驅入可透過至少一部分由熱處理引起的擴散製程(例如,固相擴散製程)。由於第一蓋層的材料與第二蓋層的材料不同,因此半導體襯層的材料擴散至第一半導體結構內的速率與半導體襯層的材料擴散至第二半導體結構內的速率不同。擴散速率的差異於第一半導體結構及第二半導體結構內造成不同的材料分佈剖面(例如鍺濃度分佈剖面)。特別地,第一蓋層(例如,含氮化物的蓋層)可使第一半導體結構具有均勻或均質的材料分佈剖面(例如,鍺濃度分佈剖面),而第二蓋層(例如,含氧化物的蓋層)則使第二半導體結構具有漸變材料分佈剖面(例如,鍺濃度分佈剖面)。可至少部分地利用材料分佈剖面中的這些差異來形成具有多個閾值電壓的半導體裝置結構。需注意的是閾值電壓所指的是當導電通道開始連接半導體裝置結構的源極及汲極區時的閘極-源極電壓的值,進而允許大量電流在源極及汲極區之間流動。本揭露的實施例可輕易地整合至現有的製造流程中,特別是用於互補式金屬氧化物半導體(complementary metal oxide semiconductor, CMOS)裝置的製造。所屬技術領域中具有通常知識者可清楚理解的是本揭露的多個形態可使半導體製造流程及裝置的其他示例從中受益。
第1圖係繪示出根據一實施例之半導體裝置結構100的三維示意圖。在第1圖的示例中,半導體裝置結構100是鰭部場效電晶體(fin-like field effect transistor, FinFET)裝置。可在積體電路(integrated circuit, IC)裝置或其一部分的製造或製程期間製造半導體裝置結構100。積體電路(IC)裝置可包括或者可為靜態隨機存取記憶體(static random-access memor, SRAM)及/或其他邏輯電路、被動部件(例如,電阻器、電容器及電感器)以及主動部件(例如,P型場效電晶體(PFET)、N型FET(NFET)、FinFET、金屬氧化物半導體場效電晶體(MOSFET)、互補金屬氧化物半導體(CMOS)電晶體、雙極電晶體、高壓電晶體、高頻電晶體及/或其他記憶單元)。本揭露不侷限於任何特定數量的裝置或裝置區,或任何特定裝置配置。
半導體裝置結構100包括一基底102、一摻雜區104及自摻雜區104突出的一鰭部結構106。隔離區108形成於摻雜區104上方,且鰭部結構106自隔離區108突出並於空間上分隔相鄰的隔離區108。鰭部結構106包括一通道區以及接合鰭部結構106的通道區的一閘極堆疊。在一示例中,閘極堆疊包括沿著側壁設置的閘極介電層110。閘極堆疊更包括設置於閘極介電層110上方的一閘極電極112。鰭部結構106包括設置於鰭部結構106的通道區兩相對側上的源極/汲極區114。第1圖進一步係繪示出使用於後續圖式中的剖面示意圖。特別地,沿A-A線的剖面係沿鰭部結構106的通道區,且後續圖式係繪示出沿A-A線的剖面示意圖,以便示出形成應變通道區於半導體裝置結構100內的方法。
第2至16圖及第18至21圖係繪示出根據一實施例之製造半導體裝置結構或其一部分的中間階段的沿線A-A線的剖面示意圖。需注意的是雖然第1圖繪示出單一鰭部結構106,然而第2至第16圖及第18至21圖所示的示例係繪示出多個鰭部結構。
第2圖係繪示出一基底102。基底102可包括或可為元素(單一元素)半導體,例如矽、鍺及/或其他合適的材料;化合物半導體(例如,碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、銻化銦及/或其他合適的材料);合金半導體(例如,SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、GaInAsP及/或其他合適的材料。基底102可為具有均勻組成的單層材料。或者,基底102可包括具有適合於積體電路(IC)裝置製造的相似或不同組成的多個材料層。在一示例中,基底102可為絕緣體上覆矽(silicon-on-insulator, SOI)基底,其具有在氧化矽層上形成的矽層。在另一示例中,基底102可包括導電層、半導體層、介電層、其他膜層或其組合。
基底102包括根據積體電路(IC)裝置的設計要求配置的各種摻雜區。舉例來說,基底102可包括摻雜有N型摻雜物(例如,磷、砷、其他N型摻雜物或其組合)的N型摻雜區(例如,N型井區)。另外,基底102可包括摻雜有P型摻雜物(例如,硼(例如,BF2 )、銦、其他P型摻雜物或其組合)的P型摻雜區(例如,P型井區)。在第2圖的示例中,將P型摻雜區(例如,P型井區)繪示為第一區104A,且將N型摻雜區(例如,N型井區)繪示為第二區104B。各種摻雜區可直接形成於基底102上及/或內,以提供P型井區結構、N型井區結構、雙井區結構、凸起結構或其組合。可透過佈植摻雜物原子、原位摻雜的磊晶生長、擴散製程及/或其他合適的技術來形成各種摻雜區。
請參照第3圖,形成一第一鰭部結構106A及一第二鰭部結構106B於第一區104A中。另外,形成一第三鰭部結構106C於第二區104B中。形成於第一井區104A(例如,P型井區)內的第一鰭部結構106A及第二鰭部結構106B可適合於提供一或多個P型半導體裝置。形成於第二區104B(例如,N型井區)內的第三鰭部結構106C可適合於提供一或多個N型半導體裝置。需注意的是上述配置僅用於說明性目的而不具限制性。鰭部結構106A、106B及106C可使用合適的製程來製造,包括光學微影及蝕刻製程。光學微影製程可包括:形成光阻層於第一區104A及第二區104B上方;將光阻層進行曝光成一圖案;進行後曝烤製程;以及對光阻層進行顯影以形成具有光阻層的一罩幕部件(未繪示於第3圖)。然後將罩幕部件用於蝕刻凹槽於第一區104A及第二區104B內,進而形成鰭部結構106A、106B及106C。用於形成鰭部結構106A、106B及106C的蝕刻製程可包括乾蝕刻、濕蝕刻、反應離子蝕刻(reactive ion etching, RIE)及/或其他合適的製程。
需注意的是其他實施例方法也可適合於形成鰭部結構106A、106B及106C。舉例來說,可使用雙重圖案化或多重圖案化製程來圖案化鰭部結構106A、106B及106C。一般而言,雙重圖案化或多重圖案化製程係將光學微影及自對準製程相結合,因而能夠形成圖案,圖案的間距可小於使用單一直接光學微影製程可獲得的間距。舉例來說,在一實施例中,形成一犧牲層於第一區104A及第二區104B上方,且使用光學微影製程來圖案化犧牲層。使用自對準製程在圖案化的犧牲層側邊形成間隙壁。接著去除犧牲層,然後可使用餘留的間隙壁(可稱為“芯軸(mandrel)”)來圖案化鰭部結構106A、106B及106C。在一些實施例中,鰭部結構106A、106B及106C在其形成之後具有一高度H1,在約40nm至約70nm的範圍。高度H1可影響形成於第一區104A及第二區104B中的半導體裝置結構的效能及操作電流(Ion)。舉例來說,較高的高度H1可提供較大的工作電流,然而可能伴隨速度下降。再者,較高的高度H1也可能受限於圖案化製程。
請參照第4圖,形成一介電襯層202於鰭部結構106A、106B及106C的側壁上。介電襯層202可包括任何合適的介電材料,例如含氧化物的材料、含氮的材料、含碳的材料或其組合。舉例來說,介電襯層202可包括氧化矽、氮化矽、碳化矽(SiC)、氮氧化矽、碳氧化矽(SiOC)、硼矽酸鹽玻璃(boron silicate glass, BSG)、磷矽酸鹽玻璃(phosphosilicate glass, PSG)或其組合。根據各種不同示例,介電襯層202具有一楊氏模數,在約200GPa至約1000GPa的範圍。當介電襯層202的楊氏模數在上述範圍內時,介電襯層202足夠堅固或具足夠剛性以保護鰭部結構106A、106B及106C以及下方的第一及第二摻雜區104A及104B,進而優化裝置效能,尤其是對於先進技術世代。
如第5圖所示,根據一些實施例,形成一介電層204於介電襯層202上。儘管介電層204在第5圖中繪示為一單層結構,然而在一些實施例中,介電層204可包括多層結構,舉例來說,其具有一或多層包含介電材料的膜層。在一些實施例中,可使用化學氣相沉積(CVD)、電漿增強化學氣相沉積(plasma-enhanced CVD, PECVD)、流動式化學氣相沉積(flowable CVD, FCVD)或其組合來沉積介電材料於鰭部結構106A、106B及106C及介電襯層202上。介電材料可包括氧化矽、氮化矽、氮氧化矽、氟摻雜矽酸鹽玻璃(fluorine-doped silicate glass, FSG)、低k介電材料及/或具有合適填充特性的其他合適的絕緣材料/介電材料。隨後對介電材料進行退火製程206。在退火製程206期間,介電材料從液態轉變為固態以形成介電層204。需注意的是介電層204的材料可不同於介電襯層202的材料。
請參照第6圖,平坦化(例如,使用化學機械研磨(chemical-mechanical polishing, CMP))介電層204直至介電層204的上表面204a與鰭部結構106A、106B及106C的上表面實質上對準(例如,共平面)。在第6圖的平坦化操作之後,去除部分的介電層204及部分的介電襯層202(例如,凹陷或回蝕刻),以露出鰭部結構106A、106B及106C的上部,如第7圖的示例所示。如此一來,形成隔離區108(包括介電層204及介電襯層202)。在一些實施例中,透過蝕刻製程208,例如乾蝕刻製程(例如,使用包括氨及/或氟化氫作為蝕刻劑)或濕蝕刻製程來進行介電層204及介電襯層202的回蝕刻。
在一些實施例中,例如在第8圖所示的示例中,可形成多個介電鰭部210(在某些情況下也稱為“虛置鰭部”或“混合式鰭部”)於鰭部結構106A、106B及106C之間。每個介電鰭部210可設置於緊鄰的鰭部結構106A、106B及106C之間,並且定向成實質上平行於鰭部結構106A、106B及106C。然而,與用以提供主動裝置的鰭部結構106A、106B及106C不同,介電鰭部210為非主動且不用以形成半導體裝置結構。在一些實施例中,介電鰭部210幫助排除鰭部圖案化負載效應,並防止源極/汲極磊晶架橋。介電鰭部210可透過任何合適的方法形成。在一示例中,介電層204形成為鰭部結構106A、106B及106C的側壁上的間隙壁。在回蝕刻介電層204低於鰭部結構106A、106B及106C之前,沉積一第二介電層於介電層204的側壁上。之後,回蝕刻介電層204(例如,透過化學蝕刻製程),使得其上表面低於鰭部結構106A、106B及106C的上表面及第二介電層的上表面,第二介電層形成介電鰭部210。
半導體裝置結構(例如,互補式金屬氧化物半導體(CMOS)裝置)內的一應變通道可提高載子遷移率,並降低裝置的通道電阻。另外,對於具有微縮通道長度的半導體裝置結構,可保持應變引起的驅動電流的增強(由於載子遷移率的提高)。對於P型半導體裝置結構及N型半導體裝置結構在應變通道中所使用的材料可不同。舉例來說,可透過在N型半導體裝置結構的通道區引發拉伸應力(例如,透過使用應變矽/碳摻雜矽(Si/Si:C)通道)來增強N型半導體裝置結構中的電子遷移率,同時可透過在P型半導體裝置結構通道區中引發壓縮應力(例如,透過使用矽鍺(SiGe)通道)來增強P型半導體裝置結構中的電洞遷移率。後續的操作提供一種在第一鰭部結構106A及第二鰭部結構106B中形成應變通道區的方法(鰭用於提供一或多個P型半導體裝置結構),進而實現增加載子遷移率及降低通道電阻。再者,隨後的操作提供了一種調節或改變一或多個P型半導體裝置結構的閾值電壓的方法。
請參照第9圖,形成一硬式罩幕層212於介電層204、介電鰭部210及鰭部結構106A、106B及106C的露出表面上。 硬式罩幕層212可為順應性層,如第9圖所示,且可包括任何合適的介電材料,例如氧化物及/或氮化物。舉例來說,硬式罩幕層212可包括氮化矽、氮氧化矽碳(SiCON)、碳氮化矽(SiCN)、氧化矽或二氧化矽(SiO2 )、氧化鋁(Al2 O3 )、氧化鉿(HfO2 )、氧化鋯( ZrO2 )、其組合或相似物。在一些實施例中,形成的硬式罩幕層212可具有厚度T1,在約2奈米至約3奈米的範圍。可使用CVD、物理氣相沉積(PVD)、原子層沉積(atomic layer deposition, ALD)、化學氧化、其他合適的製程或其組合來形成硬式罩幕層212。
請參照第10圖,對硬式罩幕層212進行圖案化以定義出P型裝置區214。在一些實施例中,使用光阻層(或阻劑)對硬式罩幕層212進行圖案化並定義出P型裝置區214。示例性阻劑層包括光敏材料,其在暴露於紫外(UV)光、深紫外(DUV)光或極紫外(EUV)光時會發生性質變化。此性質變化可用於透過顯影製程選擇性地去除阻劑層的曝光或未曝光部分。形成圖案化的阻劑層的過程也稱為光學微影圖案化。在一實施例中,透過光學微影製程對阻劑層進行圖案化,以留下設置於N型裝置區上方的光阻材料部分。在對阻劑層進行圖案化之後,進行蝕刻製程以打開硬式罩幕層212,使圖案從阻劑層轉移至硬式罩幕層212。可在對硬式罩幕層212進行圖案化之後去除剩餘的阻劑層。光學微影製程包括:旋塗一阻劑層、軟烤阻劑層、光罩對準、曝光、後曝烤、顯影阻劑層、沖洗及乾燥(例如,硬烤)。或者,可透過無罩幕式光學微影,電子束寫入及離子束寫入的其他方法來進行、增補或替代光學微影製程。圖案化硬式罩幕層212的蝕刻製程可包括濕蝕刻、乾蝕刻或其組合。
請參照第11圖,沉積一半導體襯層216於第一鰭部結構106A及第二鰭部結構106B的露出表面上(例如,在側壁及上表面上)。在後續的操作中,將半導體襯層216的材料驅入至第一鰭部結構106A及第二鰭部結構106B內(例如,透過熱處理),以便在第一鰭部結構106A及第二鰭中形成應變通道區。結構106B。半導體襯層216的材料與鰭部結構106A、106B及106C的材料不同。在第11圖所示的示例中,形成半導體襯層216於P型裝置區214內。因此,為了增強P型裝置區214內的電洞遷移率,可在第一鰭部結構106A及第二鰭部結構106B的通道區內引發壓縮應力。為了實現這種效果,半導體襯層216可包括或可為矽鍺(SiGe)。在其中半導體襯層216包括矽鍺(SiGe)的實施例中,以原子百分比表示的鍺濃度可在約25%至約65%(例如,約55%)的範圍。在一些實施例中,半導體襯層216可具有厚度T2,其可在約1奈米至約4奈米(例如,約2.5奈米)的範圍。如第11圖的示例所示,半導體襯層216可為順應性層。可使用合適方法來沉積半導體襯層216,例如金屬有機化學氣相沉積(metal-organic CVD, MOCVD)、分子束磊晶(molecular beam epitaxy, MBE)、液相磊晶的(liquid phase epitaxy, LPE)、氣相磊晶(vapor phase epitaxy, VPE)、選擇性磊晶生長(selective epitaxial growth, SEG)、相似方法或其組合。在沉積半導體襯層216之後,可使用蝕刻製程去除硬式罩幕層212,以形成第12圖所示的中間結構。用於去除硬式罩幕層212的蝕刻製程可包括乾蝕刻製程(例如,反應離子蝕刻(RIE)製程)、濕蝕刻製程、其他合適的蝕刻製程或其組合。
在第13圖所示的操作中,形成一第一蓋層218於介電層204、介電鰭部210、第三鰭部結構106C及半導體襯層216的露出表面上。可進行適當的沉積製程,例如CVD、PVD、ALD、化學氧化、其他合適的製程或其組合。第一蓋層218可包括氮化物。舉例來說,第一蓋層218可包括氮化矽、氮氧碳化矽(SiCON)、氮碳化矽(SiCN)、其組合或相似物。在第14圖中,圖案化第一蓋層218,以去除覆蓋在第二鰭部結構106B上的第一蓋層218。可使用以上參照第10圖所述的光學微影製程來圖案化第一蓋層218。
請參照第15圖,沉積一第二蓋層220於第14圖的中間結構上。舉例來說,形成第二蓋層220於第一蓋層218、介電層204、介電鰭部210及半導體襯層216的露出表面上。可使用合適的沉積製程,示例為CVD、PVD、ALD、化學氧化、其他合適的製程或其組合。第二蓋層220可為與第一蓋層218不同的材料。舉例來說,第二蓋層220可包括氧化物,示例為氧化矽或二氧化矽(SiO2 )、氧化鋁(Al2 O3 )、氧化鉿(HfO2 )、氧化鋯(ZrO2 )、其組合或相似物。如第12至15圖所示的操作結果,位於第二鰭部結構106B上方的半導體襯層216與第二蓋層220(例如,含氧化物蓋層)實體接觸,而位於第一鰭部結構106A上方的半導體襯層216與第一蓋層218(例如,含氮化物的蓋層)實體接觸。
在第16圖中,進行熱處理222,以使半導體襯層216的材料擴散(例如,固相擴散)至第一鰭部結構106A及第二鰭部結構106B內。可在約攝氏900度至約攝氏1100度的溫度範圍進行熱處理222。熱處理222的持續時間可在約10秒至約60秒的範圍。第一及第二蓋層218及220防止在熱處理222期間半導體襯層216的氧化。第一及第二蓋層218及220也防止或實質上減少了半導體襯層216的材料向外擴散至第二蓋層218及220內。第一及第二蓋層218及220有助於將半導體襯層216的材料驅入第一鰭部結構106A及第二鰭部結構106B內。再者,由於第一蓋層218的材料與第二蓋層220的材料不同,因此半導體襯層216的材料擴散至第一鰭部結構106A內的速率與半導體襯層216的材料擴散至第二鰭部結構106B內的速率不同。
在上述示例中,位於第二鰭部結構106B上方的半導體襯層216與第二蓋層220(例如,包括氧化物)實體接觸,而位於第一鰭部結構106A上方的半導體襯層216與第一蓋層218(例如,包括氮化物)實體接觸。一般而言,在相同的溫度及持續時間下,相較於含氧化物的蓋層,含氮化物的蓋層所導致的半導體襯層216的材料的熱驅入更快。因此,位於第一鰭部結構106A上方的半導體襯層216的材料以快於位於第二鰭部結構106B上方的半導體襯層216的材料的速率擴散至第一鰭部結構106A內。如此一來,熱處理222於第一鰭部結構106A內形成一第一應變通道區224,且於第二鰭部結構106B內形成一第二應變通道區226,其中第一應變通道區224具有均勻或均一的分佈剖面,而第二應變通道區226具有梯度分佈剖面。第一鰭部結構106A及第二鰭部結構106B的分佈剖面更詳細地繪示於第17A及17B圖中。
第17A圖係繪示出第二鰭部結構106B及形成於內的第二應變通道區226的放大圖。第17A圖也繪示出鍺原子百分比與深度的函數關係變化,其實施例為半導體襯層216包括矽鍺,且半導體襯層216的鍺原子因熱處理製程222而驅入第二鰭部結構106B內。在第17A圖所示的示例中,沿x方向測量深度。如第17A圖所示,鍺濃度顯現出梯度分佈剖面(例如,實質上線性的鍺濃度剖面)。在第17A圖的示例中,由於鍺原子往第二鰭部結構106B的材料內的擴散較慢,第二應變通道區226的相鄰部分被中心Si核心隔開。在一些實施例中,以原子百分比表示的鍺濃度可在約35%(例如,深度= 0)至約10%(例如,深度=D1,其中D1為擴散深度)之間變化。在一些示例中,擴散深度D1可在約1奈米至約2奈米的範圍。為了裝置效能(例如,滿足電晶體開關速度要求),擴散深度D1需要在所述範圍數值內,特別是對於先進技術世代。
第17B圖係繪示出第一鰭部結構106A及形成於內的第一應變通道區224的放大圖。第17B圖也繪示出鍺原子百分比與深度的函數關係變化,其實施例為半導體襯層216包括矽鍺,且半導體襯層216的鍺原子因熱處理製程222而驅入第一鰭部結構106A。在第17B圖所示的示例中,也是沿x方向測量深度。如第17B圖所示,由於鍺原子更快地擴散至第一鰭部結構106A的材料內,因此鍺濃度表現出均勻或均一的分佈剖面。在一些實施例中,以原子百分比表示的鍺濃度可在約20%至約35%的範圍。為了裝置效能(例如,滿足電晶體開關速度要求),鍺濃度需要在所述範圍數值內,特別是對於先進技術世代。
請參照第18圖,可使用蝕刻製程去除第一蓋層218、第二蓋層220及半導體襯層216,蝕刻製程可包括乾蝕刻製程(例如,反應性離子蝕刻(RIE)製程、濕蝕刻製程、其他合適的蝕刻製程或其組合。
在第19圖所示的操作中,形成一閘極介電層228於第18圖所示的中間結構上方。閘極介電層228可包括高k介電材料(例如,k>7.0),示例為金屬氧化物、金屬氮化物,諸如LaO、AlO、ZrO、TiO、Ta2 O5 、Y2 O3 、SrTiO3 (STO)、BaTiO3 (BTO)、BaZrO、HfZrO、HfLaO、HfSiO、LaSiO、AlSiO、HfTaO、HfTiO、(Ba,Sr)TiO3 (BST)、Al2 O3 、Si3 N4 、氧氮化物(SiON)或其他合適的介電材料。 閘介電層228可透過合適的技術形成,例如ALD、CVD、金屬有機CVD(MOCVD)、PVD、熱氧化、其組合及/或其他合適的技術。
在第20圖中,可形成一功函數層230於P型裝置區214內。功函數層230可包括具有約5.2 eV或更高的功函數的材料,例如TiN、TaN、 Ru、Mo、Al、W、WCN、TSN、TiAlC、WN、ZrSi2 、MoSi2 、TaSi2 、NiSi2 、WN,其他合適的P型功函數材料或其組合。功函數層230可透過合適技術來沉積,例如PVD。在一些實施例中,可形成一N型功函數層(為簡單起見未繪示於第20圖中)於第一鰭部結構106A上方。在上述實施例中,N型功函數層可包括Ti、Ag、TaAl、TaAlC、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、其他合適的N型功函數材料或其組合。
請參照第21圖,可形成一閘極電極層232於P型裝置區214中的功函數層230上方及閘極介電層228上方。閘極電極層232可包括含金屬的材料,例如TiN、TaN、TaC、Co、Ru、Al、其組合或其多層,且可透過電鍍、化學鍍或其他合適的方法形成。 即使相同的功函數層230用於第一鰭部結構106A及第二鰭部結構106B,應變通道區224及226的不同材料分佈剖面導致第一鰭部結構106A的閾值電壓不同於第二鰭部結構106B的閾值電壓,進而形成具有多個閾值電壓的半導體裝置結構。
與目前技術相比,第2至16圖及第18至圖21圖所繪示的製程流程透過改變蓋層的材料,進而改變半導體襯層216的材料(例如,鍺)的擴散速率(其進而導致改變材料分佈剖面(例如,鍺濃度分佈剖面)),形成具有多個閾值電壓的半導體裝置結構。所提供的方法也導致第一、第二及第三鰭部結構106A、106B及106C的垂直鰭部輪廓。再者,可透過使用合適的功函數層(例如,N型功函數層用於第三鰭部結構106C而P型功函數層用於第一及第二鰭部結構106A及106B)來進一步調整第一、第二及第三鰭部結構106A、106B及106C中的每一者的閾值電壓。
第22圖係繪示出具有多個閾值電壓的半導體裝置結構的製造方法2200的流程圖。方法2200包括步驟2202,形成一半導體襯層216於一第一鰭部結構(例如,鰭部結構106A)及一第二鰭部結構(例如,鰭部結構106B)上。在一示例中,步驟2202可對應於以上參照第9至12圖所述的操作。方法2200另外包括步驟2204,形成第一蓋層218於設置在第一鰭部結構(例如,鰭部結構106A)上的半導體襯層216上。在一示例中,步驟2204可對應於以上參照第13及14圖所述的操作。方法2200更包括步驟2206,形成一第二蓋層220於設置在第二鰭部結構(例如,鰭部結構106B)上的半導體襯層216上,其中第一蓋層218的組成不同於第二蓋層220的組成。在一示例中,步驟2206可對應於以上參照第15圖所述的操作。方法2200包括步驟2208,對第一蓋層218、第二蓋層220及半導體襯層216進行一熱處理222,以在第一鰭部結構(例如,鰭部結構106A)內形成一第一通道區(例如,通道區224)及在第二鰭部結構(例如,鰭部結構106B)內形成一第二通道區(例如,通道區226),其中第一通道區的材料的濃度分佈剖面不同於濃第二通道區的材料的濃度分佈剖面。在一示例中,步驟2208可對應於以上參照第16圖所述的操作。
綜上,本揭露總體上有關於一種半導體裝置的製造方法,且更有關於一種具有多個閾值電壓的半導體裝置結構的製造方法。本揭露提出透過至少沉積一半導體襯層216(例如,包括矽鍺(SiGe))於第一半導體結構(例如,鰭部結構106A)及第二半導體結構(例如,鰭部結構106B)上來形成半導體裝置結構。在各個不同實施例中,半導體襯層216的材料不同於第一及第二半導體結構的材料。本揭露中提出的方法更包括形成一第一蓋層218以實體接觸位於第一半導體結構(例如,鰭部結構106A)上的半導體襯層216,以及形成一第二蓋層220以實體接觸位於第二半導體結構(例如,鰭部結構106B)上方的半導體襯層216。第一蓋層218的材料不同於第二蓋層220的材料。舉例來說,第一蓋層218可包括氮化物,而第二蓋層220可包括氧化物。上述方法更包括進行一熱處理(例如,退火處理),以將半導體襯層216的材料(例如,鍺)驅入第一半導體結構(例如,鰭部結構106A)及第二半導體結構(例如,鰭部結構106B)。可透過至少部分由熱處理引起的擴散製程(例如,固相擴散過程)來實現半導體襯層的材料的驅入。由於第一蓋層218的材料與第二蓋層220的材料不同,因此半導體襯層216的材料擴散至第一半導體結構(例如,鰭部結構106A)內的速率不同於半導體襯層216的材料擴散至第二半導體結構(例如,鰭部結構106B)內的速率。此擴散速率的差異在第一半導體結構(例如,鰭部結構106A)及第二半導體結構(例如,鰭部結構106B)中造成不同的材料分佈剖面(例如,鍺濃度剖面)。特別地,第一蓋層218(例如,含氮化物的蓋層)可使第一半導體結構(例如,鰭部結構106A)具有均勻或均一的材料分佈剖面(例如,鍺濃度分佈剖面),而第二蓋層220(例如,含氧化物的蓋層)可使第二半導體結構(例如,鰭部結構106B)具有梯度材料分佈剖面(例如,鍺濃度剖面)。可至少部分利用材料分佈剖面中的這些差異來形成具有多個閾值電壓的半導體裝置結構。本揭露的實施例可容易地整合至現有的製造流程中,特別是用於互補金屬氧化物半導體(CMOS)裝置的製造。所屬技術領域中具有通常知識者可清楚理解的是本揭露的多個形態可使半導體製造流程及裝置的其他示例從中受益。
在一些實施例中,提供一種半導體裝置之製造方法,包括:形成一半導體襯層於一第一鰭部結構及一第二鰭部結構上;形成一第一蓋層於設置在第一鰭部結構上的半導體襯層上;形成一第二蓋層於設置在第二鰭部結構上的半導體襯層上,其中第一蓋層的組成不同於第二蓋層的組成;對第一蓋層、第二蓋層及半導體襯層進行一熱處理,以形成一第一通道區於第一鰭部結構內,且形成一第二通道區於第二鰭部結構內,其中第一通道區的一材料的濃度分佈剖面不同於第二通道區的一材料的濃度分佈剖面。
在一些實施例中,第一蓋層實體接觸設置在第一鰭部結構上的半導體襯層,第二蓋層實體接觸設置在第二鰭部結構上的半導體襯層。在一些實施例中,第一蓋層包括氮化物,且第二蓋層包括氧化物。再者,第一蓋層包括一材料,其選自由氮化矽、氮氧碳化矽、氮碳化矽及其組合所組成的群族。第二蓋層包括一材料,其選自由氧化矽、二氧化矽、氧化鋁、氧化鉿、氧化鋯及其組合所組成的群族。在一些實施例中,熱處理的溫度在約攝氏900度至約攝氏1100度的範圍。在一些實施例中,熱處理的持續時間在約10秒至約60秒的範圍。在一些實施例中,第一通道區的材料濃度分佈剖面包括均勻的鍺濃度分佈剖面。在一些實施例中,第二通道區的材料濃度分佈剖面包括漸變的鍺濃度分佈剖面。
在一些實施例中,提供一種半導體之製造方法,包括:提供一半導體結構,其包括具有第一導電型的一第一區域及具有不同於第一導電型的一第二導電型的一第二區域,第一區域包括一第一鰭部結構及一第二鰭部結構,第二區域包括一第三鰭部結構;形成一半導體襯層於第一鰭部結構及第二鰭部結構上;形成一含氮化物的蓋層於設置在第一鰭部結構上的半導體襯層上;形成一含氧化物的蓋層於設置在第二鰭部結構上的半導體襯層上;對含氧化物的蓋層及含氮化物的蓋層進行退火,上述退火在第一鰭部結構內形成一第一材料濃度分佈剖面,在第二鰭部結構內形成一第二材料濃度分佈剖面,第一材料濃度分佈剖面不同於第二材料濃度分佈剖面。
在一些實施例中,半導體襯層包括矽鍺合金。在一些實施例中,半導體襯層的厚度在約1奈米至約4奈米的範圍。在一些實施例中,第一材料濃度分佈剖面包括均勻的鍺濃度分佈剖面,第二材料濃度分佈包括實質上線性的鍺濃度分佈剖面。再者,實質上線性的鍺濃度分佈剖面包括鍺濃度在約25原子百分比到約65原子百分比的範圍。在一些實施例中,半導體之製造方法更包括:在退火之後,去除含氧化物的蓋層、含氮化物的蓋層以及半導體襯層; 形成一閘極介電層於第一鰭狀結構、第二鰭狀結構以及第三鰭狀結構上;形成一功函數層於設置在第一鰭部結構及第二鰭部結構上方部分的閘極介電層上;形成一閘極電極層於功函數層及閘極介電層上。
在一些實施例中,提供一種半導體裝置包括:一p型井區;一第一鰭部結構及一第二鰭部結構,自p型井區突出;一n型井區;一第三鰭部結構,自n型井區突出;一第一應變通道區,位於第一鰭部結構內,第一應變通道區具有一第一材料濃度分佈剖面; 一第二應變通道區,位於第二鰭部結構內,第二應變通道區具有不同於第一材料濃度分佈剖面的一第二材料濃度分佈剖面。
在一些實施例中,第二鰭部結構包括一矽核心,將第二應變通道區的相鄰部分隔開。在一些實施例中,第一材料濃度分佈剖面包括一均勻的鍺濃度分佈剖面,第二材料濃度分佈剖面包括一漸變鍺濃度分佈剖面。再者,漸變鍺濃度分佈剖面包括在約25原子百分比至約65原子百分比的範圍的鍺濃度。在一些實施例中,半導體裝置更包括:一閘極堆疊,接合第一應變通道區及第二應變通道區,閘極堆疊包括一閘極介電層、一功函數層以及一閘極電極層。
以上概略說明了本發明數個實施例的特徵,使所屬技術領域中具有通常知識者對於本揭露的型態可更為容易理解。任何所屬技術領域中具有通常知識者應瞭解至可輕易利用本揭露作為其它製程或結構的變更或設計基礎,以進行相同於此處所述實施例的目的及/或獲得相同的優點。任何所屬技術領域中具有通常知識者也可理解與上述等同的結構並未脫離本揭露之精神及保護範圍內,且可在不脫離本揭露之精神及範圍內,當可作更動、替代與潤飾。
100:半導體裝置結構 102:基底 104:摻雜區 104A:第一區 104B:第二區 106:鰭部結構 106A:第一鰭部結構 106B:第二鰭部結構 106C:第三鰭部結構 108:隔離區 110,228:閘極介電層 112:閘極電極 114:源極/汲極區 202:介電襯層 204:介電層 204a:上表面 206:退火製程 208:蝕刻製程 210:介電鰭部 212:硬式罩幕層 214:P型裝置區 216:半導體襯層 218:第一蓋層 220:第二蓋層 222:熱處理 224:第一應變通道區 226:第二應變通道區 230:功函數層 232:閘極電極層 2200:方法 2202,2204,2206,2208:步驟 D1:深度 H1:高度 T1,T2:厚度
第1圖係繪示出根據一實施例之半導體裝置結構的三維示意圖。 第2至16圖及第18至21圖係繪示出根據一實施例之具有多個閾值電壓的半導體裝置結構製造方法的製程流程示意圖。 第17A及17B圖係繪示出根據一實施例之內部形成應變通道區的鰭部結構放大圖以及鍺的濃度分佈剖面與深度的函數關係圖。 第22圖係繪示出根據一實施例之具有多個閾值電壓的半導體裝置結構製造方法流程圖。
2200:方法
2202,2204,2206,2208:步驟

Claims (1)

  1. 一種半導體裝置之製造方法,包括: 形成一半導體襯層於一第一鰭部結構及一第二鰭部結構上; 形成一第一蓋層於設置在該第一鰭部結構上的該半導體襯層上; 形成一第二蓋層於設置在該第二鰭部結構上的該半導體襯層上,其中該第一蓋層的組成不同於該第二蓋層的組成; 對該第一蓋層、該第二蓋層及該半導體襯層進行一熱處理,以形成一第一通道區於該第一鰭部結構內,且形成一第二通道區於該第二鰭部結構內,其中該第一通道區的一材料的濃度分佈剖面不同於該第二通道區的一材料的濃度分佈剖面。
TW109131785A 2019-10-18 2020-09-16 半導體裝置之製造方法 TW202129841A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/656,744 US11018257B2 (en) 2019-10-18 2019-10-18 Semiconductor device structure having a plurality of threshold voltages and method of forming the same
US16/656,744 2019-10-18

Publications (1)

Publication Number Publication Date
TW202129841A true TW202129841A (zh) 2021-08-01

Family

ID=75445425

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109131785A TW202129841A (zh) 2019-10-18 2020-09-16 半導體裝置之製造方法

Country Status (3)

Country Link
US (2) US11018257B2 (zh)
CN (1) CN112687624A (zh)
TW (1) TW202129841A (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210351300A1 (en) * 2020-05-07 2021-11-11 Intel Corporation Self-aligned gate endcap (sage) architectures with vertical sidewalls
CN113782440A (zh) * 2021-08-31 2021-12-10 上海华力集成电路制造有限公司 FinFET的阈值电压调节方法
US20240014076A1 (en) * 2022-07-08 2024-01-11 Applied Materials, Inc. Selective capping of contact layer for cmos devices
WO2024010658A1 (en) * 2022-07-08 2024-01-11 Applied Materials, Inc. Contact formation process for cmos devices

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9245805B2 (en) 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
US8962400B2 (en) 2011-07-07 2015-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. In-situ doping of arsenic for source and drain epitaxy
US8841701B2 (en) 2011-08-30 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device having a channel defined in a diamond-like shape semiconductor structure
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8847293B2 (en) 2012-03-02 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure for semiconductor device
US8836016B2 (en) 2012-03-08 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
US8957476B2 (en) * 2012-12-20 2015-02-17 Intel Corporation Conversion of thin transistor elements from silicon to silicon germanium
US8853025B2 (en) 2013-02-08 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET/tri-gate channel doping for multiple threshold voltage tuning
US9093514B2 (en) 2013-03-06 2015-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Strained and uniform doping technique for FINFETs
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9379218B2 (en) * 2014-04-25 2016-06-28 International Business Machines Corporation Fin formation in fin field effect transistors
US9607901B2 (en) * 2015-05-06 2017-03-28 Stmicroelectronics, Inc. Integrated tensile strained silicon NFET and compressive strained silicon-germanium PFET implemented in FINFET technology
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US9847334B1 (en) * 2016-11-18 2017-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with channel layer
US9984937B1 (en) * 2017-04-20 2018-05-29 International Business Machines Corporation Vertical silicon/silicon-germanium transistors with multiple threshold voltages
TWI754722B (zh) * 2018-02-13 2022-02-11 聯華電子股份有限公司 半導體裝置的布局、半導體裝置及其形成方法

Also Published As

Publication number Publication date
US20210280711A1 (en) 2021-09-09
CN112687624A (zh) 2021-04-20
US11018257B2 (en) 2021-05-25
US20210119033A1 (en) 2021-04-22
US11837602B2 (en) 2023-12-05

Similar Documents

Publication Publication Date Title
US10410930B2 (en) Nonplanar device and strain-generating channel dielectric
CN108807380B (zh) 半导体结构和形成集成电路结构的方法
TW201729340A (zh) 多重閘極裝置
US20220208763A1 (en) Multi-gate device and related methods
TW202129841A (zh) 半導體裝置之製造方法
KR102118539B1 (ko) 감소된 콘택 저항 및 향상된 이동도를 가진 소스 및 드레인 구조물
US10879379B2 (en) Multi-gate device and related methods
TWI675486B (zh) 半導體結構及其製造方法
TWI804735B (zh) 半導體裝置及其製造方法
TWI688099B (zh) 半導體裝置及其形成方法
US11658216B2 (en) Method and structure for metal gate boundary isolation
US11688736B2 (en) Multi-gate device and related methods
CN110010452A (zh) 具有栅极密封件的电路器件
US11282942B2 (en) Semiconductor device structure with uniform threshold voltage distribution and method of forming the same
US20220367483A1 (en) Semiconductor device having an offset source/drain feature and method of fabricating thereof
TWI807386B (zh) 半導體裝置及其製造方法
CN113809015A (zh) 用于功函数工程的三层高k栅极介电堆叠
US20230262950A1 (en) Multi-gate device and related methods
US11769820B2 (en) Methods of manufacturing a FinFET by forming a hollow area in the epitaxial source/drain region