TW202125716A - 半導體裝置的結構 - Google Patents

半導體裝置的結構 Download PDF

Info

Publication number
TW202125716A
TW202125716A TW109139043A TW109139043A TW202125716A TW 202125716 A TW202125716 A TW 202125716A TW 109139043 A TW109139043 A TW 109139043A TW 109139043 A TW109139043 A TW 109139043A TW 202125716 A TW202125716 A TW 202125716A
Authority
TW
Taiwan
Prior art keywords
gate
source
drain region
drain
region
Prior art date
Application number
TW109139043A
Other languages
English (en)
Inventor
張盟昇
黃家恩
鄭莞學
楊耀仁
奕 王
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202125716A publication Critical patent/TW202125716A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B20/00Read-only memory [ROM] devices
    • H10B20/20Programmable ROM [PROM] devices comprising field-effect components
    • H10B20/25One-time programmable ROM [OTPROM] devices, e.g. using electrically-fusible links
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B20/00Read-only memory [ROM] devices
    • H10B20/20Programmable ROM [PROM] devices comprising field-effect components
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C17/00Read-only memories programmable only once; Semi-permanent stores, e.g. manually-replaceable information cards
    • G11C17/14Read-only memories programmable only once; Semi-permanent stores, e.g. manually-replaceable information cards in which contents are determined by selectively establishing, breaking or modifying connecting links by permanently altering the state of coupling elements, e.g. PROM
    • G11C17/16Read-only memories programmable only once; Semi-permanent stores, e.g. manually-replaceable information cards in which contents are determined by selectively establishing, breaking or modifying connecting links by permanently altering the state of coupling elements, e.g. PROM using electrically-fusible links
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C17/00Read-only memories programmable only once; Semi-permanent stores, e.g. manually-replaceable information cards
    • G11C17/14Read-only memories programmable only once; Semi-permanent stores, e.g. manually-replaceable information cards in which contents are determined by selectively establishing, breaking or modifying connecting links by permanently altering the state of coupling elements, e.g. PROM
    • G11C17/18Auxiliary circuits, e.g. for writing into memory
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Geometry (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Evolutionary Computation (AREA)
  • Semiconductor Memories (AREA)

Abstract

一種結構包括第一資料線及第一反熔絲單元,第一反熔絲單元包括第一/第二程式化裝置及第一/第二讀取裝置。第一程式化裝置包括第一閘極及在第一閘極的相對側面上設置的第一/第二源極/汲極區域。第二程式化裝置包括與第一閘極分離且耦接到第一字線的第二閘極以及在第二閘極的相對側面上設置的第三/第四源極/汲極區域。第一讀取裝置包括第三閘極及在第三閘極的相對側面上設置的第五/第六源極/汲極區域。第二讀取裝置包括第四閘極及在第四閘極的相對側面上設置的第七/第八源極/汲極區域。第三/第四閘極係耦接到第二字線的第一連續閘極的部分。第五/第七源極/汲極區域分別耦接到第二/第四源極/汲極區域。第六/第八源極/汲極區域耦接到第一資料線。

Description

包括反熔絲單元的佈局結構
在斷開供給電力之後,非揮發性記憶體能夠保存資料。通常,非揮發性記憶體經程式化以將資料記錄於其中。存在各種類型的非揮發性記憶體,例如,包括多次程式化(multi~Time programming)記憶體(亦稱為MTP記憶體)、一次程式化(one~Time programming)記憶體(亦稱為OTP記憶體)等等。取決於特性,一次程式化記憶體亦稱為反熔絲記憶體。在一次程式化記憶體中的記憶體單元被程式化之前,記憶體單元可具有高電阻儲存狀態;並且在程式化記憶體單元之後,記憶體單元可具有低電阻儲存狀態。
以下揭示內容提供許多不同實施例或實例,用於實施所提供標的之不同特徵。下文描述元件及佈置的具體實例以簡化本揭示。當然,此等僅為實例且並不意欲為限制性。例如,以下描述中在第二特徵上方或第二特徵上形成第一特徵可包括以直接接觸形成第一特徵及第二特徵的實施例,且亦可包括在第一特徵與第二特徵之間形成額外特徵以使得第一特徵及第二特徵可不處於直接接觸的實施例。另外,本揭示可在各個實例中重複元件符號及/或字母。此重複係出於簡便性及清晰的目的,且本身並不指示所論述的各個實施例及/或配置之間的關係。
在本說明書中使用的術語通常具有其在本領域中及在使用每個術語的具體上下文中的一般意義。在本說明書中使用實例(包括本文論述的任何術語的實例)係說明性的,並且不以任何方式限制本揭示或任何示例性術語的範疇及意義。同樣,本揭示不限於本說明書中給出的各個實施例。
儘管術語「第一」、「第二」等等可在本文中用於描述各個元件,此等元件不應當由此等術語限制。此等術語用於在元件之間進行區分。例如,在不脫離實施例的範疇之情況下,第一元件可以被稱為第二元件,並且類似地,第二元件可以被稱為第一元件。如本文使用,術語「及/或」包括一或多個相關聯的經列出項目的任何及所有組合。
另外,為了便於理解,在整個描述中可使用空間相對性術語(諸如「在……下方」、「在……之下」、「下部」、「在……上方」、「上部」及類似者)來描述諸圖中所示出之一個元件或特徵與另一元件(多個元件)或特徵(多個特徵)之關係。除了諸圖所描繪的定向外,空間相對性術語意欲包含使用或操作中裝置的不同定向。結構可經其他方式定向(旋轉90度或處於其他定向)且可同樣相應地解讀本文所使用的空間相對性描述詞。
根據各個實施例提供了反熔絲記憶體裝置及其中的單元結構。論述了一些實施例的某些變化。在各個視圖及說明性實施例中,為了便於理解,類似元件用類似元件符號來標識。
參考第1圖。第1圖係根據本揭示的一些實施例的反熔絲記憶體單元100的電路圖。在一些實施例中,反熔絲記憶體單元100亦被稱為一次程式化(one~Time programming,OTP)記憶體單元。如第1圖所示,反熔絲記憶體單元100包括程式化裝置102、程式化裝置104、讀取裝置106及讀取裝置108。程式化裝置102耦接到讀取裝置106,且程式化裝置104耦接到讀取裝置108。讀取裝置106及108耦接至位元線BL0。
在一些實施例中,程式化裝置102、程式化裝置104、讀取裝置106及讀取裝置108係用金屬氧化物半導體(Metal-Oxide-Semiconductor,MOS)電晶體實施。在一些實施例中,程式化裝置102、程式化裝置104、讀取裝置106及讀取裝置108係用N型MOS(NMOS)電晶體實施。為了說明第1圖,程式化裝置102、程式化裝置104、讀取裝置106及讀取裝置108分別用MOS電晶體TP1、TP2、TR1及TR2實施。電晶體TP1的第一源極/汲極端耦接至電晶體TP2的第一源極/汲極端,且電晶體TP1的第二源極/汲極端耦接至電晶體TR1的第一源極/汲極端、電晶體TP2的第二源極/汲極端及電晶體TR2的第一源極/汲極端。電晶體TR1及TR2的第二源極/汲極端耦接至位元線BL0。電晶體TP1的閘極端耦接至字線WLP0,且電晶體TR1及TR2的閘極端耦接至字線WLR0。
如第1圖所示,反熔絲記憶體單元100的電晶體TP1及TP2的閘極斷開。在一些實施例中,電晶體TP1的閘極耦接至字線WLP0,且電晶體TP2的閘極與字線WLP0隔離。如第1圖所示,電晶體TP2的閘極浮動。出於說明目的,提供了反熔絲記憶體單元100的構造。反熔絲記憶體單元100的各種構造係在本揭示的預期範疇內。例如,在其他實施例中,電晶體TP2的閘極耦接至字線WLP0,且電晶體TP1的閘極與字線WLP0隔離。
在程式化操作期間,向位元線BL0提供接地電壓(0 伏特(V)),向字線WLR0提供選擇電壓Vdd,且向字線WLP0提供程式化電壓Vp。在一些實施例中,程式化電壓Vp的量值大於選擇電壓Vdd的量值。例如,程式化電壓Vp的量值從約3.6 V至約6 V變化,並且選擇電壓Vdd從約1.5 V至約2.2 V變化。在一些其他實施例中,位元線BL0上的電壓的量值從約0 V至約0.5 V變化。
當回應於施加到字線WLR0的選擇電壓Vdd而開啟電晶體TR1及TR2且向位元線BL0施加接地電壓時,向電晶體TP的閘極端施加足夠高的程式化電壓Vp。因為程式化電壓Vp超出閘極的耐壓範圍,電晶體TP1的閘極破裂(ruptured)。破裂的閘極被認為是具有低電阻值的電阻器。反熔絲記憶體單元100由此產生經由開啟的電晶體TR1及TR2流向位元線BL0的程式電流。
在讀取操作期間,向位元線BL0提供接地電壓(0 V),向字線WLR0提供選擇電壓Vdd,且向字線WLP0提供讀取電壓Vr。當回應於讀取電壓Vdd而開啟電晶體TR1及TR2時,電晶體TP1回應於讀取電壓Vr而產生讀取電流。反熔絲記憶體單元100由此產生經由電晶體TR1及TR2流向位元線BL0的讀取電流。根據經由位元線BL0流動的讀取電流的量值,在一些實施例中反熔絲記憶體單元100用以具有儲存狀態。在一些實施例中,選擇電壓Vdd的量值與讀取電壓Vr的量值相同。例如,讀取電壓Vr的量值從約1 V至約2 V變化,且選擇電壓Vdd從約0.75 V至約1.5 V變化。在一些其他實施例中,位元線BL0上的電壓的量值從約0 V至約0.5 V變化。
因為電晶體TP2的閘極浮動,所以關閉電晶體TP2。由此,在電晶體TP2的第一源極/汲極端與第二源極/汲極端之間的等效電阻係非常高的。與從電晶體TP1的第二源極/汲極端到電晶體TP2的第二源極/汲極端的路徑相比,路徑的等效電阻遠小於在電晶體TP2的第一源極/汲極端與第二源極/汲極端之間的等效電阻。由此,電晶體TP2不將程式化電流及/或讀取電流從第一源極/汲極端發送到第二源極/汲極端,或從第二源極/汲極端發送到第一源極/汲極端。由此,程式化電流及/或讀取電流經由電晶體TP1的第二源極/汲極端流向電晶體TR1~TR2,且程式化電流及/或讀取電流不流經電晶體TP2。
程式化裝置102、程式化裝置104、讀取裝置106及讀取裝置108的以上實施方式係出於說明目的而給出。程式化裝置102、程式化裝置104、讀取裝置106及讀取裝置108的各種實施方式係在本揭示的預期範疇內。例如,在各個實施例中,取決於各種製造製程,程式化裝置102、程式化裝置104、讀取裝置106及讀取裝置108係用各種類型的MOS電晶體實施,例如,包括鰭式場效電晶體(FinFET)。針對另一實例,在各個實施例中,如上文論述的程式化裝置102、程式化裝置104、讀取裝置106及讀取裝置108用單個電晶體實施。為了說明,將如上文論述的電晶體TP1、TP2、TR1及TR2係製造為單個電晶體以執行電晶體TP1、TP2、TR1及TR2的相同功能。
在一些方法中,例如,歸因於各種製程及環境,與第1圖的電晶體TP1~TP2的閘極對應的閘極具有不同的耐壓。當執行讀取操作時,閘極亦為洩漏電流提供路徑。當記憶體裝置的所有閘極耦接到程式化字線時,記憶體裝置在電晶體的閘極處為洩漏電流提供路徑,此等閘極在讀取操作中未讀取。洩漏電流消耗讀取電流。由此,當在記憶體裝置中存在更多的用於洩漏電流的路徑時,需要增加讀取電流來維持在期望位準下。在此種條件下,需要增加讀取電壓Vr來將讀取電流保持在期望位準下,且操作的效能(例如,功率消耗)受到影響。
與此種方法相比,在本揭示的實施例中,例如參考第1圖,電晶體TP2的閘極從字線WLP0斷開。由此,電晶體TP2的閘極不為從字線WLP0流經的電流提供路徑。當執行讀取操作時,如第1圖所示,因為電晶體TP2的閘極與字線WLP0隔離,不存在從字線WLP0流向電晶體TP2的洩漏電流。在此種結構中,不影響與電晶體TP2的閘極相關聯的讀取操作中的讀取電流。讀取電流能夠維持在期望位準下,且讀取電壓Vr不需要增加。由此,當用於洩漏電流的路徑減少時,洩漏電流減少,且利用相同讀取電壓Vt,能夠維持較高的讀取電流。換言之,當洩漏電流減少時,需要較低的讀取電壓Vt來將讀取電流維持在期望位準下。因此,在讀取操作中向字線WLP0施加的讀取電壓Vt減少,且能夠改進操作效能(例如,功率消耗)。
參考第2圖及第3圖。第2圖係根據本揭示的一些實施例的第1圖所示的反熔絲記憶體單元100的佈局結構200。在一些實施例中,佈局結構200對應於第1圖所示的反熔絲記憶體單元100。第3圖係根據本揭示的一些實施例示出第2圖所示的佈局結構200之沿著XY平面的橫截面圖的示意圖300。為了便於理解,參考第3圖論述關於第2圖的實施例。
如第2圖所示,佈局結構200包括主動區AA1、主動區AA2、閘極G1、閘極G2、導電區段CS1、導電區段CS2、導電區段CS3、金屬區段MS1及通孔VD1。
閘極G1~G2及導電區段CS1~CS3在主動區AA1及AA2之上佈置,且閘極G1~G2及導電區段CS1~CS3延伸以跨過主動區AA1及AA2。為了說明,主動區AA1及主動區AA2彼此分離。閘極G1~G2及導電區段CS1~CS3經佈置為彼此分離。如第2圖所示,導電區段CS1、閘極G1、導電區段CS2、閘極G2及導電區段CS3沿著X方向依序佈置。
在一些實施例中,主動區AA1及AA2由摻雜區域/區實施,以便形成在如第1圖所示的反熔絲記憶體單元100中包括的電晶體。在一些實施例中,主動區AA1經構造為用於反熔絲記憶體單元100的電晶體TP1及TR1的源極/汲極區域,且主動區AA2經構造為用於反熔絲記憶體單元100的電晶體TP2及TR2的源極/汲極區域。如第2圖所示,源極/汲極區域SD1對應於電晶體TP1的第一源極/汲極端,源極/汲極區域SD2對應於電晶體TP1的第二源極/汲極端,源極/汲極區域SD3對應於電晶體TP2的第一源極/汲極端,源極/汲極區域SD4對應於電晶體TP2的第二源極/汲極端,源極/汲極區域SD5對應於電晶體TR1的第一源極/汲極端,源極/汲極區域SD6對應於電晶體TR1的第二源極/汲極端,源極/汲極區域SD7對應於電晶體TR2的第一源極/汲極端,且源極/汲極區域SD8對應於電晶體TR2的第二源極/汲極端。
如第2圖所示,佈局結構200的閘極G1包括閘極部分G1A及與閘極部分G1A分離的閘極部分G1B。在一些實施例中,閘極部分G1A及閘極部分G1B彼此電性隔離。換言之,閘極部分G1A上的電壓及/或電流與閘極部分G1B上的電壓及/或電流電性隔離。閘極部分G1A跨過主動區AA1,且對應於電晶體TP1的閘極端。閘極部分G1B跨過主動區AA2,且對應於電晶體TP2的閘極端。
在第2圖中,示出切線CP1以指示閘極部分G1A及G1B係分離的。將切線CP1示出為在閘極部分G1A與G1B之間。在一些實施例中,切線CP1表示空白空間。在一些實施例中,切線CP1藉由在形成閘極G1之後執行切割製程(例如,切割多晶製程)來形成。出於說明目的,提供了佈局結構200中的切線CP1。各種切線CP1係在本揭示的預期範疇內。例如,在各個實施例中,用絕緣材料填充切線CP1。
在一些實施例中,閘極部分G1A對應於反熔絲記憶體單元100的電晶體TP1的閘極,且閘極部分G1B對應於反熔絲記憶體單元100的電晶體TP2的閘極。閘極部分G1A用以從字線WLP0(在第2圖中未圖示)接收程式化電壓Vp及/或讀取電壓Vr。在佈局視圖中的主動區AA1內,閘極G2對應於反熔絲記憶體單元100的電晶體TR1的閘極;且在佈局視圖中的主動區AA2內,閘極G2對應於反熔絲記憶體單元100的電晶體TR2的閘極。閘極G2用以從字線WLR0(在第2圖中未圖示)接收選擇電壓Vdd。
在一些實施例中,如第1圖所示,導電區段CS1~CS3係實施,以便用於在電晶體TP1~TP2及電晶體TR1~TR2的源極/汲極端之間的連接。導電區段CS1將源極/汲極區域SD1耦接到源極/汲極區域SD3,其中導電區段CS1對應於在電晶體TP1及TP2的第一源極/汲極端之間的連接。導電區段CS2將源極/汲極區域SD2耦接到源極/汲極區域SD4,且將源極/汲極區域SD5耦接到源極/汲極區域SD7,其中導電區段CS2對應於在電晶體TP1~TP2的第二源極/汲極端與電晶體TR1~TR2的第一源極/汲極端之間的連接。導電區段CS3將源極/汲極區域SD6耦接到源極/汲極區域SD8,其中導電區段CS3對應於在電晶體TR1及TR2的第二源極/汲極端之間的連接。
為了在第2圖中說明,通孔VD1經佈置在導電區段CS3之上且在主動區AA1與主動區AA2之間。換言之,在佈局結構200的佈局視圖中通孔VD1不重疊主動區AA1~AA2。通孔VD1將導電區段CS3耦接到金屬區段MS1。在一些實施例中,對應於電晶體TR1~TR2的第二源極/汲極端的源極/汲極區域SD6及SD8經由導電區段CS3、通孔VD1及金屬區段MS1耦接到位元線BL0(在第2圖中未圖示)。金屬區段MS1用以將程式化電流及/或讀取電流發送到位元線BL0。
佈局結構200的以上構造係出於說明目的而提供。佈局結構200的各種構造係在本揭示的預期範疇內。例如,在各個實施例中,在佈局結構200的佈局視圖中指示在主動區AA1與金屬區段MS1之間的距離的節距D1在佈局結構200中不存在。針對另一實例,在替代實施例中,在佈局結構200的佈局視圖中指示在主動區AA2與金屬區段MS1之間的距離的節距D1及節距D2在佈局結構200中不存在。換言之,在佈局結構200的佈局視圖中在金屬區段MS1與主動區AA1之間及在金屬區段MS1與主動區AA2之間的距離為零。針對額外實例,在各個實施例中,佈局結構200包括經構造為虛擬閘極的更多閘極,其中在一些實施例中,「虛擬閘極」不用作MOS裝置的閘極。
如第3圖所示,導電區段CS1~CS3及閘極G1~G2耦接到主動區AA1~AA2。通孔VD1在導電區段CS3與金屬區段MS1之間耦接。在示意圖300中,導電區段CS1~CS3及閘極G1~G2在Z方向上具有實質上相同的高度。由此,將通孔VD1設置為在Y方向上高於閘極G1~G2及導電區段CS1~CS3,且將金屬區段MS1設置為在Z方向上高於通孔VD1。
示意圖300的構造係出於說明目的而提供。示意圖300的各種構造係在本揭示的預期範疇內。例如,在各個實施例中,導電區段CS1~CS3的高度與閘極G1~G2的高度不同。
參考第4圖。第4圖係根據本揭示的一些實施例的反熔絲記憶體單元400的電路圖。反熔絲記憶體單元400包括位元1及位元2。在一些實施例中,位元1及位元2表示耦接到相同位元線BL0的兩個反熔絲記憶體單元。在一些實施例中,位元1係第1圖所示的反熔絲記憶體單元100,且位元2與位元1類似。為了促進理解,用參考第1圖的相同元件符號設計第4圖中的類似元件。
如第4圖所示,位元1包括程式化裝置102、程式化裝置104、讀取裝置106及讀取裝置108。程式化裝置102耦接到讀取裝置106,且程式化裝置104耦接到讀取裝置108。讀取裝置106及108耦接到位元線BL0。位元2包括程式化裝置110、程式化裝置112、讀取裝置114及讀取裝置116。程式化裝置110耦接到讀取裝置114,且程式化裝置112耦接到讀取裝置116。讀取裝置114及116耦接到位元線BL0。
在一些實施例中,程式化裝置102、104、110及112以及讀取裝置106、108、114及116用NMOS電晶體實施。為了說明第4圖,程式化裝置102、104、110及112以及讀取裝置106、108、114及116分別用NMOS電晶體TP1、TP2、TP3、TP4、TR1、TR2、TR3及TR4實施。
電晶體TP1的第一源極/汲極端耦接到電晶體TP2的第一源極/汲極端,且電晶體TP1的第二源極/汲極端耦接到電晶體TR1的第一源極/汲極端、電晶體TP2的第二源極/汲極端及電晶體TR2的第一源極/汲極端。電晶體TR1及TR2的第二源極/汲極端耦接到位元線BL0。電晶體TP3的第一源極/汲極端耦接到電晶體TP4的第一源極/汲極端,且電晶體TP3的第二源極/汲極端耦接到電晶體TR3的第一源極/汲極端、電晶體TP4的第二源極/汲極端及電晶體TR4的第一源極/汲極端。電晶體TR3及TR4的第二源極/汲極端耦接到位元線BL0。
電晶體TP1的閘極端耦接到字線WLP0,且電晶體TR1及TR2的閘極端耦接到字線WLR0。電晶體TP3的閘極端耦接到字線WLP1,且電晶體TR3及TR4的閘極端耦接到字線WLR1。在一些實施例中,電晶體TP2及TP4的閘極端分別與字線WLP0及WLP1電性隔離。在一些實施例中,電晶體TP2及TP4的閘極端浮動。
在一些實施例中,反熔絲記憶體單元400的讀取操作及程式化操作與反熔絲記憶體單元100的讀取操作及程式化操作類似。因此,在本文中不進一步詳述讀取操作及程式化操作。
參考第5圖。第5圖係根據本揭示的一些實施例的第4圖所示的反熔絲記憶體單元400的佈局結構500。在一些實施例中,佈局結構200係佈局結構500的部分。為了促進理解,第5圖中的類似元件用參考第2圖的相同元件符號標識。
如第5圖所示,佈局結構500包括主動區AA1、主動區AA2、閘極G1、閘極G2、閘極G3、閘極G4、導電區段CS1、導電區段CS2、導電區段CS3、導電區段CS4、導電區段CS5、金屬區段MS1及通孔VD1。
閘極G1~G4及導電區段CS1~CS5在主動區AA1及AA2之上佈置,且閘極G1~G4及導電區段CS1~CS5延伸跨過主動區AA1及AA2。為了說明,主動區AA1及主動區AA2彼此分離。閘極G1~G4及導電區段CS1~CS5經佈置為彼此分離。如第5圖所示,導電區段CS1、閘極G1、導電區段CS2、閘極G2、導電區段CS3、閘極G4、導電區段CS4、閘極G3及導電區段CS5沿著X方向依序佈置。
在一些實施例中,主動區AA1及AA2由摻雜區域/區實施,以便形成在如第4圖所示的反熔絲記憶體單元400中包括的電晶體。在一些實施例中,主動區AA1經構造為用於反熔絲記憶體單元400的電晶體TP1、TP3、TR1及TR3的源極/汲極區域,且主動區AA2經構造為用於反熔絲記憶體單元400的電晶體TP2、TP4、TR2及TR4的源極/汲極區域。
如第5圖所示,源極/汲極區域SD1對應於電晶體TP1的第一源極/汲極端,源極/汲極區域SD2對應於電晶體TP1的第二源極/汲極端,源極/汲極區域SD3對應於電晶體TP2的第一源極/汲極端,源極/汲極區域SD4對應於電晶體TP2的第二源極/汲極端,源極/汲極區域SD5對應於電晶體TR1的第一源極/汲極端,源極/汲極區域SD6對應於電晶體TR1的第二源極/汲極端,源極/汲極區域SD7對應於電晶體TR2的第一源極/汲極端,且源極/汲極區域SD8對應於電晶體TR2的第二源極/汲極端。源極/汲極區域SD9對應於電晶體TP3的第一源極/汲極端,源極/汲極區域SD10對應於電晶體TP3的第二源極/汲極端,源極/汲極區域SD11對應於電晶體TP4的第一源極/汲極端,源極/汲極區域SD12對應於電晶體TP4的第二源極/汲極端,源極/汲極區域SD13對應於電晶體TR3的第一源極/汲極端,源極/汲極區域SD14對應於電晶體TR3的第二源極/汲極端,源極/汲極區域SD15對應於電晶體TR4的第一源極/汲極端,且源極/汲極區域SD16對應於電晶體TR4的第二源極/汲極端。
如第5圖所示,佈局結構500的閘極G1包括閘極部分G1A及與閘極部分GA1分離的閘極部分G1B,且佈局結構500的閘極G3包括閘極部分G3A及與閘極部分G3A分離的閘極部分G3B。在一些實施例中,閘極部分G1A及閘極部分G1B彼此電性隔離,且閘極部分G3A及閘極部分G3B彼此電性隔離。換言之,閘極部分G1A上的電壓及/或電流與閘極部分G1B上的電壓及/或電流電性隔離,且閘極部分G3A上的電壓及/或電流與閘極部分G3B上的電壓及/或電流電性隔離。閘極部分G1A跨過主動區AA1,且對應於電晶體TP1的閘極端。閘極部分G1B跨過主動區AA2,且對應於電晶體TP2的閘極端。閘極部分G3A跨過主動區AA1,且對應於電晶體TP3的閘極端。閘極部分G3B跨過主動區AA2,且對應於電晶體TP4的閘極端。
閘極部分G1A及G3A用以分別從字線WLP0及WLP1(在第5圖中未圖示)接收程式化電壓Vp及/或讀取電壓Vr。閘極G2及G4用以分別從字線WLR0及WLR1(在第5圖中未圖示)接受選擇電壓。
在第5圖中,示出切線CP1以指示閘極部分G1A及G1B係分離的,且示出切線CP2以指示閘極部分G3A及G3B係分離的。將切線CP1示出為在閘極部分G1A與G1B之間,且將切線CP2示出為在閘極部分G3A與G3B之間。在一些實施例中,切線CP1~CP2表示空白空間。在一些實施例中,切線CP1~CP2藉由在形成閘極G1~G4之後執行切割製程(例如,切割多晶製程)來形成。在佈局結構500中的切線CP1~CP2係出於說明目的而提供。各種切線CP1~CP2係在本揭示的預期範疇內。
在一些實施例中,如第4圖所示,導電區段CS1~CS5係實施,以便用於在電晶體TP1~TP4及電晶體TR1~TR4的源極/汲極端之間的連接。導電區段CS1將源極/汲極區域SD1耦接到源極/汲極區域SD3,其中導電區段CS1對應於在電晶體TP1及TP2的第一源極/汲極端之間的連接。導電區段CS2將源極/汲極區域SD2耦接到源極/汲極區域SD4,且將源極/汲極區域SD5耦接到源極/汲極區域SD7,其中導電區段CS2對應於在電晶體TP1~TP2的第二源極/汲極端與電晶體TR1~TR2的第一源極/汲極端之間的連接。導電區段CS3將源極/汲極區域SD6耦接到源極/汲極區域SD8,且將源極/汲極區域SD14耦接到源極/汲極區域SD16,其中導電區段CS3對應於在電晶體TR1及TR2的第二源極/汲極端與電晶體TR3~TR4的第二源極/汲極端之間的連接。導電區段CS5將源極/汲極區域SD9耦接到源極/汲極區域SD11,其中導電區段CS5對應於在電晶體TP3及TP4的第一源極/汲極端之間的連接。導電區段CS4將源極/汲極區域SD10耦接到源極/汲極區域SD12,且將源極/汲極區域SD13耦接到源極/汲極區域SD15,其中導電區段CS4對應於在電晶體TP3~TP4的第二源極/汲極端與電晶體TR3~TR4的第一源極/汲極端之間的連接。
在主動區AA1中,在一些實施例中,源極/汲極區域SD2及SD5係連續區域且彼此電性耦接。源極/汲極區域SD6及SD14係連續區域且彼此電性耦接。源極/汲極區域SD10及SD13係連續區域且彼此電性耦接。類似地,在主動區AA2中,在一些實施例中,源極/汲極區域SD4及SD7、源極/汲極區域SD8及SD16以及源極/汲極區域SD12及SD15係連續區域,且分別彼此電性耦接。
如第5圖所示,通孔VD1在導電區段CS3之上且在主動區AA1與主動區AA2之間佈置。換言之,在佈局結構500的佈局視圖中通孔VD1不重疊主動區AA1~AA2。通孔VD1將導電區段CS3耦接到金屬區段MS1。在一些實施例中,對應於電晶體TR1~TR2的第二源極/汲極端的源極/汲極區域SD6及SD8以及對應於電晶體TR3~TR4的第二端的源極/汲極區域SD14及SD16經由導電區段CS3、通孔VD1及金屬區段MS1耦接到位元線BL0(在第5圖中未圖示)。金屬區段MS1用以向位元線BL0發送程式化電流及/或讀取電流。
佈局結構500的以上構造係出於說明目的而提供。佈局結構500的各種構造係在本揭示的預期範疇內。例如,在各個實施例中,閘極部分G1A及G3A分別與字線WLP0及WLP1電性隔離,且閘極部分G1B及G3B分別耦接至字線WLP0及WLP1。
參考第6圖。第6圖係根據本揭示的一些實施例的反熔絲記憶體單元陣列600的電路圖。在一些實施例中,在反熔絲記憶體單元陣列600中的反熔絲記憶體單元與第4圖所示的反熔絲記憶體單元400類似。為了促進理解,在第6圖中的類似元件用參考第4圖的相同數字標識。反熔絲記憶體單元陣列600包括位元1、位元2、位元3及位元4。在一些實施例中,位元1~位元4表示以2x2陣列佈置的4個反熔絲記憶體單元。
如第6圖所示,位元1包括程式化裝置102、程式化裝置104、讀取裝置106及讀取裝置108。程式化裝置102耦接到讀取裝置106,且程式化裝置104耦接到讀取裝置108。位元2包括程式化裝置110、程式化裝置112、讀取裝置114及讀取裝置116。程式化裝置110耦接到讀取裝置114,且程式化裝置112耦接到讀取裝置116。讀取裝置106、108、114及116耦接到位元線BL0。或者,位元1及位元2以耦接到位元線BL0的相同行佈置。位元3包括程式化裝置118、程式化裝置120、讀取裝置122及讀取裝置124。程式化裝置118耦接到讀取裝置122,且程式化裝置120耦接到讀取裝置124。位元4包括程式化裝置126、程式化裝置128、讀取裝置130及讀取裝置132。程式化裝置126耦接到讀取裝置130,且程式化裝置128耦接到讀取裝置132。讀取裝置122、124、130及132耦接到位元線BL1。或者,位元3及位元4以耦接到位元線BL1的相同行佈置。
如第6圖所示,位元1的程式化裝置104及位元3的程式化裝置118耦接到字線WLP0,且位元1的讀取裝置106及108以及位元3的讀取裝置122及124耦接到字線WLR0。換言之,位元1及位元3以耦接到字線WLP0及/或WLR0的相同列佈置。位元2的程式化裝置112及位元4的程式化裝置126耦接到字線WLP1,且位元2的讀取裝置114及116以及位元4的讀取裝置130及132耦接到字線WLR1。換言之,位元2及位元4以耦接到字線WLP1及/或WLR1的相同列佈置。
在一些實施例中,程式化裝置102、104、110、112、118、120、126及128以及讀取裝置106、108、114、116、122、124、130及132用NMOS電晶體實施。為了說明第6圖,程式化裝置102、104、110、112、118、120、126及128以及讀取裝置106、108、114、116、122、124、130及132分別用NMOS電晶體TP1、TP2、TP3、TP4、TP5、TP6、TP7、TP8、TR1、TR2、TR3、TR4、TR5、TR6、TR7及TR8實施。
電晶體TP1的第一源極/汲極端耦接到電晶體TP2的第一源極/汲極端,且電晶體TP1的第二源極/汲極端耦接到電晶體TR1的第一源極/汲極端、電晶體TP2的第二源極/汲極端及電晶體TR2的第一源極/汲極端。電晶體TR1及TR2的第二源極/汲極端耦接到位元線BL0。電晶體TP3的第一源極/汲極端耦接到電晶體TP4的第一源極/汲極端,且電晶體TP3的第二源極/汲極端耦接到電晶體TR3的第一源極/汲極端、電晶體TP4的第二源極/汲極端及電晶體TR4的第一源極/汲極端。電晶體TR3及TR4的第二源極/汲極端耦接到位元線BL0。電晶體TP5的第一源極/汲極端耦接到電晶體TP6的第一源極/汲極端,且電晶體TP5的第二源極/汲極端耦接到電晶體TR5的第一源極/汲極端、電晶體TP6的第二源極/汲極端及電晶體TR6的第一源極/汲極端。電晶體TR5及TR6的第二源極/汲極端耦接到位元線BL1。電晶體TP7的第一源極/汲極端耦接到電晶體TP8的第一源極/汲極端,且電晶體TP7的第二源極/汲極端耦接到電晶體TR7的第一源極/汲極端、電晶體TP8的第二源極/汲極端及電晶體TR8的第一源極/汲極端。電晶體TR7及TR8的第二源極/汲極端耦接到位元線BL1。
電晶體TP2及TP5的閘極端耦接到字線WLP0,且電晶體TR1、TR2、TR5及TR6的閘極端耦接到字線WLR0。電晶體TP4及TP7的閘極端耦接到字線WLP1,且電晶體TR3、TR4、TR7及TR8的閘極端耦接到字線WLR1。在一些實施例中,電晶體TP1及TP6的閘極端與字線WLP0電性隔離,且電晶體TP3及TP8的閘極端與字線WLP1電性隔離。在一些實施例中,電晶體TP1、TP3、TP6及TP8的閘極端浮動。
在一些實施例中,反熔絲記憶體單元陣列600的每行的讀取操作及程式化操作與反熔絲記憶體單元400的讀取操作及程式化操作類似。由此,在本文中不進一步詳述此等操作。
參考第7圖。第7圖係根據本揭示的一些實施例的第6圖所示的反熔絲記憶體單元陣列600的佈局結構700。在一些實施例中,佈局結構500與佈局結構700類似。為了促進理解,第7圖中的類似元件用參考第5圖的相同元件符號標識。
如第7圖所示,佈局結構700包括主動區AA1、主動區AA2、主動區AA3、主動區AA4、閘極G1、閘極G2、閘極G3、閘極G4、導電區段CS1、導電區段CS2、導電區段CS3、導電區段CS4、導電區段CS5、金屬區段MS1、金屬區段MS2、金屬區段MS3、金屬區段MS4、通孔VD1、通孔VD2、通孔VG1及通孔VG2。
閘極G1~G4及導電區段CS1~CS5在主動區AA1~AA4之上佈置,且閘極G1~G4及導電區段CS1~CS5延伸跨過主動區AA1~AA4。為了說明,主動區AA1~AA4彼此分離。閘極G1~G4及導電區段CS1~CS5經佈置為彼此分離。如第7圖所示,導電區段CS1、閘極G1、導電區段CS2、閘極G2、導電區段CS3、閘極G4、導電區段CS4、閘極G3及導電區段CS5沿著X方向依序佈置。
在一些實施例中,主動區AA1~AA4由摻雜區域/區實施,以便形成在如第6圖所示的反熔絲記憶體單元陣列600中包括的電晶體。在一些實施例中,主動區AA1經構造為用於反熔絲記憶體單元陣列600的電晶體TP1、TP3、TR1及TR3的源極/汲極區域,主動區AA2經構造為用於反熔絲記憶體單元陣列600的電晶體TP2、TP4、TR2及TR4的源極/汲極區域,主動區AA3經構造為用於反熔絲記憶體單元陣列600的電晶體TP5、TP7、TR5及TR7的源極/汲極區域,且主動區AA4經構造為用於反熔絲記憶體單元陣列600的電晶體TP6、TP8、TR6及TR8的源極/汲極區域。
在一些實施例中,佈局結構500的源極/汲極區域SD1~SD16的佈置與佈局結構700的源極/汲極區域SD1~SD16的佈置相同。由此,在本文中不進一步詳述此等佈置。在第7圖中,源極/汲極區域SD17對應於電晶體TP5的第一源極/汲極端,源極/汲極區域SD18對應於電晶體TP5的第二源極/汲極端,源極/汲極區域SD19對應於電晶體TP6的第一源極/汲極端,源極/汲極區域SD20對應於電晶體TP6的第二源極/汲極端,源極/汲極區域SD21對應於電晶體TR5的第一源極/汲極端,源極/汲極區域SD22對應於電晶體TR5的第二源極/汲極端,源極/汲極區域SD23對應於電晶體TR6的第一源極/汲極端,且源極/汲極區域SD24對應於電晶體TR6的第二源極/汲極端。源極/汲極區域SD25對應於電晶體TP7的第一源極/汲極端,源極/汲極區域SD26對應於電晶體TP7的第二源極/汲極端,源極/汲極區域SD27對應於電晶體TP8的第一源極/汲極端,源極/汲極區域SD28對應於電晶體TP8的第二源極/汲極端,源極/汲極區域SD29對應於電晶體TR7的第一源極/汲極端,源極/汲極區域SD30對應於電晶體TR7的第二源極/汲極端,源極/汲極區域SD31對應於電晶體TR8的第一源極/汲極端,且源極/汲極區域SD32對應於電晶體TR8的第二源極/汲極端。
如第7圖所示,佈局結構700的閘極G1包括閘極部分G1A、閘極部分G1B、閘極部分G1C及閘極部分G1D,且佈局結構700的閘極G3包括閘極部分G3A、閘極部分G3B、閘極部分G3C及閘極部分G3D。在一些實施例中,閘極部分G1A及G1B彼此分離,閘極部分G3A及G3B彼此分離,閘極部分G1C及G1D彼此分離,且閘極部分G3C及G3D彼此分離。在一些實施例中,閘極部分G1A及閘極部分G1B彼此電性隔離,閘極部分G3A及閘極部分G3B彼此電性隔離,閘極部分G1C及G1D彼此電性隔離,且閘極部分G3C及G3D彼此電性隔離。換言之,閘極部分G1A上的電壓及/或電流與閘極部分G1B上的電壓及/或電流電性隔離,閘極部分G3A上的電壓及/或電流與閘極部分G3B上的電壓及/或電流電性隔離,閘極部分G1C上的電壓及/或電流與閘極部分G1D上的電壓及/或電流電性隔離,且閘極部分G3C上的電壓及/或電流與閘極部分G3D上的電壓及/或電流電性隔離。閘極部分G1A跨過主動區AA1,且對應於電晶體TP1的閘極端。閘極部分G1B跨過主動區AA2,且對應於電晶體TP2的閘極端。閘極部分G3A跨過主動區AA1,且對應於電晶體TP3的閘極端。閘極部分G3B跨過主動區AA2,且對應於電晶體TP4的閘極端。閘極部分G1C跨過主動區AA3,且對應於電晶體TP5的閘極端。閘極部分G1D跨過主動區AA4,且對應於電晶體TP6的閘極端。閘極部分G3C跨過主動區AA3,且對應於電晶體TP7的閘極端。閘極部分G3D跨過主動區AA4,且對應於電晶體TP8的閘極端。
如第7圖所示,閘極部分G1B及G1C係連續閘極部分且電性耦接在一起,並且閘極部分G3B及G3C係連續閘極部分且電性耦接在一起。
閘極部分G1B及G1C用以從字線WLP0(在第7圖中未圖示)接收程式化電壓Vp及/或讀取電壓Vr。閘極部分G3B及G3C用以從字線WLP1(在第7圖中未圖示)接收程式化電壓Vp及/或讀取電壓Vr。閘極G2及G4用以分別從字線WLR0及WLR1(在第7圖中未圖示)接收選擇電壓。
在第7圖中,示出切線CP1以指示閘極部分G1A及G1B係分離的,示出切線CP2以指示閘極部分G3A及G3B係分離的,示出切線CP3以指示閘極部分G1C及G1D係分離的,且示出切線CP4以指示閘極部分G3C及G3D係分離的。將切線CP1示出為在閘極部分G1A與G1B之間,將切線CP2示出為在閘極部分G3A與G3B之間,將切線CP3示出為在閘極部分G1C與G1D之間,且將切線CP4示出為在閘極部分G3C及G3D之間。在一些實施例中,切線CP1~CP4表示空白空間。在一些實施例中,切線CP1~CP4藉由在形成閘極G1~G4之後執行切割製程(例如,切割多晶製程)來形成。在佈局結構700中的切線CP1~CP4係出於說明目的而提供。各種切線CP1~CP4係在本揭示的預期範疇內。
在一些實施例中,如第6圖所示,實施導電區段CS1~CS5,以便用於在電晶體TP1~TP8及電晶體TR1~TR8的源極/汲極端之間的連接。在佈局結構500中與導電區段CS1~CS5相關聯的電晶體TP1~TP4及TR1~TR4的源極/汲極端之間的連接佈置與在佈局結構700中的電晶體TP1~TP4及TR1~TR4的源極/汲極端之間的連接佈置相同。由此,在本文中不進一步詳述此等佈置。在一些實施例中,導電區段CS1進一步將源極/汲極區域SD17耦接到源極/汲極區域SD19,其中導電區段CS1亦對應於在電晶體TP5及TP6的第一源極/汲極端之間的連接。導電區段CS2進一步將源極/汲極區域SD18耦接到源極/汲極區域SD20,且將源極/汲極區域SD21耦接到源極/汲極區域SD23,其中導電區段CS2亦對應於在電晶體TP5~TP6的第二源極/汲極端與電晶體TR5~TR6的第一源極/汲極端之間的連接。導電區段CS3進一步將源極/汲極區域SD22耦接到源極/汲極區域SD24,且將源極/汲極區域SD30耦接到源極/汲極區域SD32,其中導電區段CS3亦對應於在電晶體TR5~TR6的第二源極/汲極端與電晶體TR7~TR8的第二源極/汲極端之間的連接。導電區段CS5進一步將源極/汲極區域SD25耦接到源極/汲極區域SD27,其中導電區段CS5亦對應於在電晶體TP7及TP8的第一源極/汲極端之間的連接。導電區段CS4進一步將源極/汲極區域SD26耦接到源極/汲極區域SD28,且將源極/汲極區域SD29耦接到源極/汲極區域SD31,其中導電區段CS4亦對應於在電晶體TP7~TP8的第二源極/汲極端與電晶體TR7~TR8的第一源極/汲極端之間的連接。
在主動區AA1中,在一些實施例中,源極/汲極區域SD2及SD5係連續區域且彼此電性耦接。源極/汲極區域SD6及SD14係連續區域且彼此電性耦接。源極/汲極區域SD10及SD13係連續區域且彼此電性耦接。類似地,在主動區AA2中,在一些實施例中,源極/汲極區域SD4及SD7、源極/汲極區域SD8及SD16以及源極/汲極區域SD12及SD15係連續區域,且分別彼此電性耦接。在主動區AA3中,在一些實施例中,源極/汲極區域SD18及SD21係連續區域且彼此電性耦接。源極/汲極區域SD22及SD30係連續區域且彼此電性耦接。源極/汲極區域SD26及SD29係連續區域且彼此電性耦接。類似地,在主動區AA4中,在一些實施例中,源極/汲極區域SD20及SD23、源極/汲極區域SD24及SD32以及源極/汲極區域SD28及SD31係連續區域,且分別彼此電性耦接。
在第7圖中,示出切線CM1以指示將導電區段CS1~CS5分離為2個部分。在第7圖中,導電區段CS1~CS5的上部對應於耦接到位元線BL0的位元1~位元2;且在第7圖中,導電區段CS1~CS5的下部對應於耦接到位元線BL1的位元3~位元4。在一些實施例中,導電區段CS1~CS5的上部及下部彼此電性隔離。由此,在主動區AA1~AA2的源極/汲極區域上的電壓及/或電流與在主動區AA3~AA4的源極/汲極區域上的電壓及/或電流隔離。在一些實施例中,切線CM1表示空白空間。在一些實施例中,切線CM1藉由在形成導電區段CS1~CS5之後執行切割製程來形成。在一些實施例中,閘極G1~G4不由切線CM1切割。在佈局結構700的佈局視圖中,將切線CM1示出為跨過在主動區AA2~AA3之間的閘極G1~G4,然而,閘極G1~G4在主動區AA2~AA3之間係連續的。換言之,閘極部分G1B及G1C係跨過主動區AA2~AA3的連續閘極部分,閘極部分G3B及G3C係跨過主動區AA2~AA3的連續閘極部分,且閘極G2及G4係跨過主動區AA1~AA4的連續閘極。在佈局結構700中的切線CM1係出於說明目的而提供。各種切線CM1係在本揭示的預期範疇內。
如第7圖所示,通路VD1在導電區段CS3的上部之上且在主動區AA1與主動區AA2之間佈置,並且通孔VD2在導電區段CS3的下部之上且在主動區AA3與主動區AA4之間佈置。換言之,在佈局結構700的佈局視圖中,通孔VD1不重疊主動區AA1~AA2;且在佈局結構700的佈局視圖中,通孔VD2不重疊主動區AA3~AA4。金屬區段MS1在通孔VD1之上佈置,且金屬區段MS2在通孔VD2之上佈置。通孔VD1將導電區段CS3的上部耦接到金屬區段MS1。在一些實施例中,對應於電晶體TR1~TR2的第二源極/汲極端的源極/汲極區域SD6及SD8以及對應於電晶體TR3~TR4的第二端的源極/汲極區域SD14及SD16經由導電區段CS3的上部、通孔VD1及金屬區段MS1耦接到位元線BL0(在第7圖中未圖示)。金屬區段MS1用以向位元線BL0發送程式化電流及/或讀取電流。通孔VD2將導電區段CS3的下部耦接到金屬區段MS2。在一些實施例中,對應於電晶體TR5~TR6的第二源極/汲極端的源極/汲極區域SD22及SD24以及對應於電晶體TR7~TR8的第二端的源極/汲極區域SD30及SD32經由導電區段CS3的下部、通孔VD2及金屬區段MS2耦接到位元線BL1(在第7圖中未圖示)。金屬區段MS2用以向位元線BL1發送程式化電流及/或讀取電流。
如第7圖所示,通孔VG1在閘極G1之上且在主動區AA1與主動區AA2之間佈置,並且通孔VG2在閘極G3之上且在主動區AA1與主動區AA2之間佈置。或者,通孔VG1耦接到閘極部分G1B及G1C,且通孔VG2耦接到閘極部分G3B及G3C。金屬區段MS3在通孔VG1之上佈置,且金屬區段MS4在通孔VG2之上佈置。通孔VG1將閘極部分G1B及G1C耦接到金屬區段MS3,且通孔VG2將閘極部分G3B及G3C耦接到金屬區段MS4。在一些實施例中,電晶體TP2及TP5的閘極端經由通孔VG1及金屬區段MS3耦接到字線WLP0(在第7圖中未圖示),且電晶體TP4及TP7的閘極端經由通孔VG2及金屬區段MS4耦接到字線WLP1(在第7圖中未圖示)。金屬區段MS4及MS4用以分別向字線WLP0及WLP1發送程式化電流及/或讀取電流。
佈局結構700的以上構造係出於說明目的而提供。佈局結構700的各種構造係在本揭示的預期範疇內。例如,在各個實施例中,閘極部分G3A電性耦接到字線WLP1。換言之,不形成切線CP2。在其他實施例中,在替代實施例中,閘極部分G1A電性耦接到字線WLP0。換言之,不形成切線CP1。
參考第8圖。第8圖係根據本揭示的其他實施例的第6圖所示的反熔絲記憶體單元陣列600的佈局結構800。在一些實施例中,佈局結構800與第7圖所示的佈局結構700類似。為了促進理解,第8圖中的類似元件用參考第7圖的相同元件符號標識。
如第8圖所示,佈局結構800包括主動區AA1~AA4、閘極G1~G4、導電區段CS1~CS5、金屬區段MS1~MS4、通孔VD1~VD2及通孔VG1~VG2。因為佈局結構700與佈局結構800類似,為了簡便性,在第8圖中未圖示源極/汲極區域SD1~SD32。
與閘極結構700相比,在佈局結構800中的閘極G1的閘極部分GA1及G1B係連續閘極部分,且在佈局結構800中的閘極G3的部分G3A及G3B係連續閘極部分。如第8圖所示,佈局結構700中的切線CP1~CP2在佈局結構800中不存在。由此,閘極部分G1A及G1B從字線WLP0(在第8圖中未圖示)接收程式化電壓Vp及/或讀取電壓Vr,且閘極部分G3A及G3B從字線WLP1(在第8圖中未圖示)接收程式化電壓Vp及/或讀取電壓Vr。換言之,反熔絲記憶體單元陣列600的對應於閘極部分G1A及G1B的電晶體TP1及TP2的閘極端用以從字線WLP0接收程式化電壓Vp及/或讀取電壓Vr,且反熔絲記憶體單元陣列600的對應於閘極部分G3A及G3B的電晶體TP3及TP4的閘極端用以從字線WLP1接收程式化電壓Vp及/或讀取電壓Vr。
參考第9圖。第9圖係根據本揭示的各個實施例的第6圖所示的反熔絲記憶體單元陣列600的佈局結構900。在一些實施例中,佈局結構900與第7圖所示的佈局結構700類似。為了促進理解,第9圖中的類似元件用參考第7圖的相同元件符號標識。
如第9圖所示,佈局結構900包括主動區AA1~AA4、閘極G1~G4、導電區段CS1~CS5、金屬區段MS1~MS4、通孔VD1~VD2及通孔VG1~VG2。因為佈局結構700與佈局結構900類似,為了簡便性,在第9圖中未圖示源極/汲極區域SD1~SD32。
與佈局結構700相比,在佈局結構900中的閘極G1的閘極部分G1C及G1D係連續閘極部分,且在佈局結構900中的閘極G3的部分G3C及G3D係連續閘極部分。如第9圖所示,佈局結構700中的切線CP3~CP4在佈局結構900中不存在。由此,閘極部分G1C及G1D從字線WLP0(在第9圖中未圖示)接收程式化電壓Vp及/或讀取電壓Vr,且閘極部分G3C及G3D從字線WLP1(在第9圖中未圖示)接收程式化電壓Vp及/或讀取電壓Vr。換言之,反熔絲記憶體單元陣列600的對應於閘極部分G1C及G1D的電晶體TP5及TP6的閘極端用以從字線WLP0接收程式化電壓Vp及/或讀取電壓Vr,且反熔絲記憶體單元陣列600的對應於閘極部分G3C及G3D的電晶體TP7及TP8的閘極端用以從字線WLP1接收程式化電壓Vp及/或讀取電壓Vr。
佈局結構700、800及900係出於說明目的而提供。各種佈局結構700、800及900係在本揭示的預期範疇內。例如,在佈局結構800的各個實施例中,閘極部分G1A及G1B彼此電性耦接,且閘極部分G3A及G3B彼此電性隔離。換言之,切線CP2~CP4在佈局結構800中形成,且切線CP1在佈局結構800中不形成。在另一實例中,在佈局結構900的替代實施例中,閘極部分閘極G3A及G3B彼此電性耦接,且閘極部分G1C及G1D彼此電性隔離。換言之,切線CP1及CP4在佈局結構900中形成,且切線CP2及CP3在佈局結構900中不形成。
參考第10圖。第10圖係根據本揭示的一些實施例的反熔絲記憶體單元陣列1000的電路圖。反熔絲記憶體單元陣列1000包括位元1~位元8,且如第10圖所示,位元1~位元8以4x8陣列佈置。在一些實施例中,反熔絲記憶體單元陣列600係反熔絲記憶體單元陣列1000的部分。在一些實施例中,反熔絲記憶體單元陣列1000包括以陣列的4行佈置的2個反熔絲記憶體單元陣列600。在一些實施例中,位元1~位元4對應於第一反熔絲記憶體單元陣列600,且位元5~位元8對應於第二反熔絲記憶體單元陣列600。為了促進理解,第10圖中的類似元件用參考第6圖的相同數字標識。此外,為了簡便性,在第10圖中省略第6圖中的一些數字。
如第10圖所示,位元1~位元2以相同行佈置且耦接到位元線BL0,位元3~位元4以相同行佈置且耦接到位元線BL1,位元5~位元6以相同行佈置且耦接到位元線BL2,並且位元7-8以相同行佈置且耦接到位元線BL3。位元1、位元3、位元5及位元7以耦接到字線WLP0及WLR0的相同列佈置,並且位元2、位元4、位元6及位元8以耦接到字線WLP1及WLR1的相同列佈置。
在一些實施例中,如同反熔絲記憶體單元陣列600,反熔絲記憶體單元陣列1000由電晶體實施。如第10圖所示,反熔絲記憶體單元陣列1000由電晶體TP1~TP16及電晶體TR1~TR16實施。
在一些實施例中,電晶體TP1及TP2的閘極端彼此電性隔離,電晶體TP3及TP4的閘極端彼此電性隔離,電晶體TP5及TP6的閘極端彼此電性隔離,電晶體TP7及TP8的閘極端彼此電性隔離,電晶體TP9及TP10的閘極端彼此電性隔離,電晶體TP11及TP12的閘極端彼此電性隔離,電晶體TP13及TP14的閘極端彼此電性隔離,且電晶體TP15及TP16的閘極端彼此電性隔離。
在一些實施例中,反熔絲記憶體單元陣列1000的程式化操作及讀取操作與反熔絲記憶體單元陣列600的程式化操作及讀取操作類似。由此,在本文中不進一步詳述反熔絲記憶體單元陣列1000的程式化操作及讀取操作。
在一些實施例中,電晶體TP2、TP5、TP10及TP13的閘極端耦接到字線WLP0且用以從字線WLP0接收程式化電壓Vp及/或讀取電壓Vr,並且電晶體TP4、TP7、TP12及TP15的閘極端耦接到字線WLP1且用以從字線WLP1接收程式化電壓Vp及/或讀取電壓Vr。在一些實施例中,電晶體TP6及TP9的閘極端彼此耦接,且電晶體TP8及TP11的閘極端彼此耦接。在其他實施例中,電晶體TP1、TP3、TP6、TP8、TP9、TP11、TP14及TP16的閘極端的至少部分係浮動。
參考第11圖。第11圖係根據本揭示的一些實施例的第10圖所示的反熔絲記憶體單元陣列1000的佈局結構1100。在一些實施例中,佈局結構1100與佈局結構700類似。為了促進理解,第11圖中的類似元件用參考第7圖的相同元件符號標識。
如第11圖所示,佈局結構1100包括主動區AA1~AA8、閘極G1~G4、導電區段CS1~CS5、金屬區段MS1~MS10、通孔VD1~VD4及通孔VG1~VG6。
在一些實施例中,佈局結構1100包括彼此耦接的2個佈局結構700。在一些實施例中,在佈局結構1100的佈局視圖中在主動區AA1及AA4之間的佈置對應於第一佈局結構700,且在佈局結構1100的佈局視圖中在主動區AA5及AA8之間的佈置對應於第二佈局結構700。由此,主動區AA1~AA4、金屬區段MS1~MS5、通孔VD1~VD2、通孔VG1~VG3、切線CP1~CP4及切線CM1~CM2分別對應於主動區AA5~AA8、金屬區段MS6-MS10、通孔VD3-VD4、通孔VG4-VG6、切線CP5~CP8及切線CM3~CM4。由此,在本文中不進一步詳述對應於佈局結構700的佈置。
與佈局結構700(對應於上文提及的第一佈局結構700)相比,佈局結構1100進一步包括通孔VG3及金屬區段MS5。如第11圖所示,通孔VG3在閘極G2之上且在主動區AA4與主動區AA5之間佈置,並且金屬區段MS5在通孔VG3之上佈置。通孔VG3及金屬區段MS5將閘極G2耦接到字線WLR0,且用以從字線WLR0接收選擇電壓Vdd。或者,對應於閘極G2的電晶體TR1、TR2、TR5、TR6、TR9、TR10、TR13及TR14的閘極端經由通孔VG3及金屬區段MS5耦接到字線WLR0。類似地,VG6在閘極G4之上且在主動區AA8相對於主動區AA7的相對側面處佈置,且金屬區段MS10在通孔VG6之上佈置。通孔VG6及金屬區段MS10將閘極G4耦接到字線WLR1,且用以從字線WLR1接收選擇電壓Vdd。或者,對應於閘極G4的電晶體TR3、TR4、TR7、TR8、TR11、TR12、TR15及TR16的閘極端經由通孔VG6及金屬區段MS10耦接到字線WLR1。
參考第12圖。第12圖係根據本揭示的各個實施例的第10圖所示的反熔絲記憶體單元陣列1000的佈局結構1200。在一些實施例中,佈局結構1200包括第11圖所示的佈局結構1100。為了促進理解,第12圖中的類似元件用參考第11圖的相同元件符號標識。
與佈局結構1100相比,佈局結構1200進一步包括通孔VM1、通孔VM2、通孔VM3、通孔VM4、通孔VM5、通孔VM6、金屬區段M1、金屬區段M2、金屬區段M3及金屬區段M4。為了簡便性,在第12圖中省略第11圖中的一些元件符號。
如第12圖所示,通孔VM1在金屬區段MS3之上佈置,通孔VM2在金屬區段MS4之上佈置,通孔VM3在金屬區段MS5之上佈置,通孔VM4在金屬區段MS8之上佈置,通孔VM5在金屬區段MS9之上佈置,且通孔VM6在金屬區段MS10之上佈置。
如第12圖所示,金屬區段M1在通孔VM1及通孔VM4之上佈置,金屬區段M2在通孔VM3之上佈置,金屬區段M3在通孔VM2及通孔VM6之上佈置,且金屬區段M4在通孔VM5之上佈置。在一些實施例中,金屬區段M1~M4實質上平行於閘極G1~G4佈置。在一些實施例中,金屬區段M1~M4實質上平行於導電區段CS1~CS5佈置。在一些實施例中,金屬區段M1~M4實質上垂直於主動區AA1~AA8。在一些實施例中,金屬區段M1~M4實質上垂直於金屬區段MS1~MS10。
在一些實施例中,通孔VM1及金屬區段M1將金屬區段MS3耦接到字線WLP0,且用以從字線WLP0接收程式化電壓Vp及/或讀取電壓Vr。通孔VM4及金屬區段M1將金屬區段MS8耦接到字線WLP0,且用以從字線WLP0接收程式化電壓Vp及/或讀取電壓Vr。換言之,第10圖所示的電晶體TP2及TP5的閘極端經由通孔VG1、金屬區段MS3、通孔VM1及金屬區段M1耦接到字線WLP0,且第10圖所示的電晶體TP10及TP13的閘極端經由通孔VG4、金屬區段MS8、通孔VM4及金屬區段M1耦接到字線WLP0。
在一些實施例中,通孔VM2及金屬區段M4將金屬區段MS4耦接到字線WLP1,且用以從字線WLP1接收程式化電壓Vp及/或讀取電壓Vr。通孔VM5及金屬區段M4將金屬區段MS9耦接到字線WLP1,且用以從字線WLP1接收程式化電壓Vp及/或讀取電壓Vr。換言之,第10圖所示的電晶體TP4及TP7的閘極端經由通孔VG2、金屬區段MS4、通孔VM2及金屬區段M4耦接到字線WLP1,且第10圖所示的電晶體TP12及TP15的閘極端經由通孔VG5、金屬區段MS9、通孔VM5及金屬區段M4耦接到字線WLP1。
在一些實施例中,通孔VM3及金屬區段M2將金屬區段MS5耦接到字線WLR0,且用以從字線WLR0接收選擇電壓Vdd。通孔VM6及金屬區段M3將金屬區段MS10耦接到字線WLR1,且用以從字線WLR1接收選擇電壓Vdd。換言之,第10圖所示的電晶體TR1、TR2、TR5、TR6、TR9、TR10、TR13及TR14的閘極端經由通孔VG3、金屬區段MS5、通孔VM3及金屬區段M2耦接到字線WLR0,且第10圖所示的電晶體TR3、TR4、TR7、TR8、TR11、TR12、TR15及TR16的閘極端經由通孔VG6、金屬區段MS10、通孔VM6及金屬區段M3耦接到字線WLR1。
佈局結構1100及1200的構造係出於說明目的而提供。佈局結構1100及1200的各種構造係在本揭示的預期範疇內。例如,在各個實施例中,佈局結構1100及1200包括在主動區AA1~AA8中佈置的更多位元。針對另一實例,在替代實施例中,佈局結構1100及1200包括耦接到閘極G1~G4的更多主動區。
參考第13圖。第13圖係根據本揭示的一些實施例的用於產生反熔絲記憶體單元陣列的方法1300的流程圖。為了便於理解,參考第1圖至第14圖描述方法1300。然而,方法1300不限於應用以產生以上佈局結構。此方法能夠應用以產生任何合適的佈局結構。如第15圖所示,方法1300包括將在下文詳細論述的操作S1301、S1302、S1303及S1304。
在操作S1301中,例如,如第1圖至第2圖所示,將連續的閘極G2佈置為跨過主動區AA1及主動區AA2。如上文論述,閘極G2對應於電晶體TR1及電晶體TR2的閘極端,且主動區AA1的源極/汲極區域SD6及主動區AA2的源極/汲極區域SD8對應於電晶體TR1及電晶體TR2的第二源極/汲極端。
在操作S1302中,參考第2圖,將閘極G1佈置為跨過主動區AA1及主動區AA2。
在操作S1303中,參考第1圖至第2圖,切割閘極G1以形成彼此電性隔離的閘極部分G1A及閘極部分G1B。如上文論述,閘極部分G1A及閘極部分G1B分別對應於電晶體TP1及電晶體TP2的閘極端,閘極部分G1A跨過主動區AA1,且閘極部分G1B跨過主動區AA2。
在操作S1304中,參考第1圖至第2圖,將位元線BL0佈置為耦接到主動區AA1的源極/汲極區域SD6及主動區AA2的源極/汲極區域SD8。
在一些實施例中,參考第1圖至第2圖,方法1300進一步包括以下操作:將導電區段CS3佈置為跨過主動區AA1及主動區AA2;以及將通孔VD1佈置為設置在導電區段上且在主動區AA1與主動區AA2之間。在一些實施例中,位元線BL0經由通孔VD1及導電區段CS3耦接到主動區AA1的源極/汲極區域SD6及主動區的源極/汲極區域SD8。
在一些實施例中,參考第6圖至第7圖,操作S1301包括以下操作:將連續的閘極G2佈置為跨過彼此分離的主動區AA3及主動區AA4。在一些實施例中,閘極G2進一步對應於電晶體TP5及電晶體TP6的閘極端,且主動區AA3的源極/汲極區域SD22及主動區AA4的源極/汲極區域SD24對應於第五電晶體及第六電晶體的第一源極/汲極端。
在一些實施例中,參考第6圖至第7圖,操作S1302包括以下操作:將閘極G1佈置為跨過主動區AA3及主動區AA4。
在一些實施例中,參考第6圖至第7圖,方法1300進一步包括以下操作:切割閘極G1以形成彼此電性隔離的閘極部分G1C及閘極部分G1D;以及將位元線BL1佈置為耦接到主動區AA3的源極/汲極區域SD22及主動區AA4的源極/汲極區域SD24。在一些實施例中,閘極部分G1C及閘極部分G1D分別對應於電晶體TP5及電晶體TP6的閘極端。在一些實施例中,閘極部分G1C跨過主動區AA3,且閘極部分G1D跨過主動區AA4。
在一些實施例中,參考第6圖至第7圖,方法1300進一步包括以下操作:將通孔VG1佈置為設置在閘極G1上且在主動區AA2與主動區AA3之間;以及將金屬區段MS3佈置為設置在通孔VG1上且在主動區AA2與主動區AA3之間。在一些實施例中,閘極部分G1B及閘極部分G1C經由通孔VG1及金屬區段MS3耦接到字線WLP0。
在一些實施例中,參考第6圖至第7圖,方法1300進一步包括以下操作:將連續的閘極G4佈置為跨過主動區AA1及主動區AA2;將閘極G3佈置為跨過主動區AA1及主動區AA2;以及切割閘極G3以形成彼此電性隔離的閘極部分G3A及閘極部分G3B。如上文論述,閘極G4對應於電晶體TR3及電晶體TR4的閘極端,且主動區AA1的源極/汲極區域SD14及主動區AA2的源極/汲極區域SD16對應於電晶體TR3及電晶體TR4的第一源極/汲極端。閘極部分G3A及閘極部分G3B分別對應於電晶體TP3及電晶體TP4的閘極端。閘極部分G3A跨過主動區AA1,且閘極部分G3B跨過主動區AA2。
在一些實施例中,操作S1304包括以下操作:將位元線BL0佈置為耦接到主動區AA1的源極/汲極區域SD14及主動區AA2的源極/汲極區域SD16。
在一些實施例中,參考第6圖至第7圖,方法1300進一步包括以下操作:將通孔VG2佈置為設置在閘極G3上且在主動區AA2與主動區AA3之間;以及將金屬區段CS4佈置為設置在通孔VG2上且在主動區AA2與主動區AA3之間。在一些實施例中,閘極部分G1C及閘極部分G1C經由通孔VG1及金屬區段MS3耦接到字線WLP0,且閘極部分G3B及閘極部分G3C經由通孔VG2及金屬區段CS4耦接到字線WLP1。
以上說明包括示例性操作,但操作不必按所示次序執行。根據本揭示的各個實施例的精神及範疇,可適當地添加、替代、交換次序及/或消除操作。
現參考第14圖。第14圖係根據本揭示的一些實施例的用於設計積體電路佈局設計的電子設計自動化(electronic design automation,EDA)系統1400的方塊圖。EDA系統1400用以實施在第13圖中揭示,且結合第1圖至第12圖進一步解釋的方法1300的一或多個操作。在一些實施例中,EDA系統1400包括APR系統。
在一些實施例中,EDA系統1400係包括硬體處理器1420及非暫時性電腦可讀取儲存媒體1460的通用計算裝置。儲存媒體1460尤其是用電腦程式碼(指令)1461(亦即,可執行指令集)編碼(亦即,儲存)。藉由硬體處理器1420執行指令1461(至少部分)表示EDA工具,此EDA工具實施例如方法1300的一部分或全部。
處理器1420經由匯流排1450電性耦接到電腦可讀取儲存媒體1460。處理器1420亦由匯流排1450電性耦接到輸入/輸出(input/ouput,I/O)介面1410及製造工具1470。網路介面1430亦經由匯流排1450電性連接到處理器1420。網路介面1430連接到網路1440,使得處理器1420及電腦可讀取儲存媒體1460能夠經由網路1440連接到外部元件。處理器1420用以執行在電腦可讀取儲存媒體1460中編碼的電腦程式碼1461,以便導致EDA系統1400可用於執行所提及的製程及/或方法的一部分或全部。在一或多個實施例中,處理器1420係中央處理單元(central processing unit,CPU)、多處理器、分散式處理系統、特殊應用積體電路(application specific integrated circuit,ASIC)及/或合適的處理單元。
在一或多個實施例中,電腦可讀取儲存媒體1460係電子、磁性、光學、電磁、紅外及/或半導體系統(或者設備或裝置)。例如,電腦可讀取儲存媒體1460包括半導體或固態記憶體、磁帶、可移除電腦磁片、隨機存取記憶體(random access memory,RAM)、唯讀記憶體(read-only memory,ROM)、剛性磁碟及/或光碟。在使用光碟的一或多個實施例中,電腦可讀取儲存媒體1460包括壓縮磁碟-唯讀記憶體(compact disk-read only memory,CD-ROM)、壓縮磁碟-讀/寫(compact disk-read/write,CD-R/W)及/或數位視訊光碟(digital video disc,DVD)。
在一或多個實施例中,儲存媒體1460儲存電腦程式碼1461,此電腦程式碼用以導致EDA系統1400(其中此執行(至少部分)表示EDA工具)可用於執行所提及的製程及/或方法的一部分或全部。在一或多個實施例中,儲存媒體1460亦儲存促進執行所提及的製程及/或方法的一部分或全部的資訊。在一或多個實施例中,儲存媒體1460儲存包括如本文揭示的此種標準單元(例如,包括上文關於第1圖至第2圖論述的電晶體TP1~TP2及TR1~TR2的單元)的標準單元的程式庫1462。
EDA系統1400包括I/O介面1410。I/O介面1410耦接到外部電路系統。在一或多個實施例中,I/O介面1410包括用於將資訊及命令通訊到處理器1420的鍵盤、小鍵盤、滑鼠、軌跡球、軌跡板、觸控式螢幕及/或遊標方向鍵。
EDA系統1400亦包括耦接到處理器1420的網路介面1430。網路介面1430允許EDA系統1400與網路1440通訊,其中一或多個其他電腦系統連接到此網路。網路介面1430包括:無線網路介面,諸如BLUETOOTH、WIFI、WIMAX、GPRS、或WCDMA;或有線網路介面,諸如ETHERNET、USB、或IEEE-1664。在一或多個實施例中,在兩個或多個EDA系統1400中實施所提及的製程及/或方法的一部分或全部。
EDA系統1400亦包括耦接到處理器1420的製造工具1470。製造工具1470用以基於由如上文論述的處理器1420及/或積體電路(integrated circuit,IC)佈局設計處理的設計檔案來製造積體電路,例如,包括與第2圖、第5圖、第7圖、第8圖、第9圖、第11圖及第12圖中示出的佈局結構200、500、700、800、900、1100及1200相關聯的積體電路。
EDA系統1400用以經由介面1410接收資訊。經由I/O介面1410接收的資訊包括下列中的一或多個:指令、資料、設計規則、標準單元程式庫及/或用於由處理器1420處理的其他參數。將資訊經由匯流排1450傳遞到處理器1420。EDA系統1400用以經由I/O介面1410接收關於UI的資訊。資訊在電腦可讀取儲存媒體1460中儲存為使用者介面(user interface,UI) 1463。
在一些實施例中,將所提及的製程及/或方法的一部分或全部實施為由處理器執行的獨立式軟體應用。在一些實施例中,將所提及的製程及/或方法的一部分或全部實施為軟體應用,此軟體應用係額外軟體應用的一部分。在一些實施例中,將所提及的製程及/或方法的一部分或全部實施為到軟體應用的插件。在一些實施例中,將所提及的製程及/或方法中的至少一個實施為軟體應用,此軟體應用為EDA工具的一部分。在一些實施例中,將所提及的製程及/或方法的一部分或全部實施為軟體應用,此軟體應用由EDA系統1400使用。在一些實施例中,包括標準單元的佈局圖使用諸如獲自CADENCE DESIGN SYSTEMS, Inc.的VIRTUOSO®的工具或另一合適的佈局產生工具來產生。
在一些實施例中,製程被實現為在非暫時性電腦可讀取記錄媒體中儲存的程式。非暫時性電腦可讀取記錄媒體的實例包括但不限於外部/可移除及/或內部/內置儲存或記憶體單元,例如,下列各項中的一或多個:光碟(諸如DVD)、磁碟(諸如硬碟)、半導體記憶體(諸如ROM、RAM、記憶卡)及類似者。
第15圖係根據一些實施例的IC製造系統1500及與其相關聯的IC製造流程的方塊圖。在一些實施例中,基於佈局圖,使用IC製造系統1500製造下列各項中的至少一個:(A)一或多個半導體遮罩或(B)在半導體積體電路層中的至少一個元件。
在第15圖中,IC製造系統1500包括實體,諸如設計室1510、遮罩室1520及IC製造商/生產商(「晶圓廠」)1530,此等實體在關於製造IC裝置1540的設計、開發及製造週期及/或服務中彼此相互作用。在IC製造系統1500中的實體由通訊網路連接。在一些實施例中,通訊網路係單個網路。在一些實施例中,通訊網路係各種不同的網路,諸如網內網路及網際網路。通訊網路包括有線及/或無線通訊通道。每個實體與其他實體中的一或多個相互作用,並且將服務提供到其他實體中的一或多個及/或從其他實體中的一或多個接收服務。在一些實施例中,設計室1510、遮罩室1520及IC晶圓廠1530中的兩個或更多個由單個較大的公司擁有。在一些實施例中,設計室1510、遮罩室1520及IC晶圓廠1530中的兩個或更多個在共用設施中共存並且使用共用資源。
設計室(或設計團隊)1510產生IC設計佈局圖1511。IC設計佈局圖1511包括各種幾何圖案,例如,針對IC裝置1540設計的在第2圖、第5圖、第6圖、第7圖、第9圖及/或第10圖中描繪的佈局結構,例如,上文關於第2圖、第5圖、第6圖、第7圖、第9圖及/或第10圖論述的佈局結構200、500、700、800、900、1100及1200。幾何圖案對應於構成待製造的IC裝置1540的各個元件的金屬、氧化物、或半導體層的圖案。各個層結合以形成各種IC特徵。例如,IC設計佈局圖1511的一部分包括待在半導體基板(諸如矽晶圓)中形成的各種IC特徵(諸如主動區域、閘電極、源極及汲極、層間互連的導電區段或通孔)以及在半導體基板上設置的各種材料層。設計室1510實施適當設計程序以形成IC設計佈局圖1511。設計程序包括下列中的一或多個:邏輯設計、實體設計或放置及投送。IC設計佈局圖1511存在於具有幾何圖案的資訊的一或多個資料檔案中。例如,IC設計佈局圖1511可以GDSII檔案格式或DFII檔案格式表達。
遮罩室1520包括資料準備1521及遮罩製造1522。遮罩室1520使用IC設計佈局圖1511,以製造一或多個遮罩1523,此等遮罩將用於根據IC設計佈局圖1511製造IC裝置1540的各個層。遮罩室1520執行遮罩資料準備1521,其中IC設計佈局圖1511轉移到代表性資料檔案(representative data file,「RDF」)中。遮罩資料準備1521向遮罩製造1522提供RDF。遮罩製造1522包括遮罩寫入器。遮罩寫入器將RDF轉換為基板上的影像,諸如遮罩(主光罩)1523或半導體晶圓1533。IC設計佈局圖1511由遮罩資料準備1521操控,以符合遮罩寫入器的特定特性及/或IC晶圓廠1530的需求。在第15圖中,將資料準備1521及遮罩製造1522示出為分離的元件。在一些實施例中,資料準備1521及遮罩製造1522可以共同稱為遮罩資料準備。
在一些實施例中,資料準備1521包括光學鄰近修正(optical proximity correction,OPC),此OPC使用微影增強技術來補償影像誤差,諸如可以由繞射、干涉、其他製程影響及類似者產生的彼等影像誤差。OPC調節IC設計佈局圖1511。在一些實施例中,資料準備1521包括進一步的解析度增強技術(resolution enhancement techniques,RET),諸如偏軸照明、次解析度輔助特徵、相轉移遮罩、其他合適技術及類似者或其組合。在一些實施例中,亦使用反向微影技術(inverse lithography technology,ILT),其將OPC視作反向成像問題。
在一些實施例中,資料準備1521包括遮罩規則檢驗器(mask rule checker,MRC),此遮罩規則檢驗器檢驗已經歷具有一組遮罩產生規則的OPC中的製程的IC設計佈局圖1511,此等遮罩產生規則含有某些幾何及/或連接性限制以確保足夠裕度,用於考慮在半導體製造製程中的變化性及類似者。在一些實施例中,MRC修改IC設計佈局圖1511以在遮罩製造1522期間補償限制,這可撤銷由OPC執行的部分修改,以便滿足遮罩產生規則。
在一些實施例中,資料準備1521包括模擬處理的微影製程檢驗(lithography process checking,LPC),此處理將由IC晶圓廠1530實施以製造IC裝置1540。LPC基於IC設計佈局圖1511模擬此處理以產生模擬的製造裝置,諸如IC裝置1540。在LPC模擬中的處理參數可以包括與IC製造週期的各個製程相關聯的參數、與用於製造IC的工具相關聯的參數及/或製造製程的其他態樣。LPC考慮到各種因素,諸如天線影像對比、焦點深度(depth of focus,「DOF」)、遮罩誤差增強因素(mask error enhancement factor,「MEEF」)、其他合適因素及類似者或其組合。在一些實施例中,在模擬的製造裝置已經由LPC產生之後,若模擬的裝置形狀不足夠緊密以滿足設計規則,則OPC及/或MRC將重複以進一步細化IC設計佈局圖1511。
應當理解,出於清晰目的已經簡化資料準備1521的以上描述。在一些實施例中,資料準備1521包括額外特徵,諸如邏輯操作(logic operation,LOP)以根據製造規則修改IC設計佈局圖1511。另外,在資料準備1521期間應用到IC設計佈局圖1511的製程可以各種不同次序執行。
在資料準備1521之後並且在遮罩製造1522期間,遮罩1523或遮罩1523的群組係基於經修改的IC設計佈局圖1511來製造。在一些實施例中,遮罩製造1522包括基於IC設計佈局圖1511執行一或多次微影曝光。在一些實施例中,電子束(e束)或多個電子束的機制用於基於經修改的IC設計佈局圖1511在遮罩(光罩或主光罩)1523上形成圖案。遮罩1523可以在各種技術中形成。在一些實施例中,遮罩1523使用二進制技術形成。在一些實施例中,遮罩圖案包括不透明區域及透明區域。用於曝光已經在晶圓上塗佈的影像敏感材料層(例如,光阻劑)的輻射光束(諸如紫外(ultraviolet,UV)光束)由不透明區域阻擋並且穿過透明區域發射。在一個實例中,遮罩1523的二進制遮罩版本包括透明基板(例如,熔凝石英)及在二進制遮罩的不透明區域中塗佈的不透明材料(例如,鉻)。在另一實例中,遮罩1523使用相轉移技術形成。在遮罩1523的相轉移遮罩(phase shift mask,PSM)版本中,在相轉移遮罩上形成的圖案中的各種特徵用以具有適當相位差,以增強解析度及成像品質。在各個實例中,相轉移遮罩可以為衰減PSM或交替PSM。由遮罩製造1522產生的遮罩經使用於各種製程中。例如,此種遮罩在離子佈植製程中使用以在半導體晶圓1533中形成各種摻雜區域、在蝕刻製程中使用以在半導體晶圓1533中形成各種蝕刻區域及/或在其他合適製程中使用。
IC晶圓廠1530包括晶圓製造1532。IC晶圓廠1530係包括用於製造各種不同的IC產品的一或多個製造設施的IC製造企業。在一些實施例中,IC晶圓廠1530係半導體代工廠。例如,可存在用於複數種IC產品的前端製造(前端製程(front-end- of-line,FEOL)製造)的製造設施,而第二製造設施可提供用於互連及封裝IC產品的後端製造(後端製程(back-end-of-line,BEOL)製造),並且第三製造設施可提供用於代工廠企業的其他服務。
IC晶圓廠1530使用由遮罩室1520製造的遮罩1523來製造IC裝置1540。因此,IC晶圓廠1530至少間接地使用IC設計佈局圖1511來製造IC裝置1540。在一些實施例中,半導體晶圓1533係藉由IC晶圓廠1530使用遮罩1523製造以形成IC裝置1540。在一些實施例中,IC製造包括至少間接地基於IC設計佈局圖1511執行一或多次微影曝光。半導體晶圓1533包括矽基板或其上形成有材料層的其他適當基板。半導體晶圓1533進一步包括下列中的一或多個:各種摻雜區域、介電特徵、多級互連及類似者(在後續的製造步驟形成)。
在本文獻中,術語「耦接」亦可被稱為「電性耦接」,且術語「連接」可被稱為「電性連接」。「耦接」及「連接」亦可用於指示兩個或更多個元件彼此協作或相互作用。
在一些實施例中,揭示了包括第一資料線及第一反熔絲單元的結構。第一反熔絲單元包括第一程式化裝置、第二程式化裝置、第一讀取裝置及第二讀取裝置。第一程式化裝置包括第一閘極、第一源極/汲極區域及第二源極/汲極區域。第一源極/汲極區域及第二源極/汲極區域在第一閘極的相對側面上設置。第二程式化裝置包括第二閘極、第三源極/汲極區域及第四源極/汲極區域。第二閘極與第一閘極分離且耦接到第一字線。第三源極/汲極區域及第四源極/汲極區域在第二閘極的相對側面上設置。第一讀取裝置包括第三閘極、第五源極/汲極區域及第六源極/汲極區域。第三閘極係耦接到第二字線的第一連續閘極的部分。第五源極/汲極區域耦接到第二源極/汲極區域。第六源極/汲極區域耦接到第一資料線。第五源極/汲極區域及第六源極/汲極區域在第一連續閘極的相對側面上設置。第二讀取裝置包括第四閘極、第七源極/汲極區域及第八源極/汲極區域。第四閘極係耦接到第二字線的第一連續閘極的部分。第七源極/汲極區域耦接到第四源極/汲極區域。第八源極/汲極區域耦接到第一資料線。第七源極/汲極區域及第八源極/汲極區域在第三閘極的相對側面上設置。
在各個實施例中,結構進一步包括第二反熔絲單元。第二反熔絲單元包括第三程式化裝置、第四程式化裝置、第三讀取裝置及第四讀取裝置。第三程式化裝置包括第五閘極、第九源極/汲極區域及第十源極/汲極區域。第九源極/汲極區域及第十源極/汲極區域在第五閘極的相對側面上設置。第四程式化裝置包括第六閘極、第十一源極/汲極區域及第十二源極/汲極區域。第六閘極與第五閘極分離且耦接到第三字線。第十一源極/汲極區域及第十二源極/汲極區域在第六閘極的相對側面上設置。第三讀取裝置包括第七閘極、第十三源極/汲極區域及第十四源極/汲極區域。第七閘極係耦接到第四字線的第二連續閘極的部分。第十三源極/汲極區域耦接到第十源極/汲極區域。第十四源極/汲極區域耦接到第一資料線。第十三源極/汲極區域及第十四源極/汲極區域在第七閘極的相對側面上設置。第四讀取裝置包括第八閘極、第十五源極/汲極區域及第十六源極/汲極區域。第八閘極係耦接到第四字線的第二連續閘極的部分。第十五源極/汲極區域耦接到第十二源極/汲極區域。第十六源極/汲極區域耦接到第一資料線。第十五源極/汲極區域及第十六源極/汲極區域在第八閘極的相對側面上設置。
在各個實施例中,結構進一步包括第二資料線及第二反熔絲單元。第二反熔絲單元包括第三程式化裝置、第四程式化裝置、第三讀取裝置及第四讀取裝置。第三程式化裝置包括第五閘極、第九源極/汲極區域及第十源極/汲極區域。第五閘極耦接到第一字線。第九源極/汲極區域及第十源極/汲極區域在第五閘極的相對側面上設置。第四程式化裝置包括第六閘極、第十一源極/汲極區域及第十二源極/汲極區域。第六閘極與第五閘極分離。第十一源極/汲極區域及第十二源極/汲極區域在第六閘極的相對側面上設置。第三讀取裝置包括第七閘極、第十三源極/汲極區域及第十四源極/汲極區域。第七閘極係耦接到第二字線的第一連續閘極的部分。第十三源極/汲極區域耦接到第十源極/汲極區域。第十四源極/汲極區域耦接到第二資料線。第十三源極/汲極區域及第十四源極/汲極區域在第七閘極的相對側面上設置。第四讀取裝置包括第八閘極、第十五源極/汲極區域及第十六源極/汲極區域。第八閘極係耦接到第二字線的第一連續閘極的部分。第十五源極/汲極區域耦接到第十二源極/汲極區域。第十六源極/汲極區域耦接到第二資料線。第十五源極/汲極區域及第十六源極/汲極區域在第八閘極的相對側面上設置。
在各個實施例中,結構進一步包括第三反熔絲單元。第三反熔絲單元包括第五程式化裝置、第六程式化裝置、第五讀取裝置及第六讀取裝置。第五程式化裝置包括第九閘極、第十七源極/汲極區域及第十八源極/汲極區域。第九閘極耦接到第三字線。第十七源極/汲極區域及第十八源極/汲極區域在第九閘極的相對側面上設置。第六程式化裝置包括第十閘極、第十九源極/汲極區域及第二十源極/汲極區域。第十閘極與第九閘極分離。第十九源極/汲極區域及第二十源極/汲極區域在第十閘極的相對側面上設置。第五讀取裝置包括第十一閘極、第二十一源極/汲極區域及第二十二源極/汲極區域。第十一閘極係耦接到第四字線的第二連續閘極的部分。第二十一源極/汲極區域耦接到第十八源極/汲極區域。第二十二源極/汲極區域耦接到第二資料線。第二十一源極/汲極區域及第二十二源極/汲極區域在第十一閘極的相對側面上設置。第六讀取裝置包括第十二閘極、第二十三源極/汲極區域及第二十四源極/汲極區域。第十二閘極係耦接到第四字線的第二連續閘極的部分。第二十三源極/汲極區域耦接到第二十源極/汲極區域。第二十四源極/汲極區域耦接到第二資料線。第二十三源極/汲極區域及第二十四源極/汲極區域在第十二閘極的相對側面上設置。
在各個實施例中,結構進一步包括第一閘極通孔、第一金屬區段、第二閘極通孔及第二金屬區段。第二閘極及第五閘極經由第一閘極通孔及第一金屬區段耦接到第一字線。第九閘極經由第二閘極通孔及第二金屬區段耦接到第三字線。
在各個實施例中,結構進一步包括第一閘極通孔及第一金屬區段。第一閘極通孔在第三源極/汲極區域與第九源極/汲極區域之間設置。第二閘極及第五閘極經由第一閘極通孔及第一金屬區段耦接到第一字線。
在各個實施例中,結構進一步包括第三資料線及第三反熔絲單元。第三反熔絲單元包括第五程式化裝置、第六程式化裝置、第五讀取裝置及第六讀取裝置。第五程式化裝置包括第九閘極、第十七源極/汲極區域及第十八源極/汲極區域。第十七源極/汲極區域及第十八源極/汲極區域在第九閘極的相對側面上設置。第六程式化裝置包括第十閘極、第十九源極/汲極區域及第二十源極/汲極區域。第十閘極與第五閘極分離且耦接到第一字線。第十九源極/汲極區域及第二十源極/汲極區域在第十閘極的相對側面上設置。第五讀取裝置包括第十一閘極、第二十一源極/汲極區域及第二十二源極/汲極區域。第十一閘極係耦接到第二字線的第一連續閘極的部分。第二十一源極/汲極區域耦接到第十八源極/汲極區域。第二十二源極/汲極區域耦接到第三資料線。第二十一源極/汲極區域及第二十二源極/汲極區域在第十一閘極的相對側面上設置。第六讀取裝置包括第十二閘極、第二十三源極/汲極區域及第二十四源極/汲極區域。第十二閘極係耦接到第二字線的第一連續閘極的部分。第二十三源極/汲極區域耦接到第二十源極/汲極區域。第二十四源極/汲極區域耦接到第三資料線。第二十三源極/汲極區域及第二十四源極/汲極區域在第十二閘極的相對側面上設置。
在各個實施例中,結構進一步包括導電區段及通孔。導電區段跨過第二源極/汲極區域及第四源極/汲極區域。第二源極/汲極區域及第四源極/汲極區域經由導電區段及通孔耦接到第一資料線。
亦揭示了一種包括以下操作的方法。將連續的第一閘極佈置為跨過彼此分離的第一主動區及第二主動區;將第二閘極佈置為跨過第一主動區及第二主動區;切割第二閘極以形成彼此電性隔離的第一閘極部分及第二閘極部分;以及將第一資料線佈置為耦接到第一主動區及第二主動區的第一源極/汲極區域。第一閘極對應於第一電晶體及第二電晶體的閘極端,且第一主動區及第二主動區的第一源極/汲極區域對應於第一電晶體及第二電晶體的第一源極/汲極端。第一閘極部分及第二閘極部分分別對應於第三電晶體及第四電晶體的閘極端。第一閘極部分跨過第一主動區,且第二閘極部分跨過第二主動區。
在各個實施例中,方法進一步包括以下操作:將導電區段佈置為跨過第一主動區及第二主動區;以及將通孔佈置為設置在導電區段上且在第一主動區與第二主動區之間。第一資料線經由通孔及導電區段耦接到第一主動區及第二主動區的第一源極/汲極區域。
在各個實施例中,佈置第一閘極的操作包括將連續的第一閘極佈置為跨過彼此分離的第三主動區及第四主動區。第一閘極進一步對應於第五電晶體及第六電晶體的閘極端,且第三主動區及第四主動區的第一源極/汲極區域對應於第五電晶體及第六電晶體的第一源極/汲極端。佈置第二閘極的操作包括將第二閘極佈置為跨過第三主動區及第四主動區。方法進一步包括以下操作:切割第二閘極以形成彼此電性隔離的第三閘極部分及第四閘極部分;以及將第二資料線佈置為耦接到第三主動區及第四主動區的第一源極/汲極區域。第三閘極部分及第四閘極部分分別對應於第七電晶體及第八電晶體的閘極端。第三閘極部分跨過第三主動區,且第四閘極部分跨過第四主動區。
在各個實施例中,方法進一步包括以下操作:將第一閘極通孔佈置為設置在第二閘極上且在第二主動區與第三主動區之間;以及將第一金屬區段佈置為設置在第一閘極通孔上且在第二主動區與第三主動區之間。第二閘極部分及第三閘極部分經由第一閘極通孔及第一金屬區段耦接到第一字線。
在各個實施例中,方法進一步包括以下操作:將連續的第三閘極佈置為跨過第一主動區及第二主動區;將第四閘極佈置為跨過第一主動區及第二主動區;以及切割第四閘極以形成彼此電性隔離的第三閘極部分及第四閘極部分。第三閘極對應於第五電晶體及第六電晶體的閘極端,且第一主動區及第二主動區的第二源極/汲極區域對應於第五電晶體及第六電晶體的第一源極/汲極端。第三閘極部分及第四閘極部分分別對應於第七電晶體及第八電晶體的閘極端。第三閘極部分跨過第一主動區,且第四閘極部分跨過第二主動區。佈置第一資料線的操作包括將第一資料線佈置為耦接到第一主動區及第二主動區的第二源極/汲極區域。
在各個實施例中,佈置第一閘極的操作包括將連續的第一閘極佈置為跨過彼此分離的第三主動區及第四主動區。第一閘極進一步對應於第九電晶體及第十電晶體的閘極端,且第三主動區及第四主動區的第一源極/汲極區域對應於第九電晶體及第十電晶體的第一源極/汲極端。佈置第二閘極的操作包括將第二閘極佈置為跨過第三主動區及第四主動區。佈置第三閘極的操作包括將連續的第三閘極佈置為跨過第三主動區及第四主動區。第三閘極進一步對應於第十三電晶體及第十四電晶體的閘極端,且第三主動區及第四主動區的第二源極/汲極區域對應於第十三電晶體及第十四電晶體的第一源極/汲極端。佈置第四閘極的操作包括將第四閘極佈置為跨過第三主動區及第四主動區。方法進一步包括以下操作:切割第二閘極以形成彼此電性隔離的第五閘極部分及第六閘極部分;切割第四閘極以形成彼此電性隔離的第七閘極部分及第八閘極部分;以及將第二資料線佈置為耦接到第三主動區的第一源極/汲極區域、第四主動區的第一源極/汲極區域、第三主動區的第二源極/汲極區域及第四主動區的第二源極/汲極區域。第五閘極部分及第六閘極部分分別對應於第十一電晶體及第十二電晶體的閘極端。第五閘極部分跨過第三主動區,且第六閘極部分跨過第四主動區。第七閘極部分及第八閘極部分分別對應於第十五電晶體及第十六電晶體的閘極端。第七閘極部分跨過第三主動區,且第八閘極部分跨過第四主動區。
在各個實施例中,方法進一步包括以下操作:將第一閘極通孔佈置為設置在第二閘極上且在第二主動區與第三主動區之間;將第二閘極通孔佈置為設置在第四閘極上且在第二主動區與第三主動區之間;將第一金屬區段佈置為設置在第一閘極通孔上且在第二主動區與第三主動區之間;以及將第二金屬區段佈置為設置在第二閘極通孔上且在第二主動區與第三主動區之間。第二閘極部分及第五閘極部分經由第一閘極通孔及第一金屬區段耦接到第一字線,且第四閘極部分及第七閘極部分經由第二閘極通孔及第二金屬區段耦接到第二字線。
在各個實施例中,方法進一步包括以下操作:將第一導電區段佈置為跨過第一主動區及第二主動區的第一源極/汲極區域;將第二導電區段佈置為跨過第一主動區及第二主動區的第二源極/汲極區域;以及將通孔佈置為設置在第一導電區段上且在第一主動區與第二主動區之間。第一主動區的第二源極/汲極區域對應於第一電晶體的第二源極/汲極端及第三電晶體的第一源極/汲極端,且第二源極/汲極區域對應於第二電晶體的第二源極/汲極端及第四電晶體的第一源極/汲極端。第一資料線經由通孔及第一導電區段耦接到第一主動區及第二主動區的第一源極/汲極區域,且第一主動區的第二源極/汲極區域經由第二導電區段耦接到第二主動區的第二源極/汲極區域。
亦揭示了一種包括以下操作的方法:佈置彼此分離的複數個主動區;將複數個閘極佈置為各者跨過複數個主動區;藉由切割製程形成複數個閘極的第二閘極的第一閘極部分及第二閘極部分;將第一導電區段佈置為跨過第一主動區及第二主動區的第一源極/汲極區域;以及將第一資料線佈置為經由第一導電區段耦接到第一主動區及第二主動區的第一源極/汲極區域,用於與第一主動區及第二主動區的第一源極/汲極區域協作。複數個閘極的第一閘極對應於第一電晶體及第二電晶體的閘極端,且複數個主動區的第一主動區及第二主動區的第一源極/汲極區域分別對應於第一電晶體及第二電晶體的第一源極/汲極端。第一閘極部分及第二閘極部分彼此分離且分別對應於第三電晶體及第四電晶體的閘極端。
在各個實施例中,形成第一閘極部分及第二閘極部分的操作包括在佈局視圖的第一主動區及第二主動區之間佈置切割區段,以切割第二閘極。
在各個實施例中,第一閘極進一步對應於第五電晶體及第六電晶體的閘極端,且複數個主動區的第三主動區及第四主動區的第一源極/汲極區域分別對應於第五電晶體及第六電晶體的第一源極/汲極端。方法進一步包括以下操作:藉由切割製程形成第二閘極的第三閘極部分及第四閘極部分;將第二導電區段佈置為跨過第三主動區及第四主動區的第一源極/汲極區域;以及將第二資料線佈置為經由第一導電區段耦接到第三主動區及第四主動區的第一源極/汲極區域,用於與第三主動區及第四主動區的第一源極/汲極區域協作。第三閘極部分及第四閘極部分彼此分離且分別對應於第七電晶體及第八電晶體的閘極端。
在各個實施例中,方法進一步包括以下操作:將第一閘極通孔佈置為在第二主動區與第三主動區之間;以及將第一金屬區段佈置為在第二主動區與第三主動區之間。第二閘極部分及第三閘極部分經由第一閘極通孔及第一金屬區段耦接到字線。
上文概述若干實施例的特徵,使得熟習此項技術者可更好地理解本揭示的態樣。熟習此項技術者應該瞭解,可輕易使用本揭示作為設計或修改其他製程及結構的基礎,以便實施本文所介紹的實施例的相同目的及/或實現相同優點。熟習此項技術者亦應認識到,此類等效構造並未脫離本揭示的精神及範疇,且可在不脫離本揭示的精神及範疇的情況下產生本文的各種變化、取代及更改。
100、400:反熔絲記憶體單元 102:程式化裝置 104:程式化裝置 106:讀取裝置 108:讀取裝置 110:程式化裝置 112:程式化裝置 114:讀取裝置 116:讀取裝置 118:程式化裝置 120:程式化裝置 122:讀取裝置 124:讀取裝置 126:程式化裝置 128:程式化裝置 130:讀取裝置 132:讀取裝置 200:佈局結構 300:示意圖 500、700、800、900:佈局結構 600、1000:反熔絲記憶體單元陣列 1100:佈局結構 1200:佈局結構 1300:方法 1400:電子設計自動化(EDA)系統 1410:輸入/輸出(I/O)介面 1420:處理器 1430:網路介面 1440:網路 1450:匯流排 1460:儲存媒體 1461:指令/電腦程式碼 1462:程式庫 1463:使用者介面(UI) 1470:製造工具 1500:IC製造系統 1510:設計室 1511:IC設計佈局圖 1520:遮罩室 1521:資料準備 1522:遮罩製造 1523:遮罩 1530:IC製造商/生產商(「晶圓廠」) 1532:晶圓製造 1533:半導體晶圓 1540:IC裝置 S1301:操作 S1302:操作 S1303:操作 S1304:操作
當結合隨附圖式閱讀時,自以下詳細描述將最佳地理解本揭示的態樣。應注意,根據工業中的標準實務,各個特徵並非按比例繪製。事實上,出於論述清晰的目的,可任意增加或減小各個特徵的尺寸。 第1圖係根據本揭示的一些實施例的反熔絲記憶體單元的電路圖。 第2圖係根據本揭示的一些實施例的第1圖所示的反熔絲記憶體單元的佈局結構。 第3圖係根據本揭示的一些實施例示出第2圖所示的佈局結構之沿著XY平面的橫截面圖的示意圖。 第4圖係根據本揭示的一些實施例的反熔絲記憶體單元的電路圖。 第5圖係根據本揭示的一些實施例的第4圖所示的反熔絲記憶體單元的佈局結構。 第6圖係根據本揭示的一些實施例的反熔絲記憶體單元陣列的電路圖。 第7圖係根據本揭示的一些實施例的第6圖所示的反熔絲記憶體單元陣列的佈局結構。 第8圖係根據本揭示的其他實施例的第6圖所示的反熔絲記憶體單元陣列的佈局結構。 第9圖係根據本揭示的各個實施例的第6圖所示的反熔絲記憶體單元陣列的佈局結構。 第10圖係根據本揭示的一些實施例的反熔絲記憶體單元陣列的電路圖。 第11圖係根據本揭示的一些實施例的第10圖所示的反熔絲記憶體單元陣列的佈局結構。 第12圖係根據本揭示的各個實施例的第10圖所示的反熔絲記憶體單元陣列的佈局結構。 第13圖係根據本揭示的一些實施例的用於產生反熔絲記憶體單元陣列的方法的流程圖。 第14圖係根據本揭示的一些實施例的用於設計積體電路佈局設計的系統的方塊圖。 第15圖係根據一些實施例的積體電路製造系統以及與其相關聯的積體電路製造流程的方塊圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
1300:方法
S1301:操作
S1302:操作
S1303:操作
S1304:操作

Claims (20)

  1. 一種結構,包含: 一第一資料線;以及 一第一反熔絲單元,包含: 一第一程式化裝置,包含: 一第一閘極; 一第一源極/汲極區域;以及 一第二源極/汲極區域,其中該第一源極/汲極區域及該第二源極/汲極區域設置在該第一閘極的複數個相對側面上; 一第二程式化裝置,包含: 一第二閘極,與該第一閘極分離且耦接到一第一字線; 一第三源極/汲極區域;以及 一第四源極/汲極區域,其中該第三源極/汲極區域及該第四源極/汲極區域設置在該第二閘極的複數個相對側面上; 一第一讀取裝置,包含: 一第三閘極,係耦接到一第二字線的一第一連續閘極的一部分; 一第五源極/汲極區域,耦接到該第二源極/汲極區域;以及 一第六源極/汲極區域,耦接到該第一資料線,其中該第五源極/汲極區域及該第六源極/汲極區域設置在該第一連續閘極的複數個相對側面上;以及 一第二讀取裝置,包含: 一第四閘極,係耦接到該第二字線的該第一連續閘極的一部分; 一第七源極/汲極區域,耦接到該第四源極/汲極區域;以及 一第八源極/汲極區域,耦接到該第一資料線,其中該第七源極/汲極區域及該第八源極/汲極區域設置在該第三閘極的複數個相對側面上。
  2. 如請求項1所述之結構,進一步包含: 一第二反熔絲單元,包含: 一第三程式化裝置,包含: 一第五閘極; 一第九源極/汲極區域;以及 一第十源極/汲極區域,其中該第九源極/汲極區域及該第十源極/汲極區域在該第五閘極的複數個相對側面上設置; 一第四程式化裝置,包含: 一第六閘極,與該第五閘極分離且耦接到一第三字線; 一第十一源極/汲極區域;以及 一第十二源極/汲極區域,其中該第十一源極/汲極區域及該第十二源極/汲極區域設置在該第六閘極的複數個相對側面上; 一第三讀取裝置,包含: 一第七閘極,係耦接到一第四字線的一第二連續閘極的一部分; 一第十三源極/汲極區域,耦接到該第十源極/汲極區域;以及 一第十四源極/汲極區域,耦接到該第一資料線,其中該第十三源極/汲極區域及該第十四源極/汲極區域設置在該第七閘極的複數個相對側面上;以及 一第四讀取裝置,包含: 一第八閘極,係耦接到該第四字線的該第二連續閘極的一部分; 一第十五源極/汲極區域,耦接到該第十二源極/汲極區域;以及 一第十六源極/汲極區域,耦接到該第一資料線,其中該第十五源極/汲極區域及該第十六源極/汲極區域設置在該第八閘極的複數個相對側面上。
  3. 如請求項1所述之結構,進一步包含: 一第二資料線;以及 一第二反熔絲單元,包含: 一第三程式化裝置,包含: 一第五閘極,耦接到該第一字線; 一第九源極/汲極區域;以及 一第十源極/汲極區域,其中該第九源極/汲極區域及該第十源極/汲極區域設置在該第五閘極的複數個相對側面上; 一第四程式化裝置,包含: 一第六閘極,與該第五閘極分離; 一第十一源極/汲極區域;以及 一第十二源極/汲極區域,其中該第十一源極/汲極區域及該第十二源極/汲極區域設置在該第六閘極的複數個相對側面上; 一第三讀取裝置,包含: 一第七閘極,係耦接到該第二字線的該第一連續閘極的一部分; 一第十三源極/汲極區域,耦接到該第十源極/汲極區域;以及 一第十四源極/汲極區域,耦接到該第二資料線,其中該第十三源極/汲極區域及該第十四源極/汲極區域設置在該第七閘極的複數個相對側面上;以及 一第四讀取裝置,包含: 一第八閘極,係耦接到該第二字線的該第一連續閘極的一部分; 一第十五源極/汲極區域,耦接到該第十二源極/汲極區域;以及 一第十六源極/汲極區域,耦接到該第二資料線,其中該第十五源極/汲極區域及該第十六源極/汲極區域設置在該第八閘極的複數個相對側面上。
  4. 如請求項3所述之結構,進一步包含: 一第三反熔絲單元,包含: 一第五程式化裝置,包含: 一第九閘極,耦接到一第三字線; 一第十七源極/汲極區域;以及 一第十八源極/汲極區域,其中該第十七源極/汲極區域及該第十八源極/汲極區域設置在該第九閘極的複數個相對側面上; 一第六程式化裝置,包含: 一第十閘極,與該第九閘極分離; 一第十九源極/汲極區域;以及 一第二十源極/汲極區域,其中該第十九源極/汲極區域及該第二十源極/汲極區域設置在該第十閘極的複數個相對側面上; 一第五讀取裝置,包含: 一第十一閘極,係耦接到一第四字線的一第二連續閘極的一部分; 一第二十一源極/汲極區域,耦接到該第十八源極/汲極區域;以及 一第二十二源極/汲極區域,耦接到該第二資料線,其中該第二十一源極/汲極區域及該第二十二源極/汲極區域設置在該第十一閘極的複數個相對側面上;以及 一第六讀取裝置,包含: 一第十二閘極,係耦接到該第四字線的該第二連續閘極的部分; 一第二十三源極/汲極區域,耦接到該第二十源極/汲極區域;以及 一第二十四源極/汲極區域,耦接到該第二資料線,其中該第二十三源極/汲極區域及該第二十四源極/汲極區域設置在該第十二閘極的複數個相對側面上。
  5. 如請求項4所述之結構,進一步包含: 一第一閘極通孔; 一第一金屬區段,其中該第二閘極及該第五閘極經由該第一閘極通孔及該第一金屬區段耦接到該第一字線; 一第二閘極通孔;以及 一第二金屬區段,其中該第九閘極經由該第二閘極通孔及該第二金屬區段耦接到該第三字線。
  6. 如請求項3所述之結構,進一步包含: 一第一閘極通孔,在該第三源極/汲極區域與該第九源極/汲極區域之間設置;以及 一第一金屬區段,其中該第二閘極及該第五閘極經由該第一閘極通孔及該第一金屬區段耦接到該第一字線。
  7. 如請求項6所述之結構,進一步包含: 一第三資料線;以及 一第三反熔絲單元,包含: 一第五程式化裝置,包含: 一第九閘極; 一第十七源極/汲極區域;以及 一第十八源極/汲極區域,其中該第十七源極/汲極區域及該第十八源極/汲極區域設置在該第九閘極的複數個相對側面上; 一第六程式化裝置,包含: 一第十閘極,與該第五閘極分離且耦接到該第一字線; 一第十九源極/汲極區域;以及 一第二十源極/汲極區域,其中該第十九源極/汲極區域及該第二十源極/汲極區域設置在該第十閘極的複數個相對側面上; 一第五讀取裝置,包含: 一第十一閘極,係耦接到該第二字線的該第一連續閘極的一部分; 一第二十一源極/汲極區域,耦接到該第十八源極/汲極區域;以及 一第二十二源極/汲極區域,耦接到該第三資料線,其中該第二十一源極/汲極區域及該第二十二源極/汲極區域設置在該第十一閘極的複數個相對側面上;以及 一第六讀取裝置,包含: 一第十二閘極,係耦接到該第二字線的該第一連續閘極的一部分; 一第二十三源極/汲極區域,耦接到該第二十源極/汲極區域;以及 一第二十四源極/汲極區域,耦接到該第三資料線,其中該第二十三源極/汲極區域及該第二十四源極/汲極區域設置在該第十二閘極的複數個相對側面上。
  8. 如請求項1所述之結構,進一步包含: 一導電區段,跨過該第二源極/汲極區域及該第四源極/汲極區域;以及 一通孔,其中該第二源極/汲極區域及該第四源極/汲極區域經由該導電區段及該通孔耦接到該第一資料線。
  9. 一種方法,包含: 將連續的一第一閘極佈置為跨過彼此分離的一第一主動區及一第二主動區,其中該第一閘極對應於一第一電晶體及一第二電晶體的複數個閘極端,且該第一主動區及該第二主動區的第一源極/汲極區域對應於該第一電晶體及該第二電晶體的複數個第一源極/汲極端; 將一第二閘極佈置為跨過該第一主動區及該第二主動區; 切割該第二閘極以形成彼此電性隔離的一第一閘極部分及一第二閘極部分,其中該第一閘極部分及該第二閘極部分分別對應於一第三電晶體及一第四電晶體的複數個閘極端,該第一閘極部分跨過該第一主動區,且該第二閘極部分跨過該第二主動區;以及 將一第一資料線佈置為耦接到該第一主動區及該第二主動區的該些第一源極/汲極區域。
  10. 如請求項9所述之方法,進一步包含: 將一導電區段佈置為跨過該第一主動區及該第二主動區;以及 將一通孔佈置為設置在該導電區段上且在該第一主動區與該第二主動區之間, 其中該第一資料線經由該通孔及該導電區段耦接到該第一主動區及該第二主動區的該些第一源極/汲極區域。
  11. 如請求項9所述之方法,其中 佈置該第一閘極包含: 將連續的該第一閘極佈置為跨過彼此分離的一第三主動區及一第四主動區,其中該第一閘極進一步對應於一第五電晶體及一第六電晶體的複數個閘極端,且該第三主動區及該第四主動區的複數個第一源極/汲極區域對應於該第五電晶體及該第六電晶體的複數個第一源極/汲極端, 其中佈置該第二閘極包含: 將該第二閘極佈置為跨過該第三主動區及該第四主動區, 其中該方法進一步包含: 切割該第二閘極以形成彼此電性隔離的一第三閘極部分及一第四閘極部分,其中該第三閘極部分及該第四閘極部分分別對應於一第七電晶體及一第八電晶體的複數個閘極端,該第三閘極部分跨過該第三主動區,且該第四閘極部分跨過該第四主動區;以及 將一第二資料線佈置為耦接到該第三主動區及該第四主動區的該些第一源極/汲極區域。
  12. 如請求項11所述之方法,進一步包含: 將一第一閘極通孔佈置為設置在該第二閘極上且在該第二主動區與該第三主動區之間;以及 將一第一金屬區段佈置為設置在該第一閘極通孔上且在該第二主動區與該第三主動區之間, 其中該第二閘極部分及該第三閘極部分經由該第一閘極通孔及該第一金屬區段耦接到一第一字線。
  13. 如請求項9所述之方法,進一步包含: 將連續的一第三閘極佈置為跨過該第一主動區及該第二主動區,其中該第三閘極對應於一第五電晶體及一第六電晶體的複數個閘極端,且該第一主動區及該第二主動區的複數個第二源極/汲極區域對應於該第五電晶體及該第六電晶體的複數個第一源極/汲極端; 將一第四閘極佈置為跨過該第一主動區及該第二主動區;以及 切割該第四閘極以形成彼此電性隔離的一第三閘極部分及一第四閘極部分,其中該第三閘極部分及該第四閘極部分分別對應於一第七電晶體及一第八電晶體的複數個閘極端,該第三閘極部分跨過該第一主動區,且該第四閘極部分跨過該第二主動區, 其中佈置該第一資料線包含: 將該第一資料線佈置為耦接到該第一主動區及該第二主動區的該些第二源極/汲極區域。
  14. 如請求項13所述之方法,其中 佈置該第一閘極包含: 將連續的該第一閘極佈置為跨過彼此分離的一第三主動區及一第四主動區,其中該第一閘極進一步對應於一第九電晶體及一第十電晶體的複數個閘極端,且該第三主動區及該第四主動區的複數個第一源極/汲極區域對應於該第九電晶體及該第十電晶體的複數個第一源極/汲極端, 其中佈置該第二閘極包含: 將該第二閘極佈置為跨過該第三主動區及該第四主動區, 其中佈置該第三閘極包含: 將連續的該第三閘極佈置為跨過該第三主動區及該第四主動區,其中該第三閘極進一步對應於一第十三電晶體及一第十四電晶體的閘極端,且該第三主動區及該第四主動區的第二源極/汲極區域對應於該第十三電晶體及該第十四電晶體的第一源極/汲極端, 其中佈置該第四閘極包含: 將該第四閘極佈置為跨過該第三主動區及該第四主動區, 其中該方法進一步包含: 切割該第二閘極以形成彼此電性隔離的一第五閘極部分及一第六閘極部分,其中該第五閘極部分及該第六閘極部分分別對應於一第十一電晶體及一第十二電晶體的複數個閘極端,該第五閘極部分跨過該第三主動區,且該第六閘極部分跨過該第四主動區; 切割該第四閘極以形成彼此電性隔離的一第七閘極部分及一第八閘極部分,其中該第七閘極部分及該第八閘極部分分別對應於一第十五電晶體及一第十六電晶體的複數個閘極端,該第七閘極部分跨過該第三主動區,且該第八閘極部分跨過該第四主動區;以及 將一第二資料線佈置為耦接到該第三主動區的該第一源極/汲極區域、該第四主動區的該第一源極/汲極區域、該第三主動區的該第二源極/汲極區域及該第四主動區的該第二源極/汲極區域。
  15. 如請求項14所述之方法,進一步包含: 將一第一閘極通孔佈置為設置在該第二閘極上且在該第二主動區與該第三主動區之間; 將一第二閘極通孔佈置為設置在該第四閘極上且在該第二主動區與該第三主動區之間; 將一第一金屬區段佈置為設置在該第一閘極通孔上且在該第二主動區與該第三主動區之間;以及 將一第二金屬區段佈置為設置在該第二閘極通孔上且在該第二主動區與該第三主動區之間, 其中該第二閘極部分及該第五閘極部分經由該第一閘極通孔及該第一金屬區段耦接到一第一字線,且該第四閘極部分及該第七閘極部分經由該第二閘極通孔及該第二金屬區段耦接到一第二字線。
  16. 如請求項9所述之方法,進一步包含: 將一第一導電區段佈置為跨過該第一主動區及該第二主動區的該些第一源極/汲極區域; 將一第二導電區段佈置為跨過該第一主動區及該第二主動區的第二源極/汲極區域,其中該第一主動區的該第二源極/汲極區域對應於該第一電晶體的一第二源極/汲極端及該第三電晶體的一第一源極/汲極端,且該第二源極/汲極區域對應於該第二電晶體的一第二源極/汲極端及該第四電晶體的一第一源極/汲極端;以及 將一通孔佈置為設置在該第一導電區段上且在該第一主動區與該第二主動區之間, 其中該第一資料線經由該通孔及該第一導電區段耦接到該第一主動區及該第二主動區的該些第一源極/汲極區域,且該第一主動區的該第二源極/汲極區域經由該第二導電區段耦接到該第二主動區的該第二源極/汲極區域。
  17. 一種方法,包含: 佈置彼此分離的複數個主動區; 將複數個閘極各者佈置為跨過該些主動區,其中該些閘極的一第一閘極對應於一第一電晶體及一第二電晶體的複數個閘極端,且該些主動區的一第一主動區及一第二主動區的複數個第一源極/汲極區域分別對應於該第一電晶體及該第二電晶體的複數個第一源極/汲極端; 藉由一切割製程形成該些閘極的一第二閘極的一第一閘極部分及一第二閘極部分,其中該第一閘極部分及該第二閘極部分彼此分離且分別對應於一第三電晶體及一第四電晶體的複數個閘極端; 將一第一導電區段佈置為跨過該第一主動區及該第二主動區的該些第一源極/汲極區域;以及 將一第一資料線佈置為經由該第一導電區段耦接到該第一主動區及該第二主動區的該些第一源極/汲極區域,用於與該第一主動區及該第二主動區的該些第一源極/汲極區域協作。
  18. 如請求項17所述之方法,其中形成該第一閘極部分及該第二閘極部分包含: 將一切割區段佈置在一佈局視圖中的該第一主動區與該第二主動區之間,以切割該第二閘極。
  19. 如請求項17所述之方法,其中該第一閘極進一步對應於一第五電晶體及一第六電晶體的閘極端,且該些主動區的一第三主動區及一第四主動區的第一源極/汲極區域分別對應於該第五電晶體及該第六電晶體的第一源極/汲極端; 其中該方法進一步包含: 藉由該切割製程形成該第二閘極的一第三閘極部分及一第四閘極部分,其中該第三閘極部分及該第四閘極部分彼此分離且分別對應於一第七電晶體及一第八電晶體的複數個閘極端; 將一第二導電區段佈置為跨過該第三主動區及該第四主動區的該些第一源極/汲極區域;以及 將一第二資料線佈置為經由該第一導電區段耦接到該第三主動區及該第四主動區的該些第一源極/汲極區域,用於與該第三主動區及該第四主動區的該些第一源極/汲極區域協作。
  20. 如請求項19所述之方法,進一步包含: 將一第一閘極通孔佈置在該第二主動區與該第三主動區之間;以及 將一第一金屬區段佈置在該第二主動區與該第三主動區之間, 其中該第二閘極部分及該第三閘極部分經由該第一閘極通孔及該第一金屬區段耦接到一字線。
TW109139043A 2019-12-30 2020-11-09 半導體裝置的結構 TW202125716A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/729,973 2019-12-30
US16/729,973 US11257827B2 (en) 2019-12-30 2019-12-30 Layout structure including anti-fuse cell

Publications (1)

Publication Number Publication Date
TW202125716A true TW202125716A (zh) 2021-07-01

Family

ID=76546552

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109139043A TW202125716A (zh) 2019-12-30 2020-11-09 半導體裝置的結構

Country Status (3)

Country Link
US (3) US11257827B2 (zh)
CN (1) CN113130497A (zh)
TW (1) TW202125716A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI833511B (zh) * 2022-07-07 2024-02-21 南亞科技股份有限公司 半導體元件結構

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115581068A (zh) * 2021-07-06 2023-01-06 成都锐成芯微科技股份有限公司 反熔丝型一次编程的非易失性存储单元及其存储器
TW202410050A (zh) * 2022-08-24 2024-03-01 振生半導體股份有限公司 多狀態的一次性可程式化記憶體電路

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7763534B2 (en) * 2007-10-26 2010-07-27 Tela Innovations, Inc. Methods, structures and designs for self-aligning local interconnects used in integrated circuits
JP5552775B2 (ja) * 2009-08-28 2014-07-16 ソニー株式会社 半導体集積回路
CN102332431B (zh) * 2010-07-13 2016-02-03 中国科学院微电子研究所 半导体器件结构及其制造方法
US9431383B2 (en) * 2014-07-22 2016-08-30 Samsung Electronics Co., Ltd. Integrated circuit, semiconductor device based on integrated circuit, and standard cell library
KR102179169B1 (ko) * 2014-09-02 2020-11-18 삼성전자주식회사 반도체 장치 및 반도체 장치의 제조방법
KR102169197B1 (ko) * 2014-09-16 2020-10-22 에스케이하이닉스 주식회사 향상된 프로그램 효율을 갖는 안티퓨즈 오티피 메모리 셀 및 셀 어레이
US9337099B1 (en) * 2015-01-30 2016-05-10 Globalfoundries Inc. Special constructs for continuous non-uniform active region FinFET standard cells
KR102369926B1 (ko) * 2015-04-10 2022-03-04 에스케이하이닉스 주식회사 안티 퓨즈 소자, 안티 퓨즈 어레이 및 그 동작 방법
KR20160125114A (ko) * 2015-04-21 2016-10-31 에스케이하이닉스 주식회사 이-퓨즈를 구비하는 반도체장치 및 그 제조 방법
KR102633049B1 (ko) * 2016-01-08 2024-02-06 삼성전자주식회사 반도체 소자
US9646974B1 (en) * 2016-03-25 2017-05-09 Taiwan Semiconductor Manufacturing Co., Ltd. Dual-port static random access memory
KR20200116646A (ko) * 2019-04-02 2020-10-13 삼성전자주식회사 표준 셀을 포함하는 집적 회로 및 반도체 장치

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI833511B (zh) * 2022-07-07 2024-02-21 南亞科技股份有限公司 半導體元件結構

Also Published As

Publication number Publication date
US20210202503A1 (en) 2021-07-01
US20220157835A1 (en) 2022-05-19
CN113130497A (zh) 2021-07-16
US20220157834A1 (en) 2022-05-19
US11257827B2 (en) 2022-02-22

Similar Documents

Publication Publication Date Title
US11380693B2 (en) Semiconductor device including anti-fuse cell structure
TW202125716A (zh) 半導體裝置的結構
US20220027545A1 (en) Integrated circuit and method of manufacturing the same
US11176969B2 (en) Memory circuit including a first program device
TWI767440B (zh) 半導體裝置及其製造方法
TW202213645A (zh) 半導體裝置及製造積體電路之方法
CN114823712A (zh) 集成电路器件及形成方法
KR102459556B1 (ko) 메모리 디바이스, 집적 회로 디바이스 및 방법
US20230157010A1 (en) Integrated circuit including efuse cell
KR102316576B1 (ko) 이퓨즈 회로, 방법, 레이아웃, 및 구조물
TWI838780B (zh) 記憶體裝置及其形成方法
TWI783646B (zh) 記憶體裝置
US20230008349A1 (en) Sram device for fpga application
KR102538813B1 (ko) 이퓨즈 회로, 방법, 레이아웃, 및 구조물
TWI817681B (zh) 積體電路
US11856760B2 (en) Bit cell with back-side metal line device and method
TW202307855A (zh) 積體電路裝置