TW202114234A - 電容裝置及電容結構與其形成方法 - Google Patents

電容裝置及電容結構與其形成方法 Download PDF

Info

Publication number
TW202114234A
TW202114234A TW109128667A TW109128667A TW202114234A TW 202114234 A TW202114234 A TW 202114234A TW 109128667 A TW109128667 A TW 109128667A TW 109128667 A TW109128667 A TW 109128667A TW 202114234 A TW202114234 A TW 202114234A
Authority
TW
Taiwan
Prior art keywords
capacitor
layer
dielectric layer
dielectric
thickness
Prior art date
Application number
TW109128667A
Other languages
English (en)
Other versions
TWI769503B (zh
Inventor
陳偉庭
蔡宗翰
莊坤蒼
王柏仁
陳英豪
黃堅誠
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202114234A publication Critical patent/TW202114234A/zh
Application granted granted Critical
Publication of TWI769503B publication Critical patent/TWI769503B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/75Electrodes comprising two or more layers, e.g. comprising a barrier layer and a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Fixed Capacitors And Capacitor Manufacturing Machines (AREA)

Abstract

本揭露是關於包含底電極與介電結構的裝置。介電結構包含在底電極上的第一介電層,且第一介電層具有第一厚度。此裝置亦包含在第一介電層上的阻擋層,以及在阻擋層上的第二介電層。第二介電層具有小於第一厚度的第二厚度。裝置更進一步包含在介電結構上的頂電極。

Description

具有高崩潰電壓的金屬絕緣體金屬電容
半導體積體電路(Integrated Circuit;IC)工業已經歷爆炸性的成長。IC材料與設計的技術上發展已製造出多個IC世代,其中每一世代相較於前世代具有更小且更複雜的電路。在IC發展的過程中,隨著幾何尺寸(例如:使用製造程序可產生的最小組件或導線)減小,功能密度(例如:每個晶片區域之內連接裝置的數量)逐漸增加。藉由增加製造效率與降低相關成本,尺寸縮減程序一般提供益處。
以下揭露提供許多不同實施例或例示,以實施發明的不同特徵。以下敘述之成份和排列方式的特定例示是為了簡化本揭露之一實施例。這些當然僅是做為例示,其目的不在構成限制。舉例而言,第一特徵形成在第二特徵之上或上方的描述包含第一特徵和第二特徵有直接附接的實施例,也包含有其他特徵形成在第一特徵和第二特徵之間,以致第一特徵和第二特徵無直接附接的實施例。此外,本揭露之一實施例可在各種例示中重複標號及/或字母。這樣的重複並非意指其在所討論的各種實施例及/或態樣間的關係。
此外,空間相對性用語,例如「下方(beneath)」、「在…之下(below)」、「低於(lower)」、「在…之上(above)」、「高於(upper)」等,是為了易於描述圖式中所繪示的元件或特徵和其他元件或特徵的關係。空間相對性用語除了圖式中所描繪的方向外,還包含元件在使用或操作時的不同方向。裝置可以其他方式定向(旋轉90度或在其他方向),而本文所用的空間相對性描述也可以如此解讀。
此處所使用的縮寫「FET」係指場效電晶體(Field Effect Transistor)。FET的例子是金氧半導體場效電晶體(Metal Oxide Semiconductor Field Effect Transistor;MOSFET)。舉例來說,MOSFET可為(1)建立在如半導體晶圓之基材的平坦表面中與平坦表面上的平面結構;或者(2)以垂直結構建立。
用詞「鰭式場效電晶體(FinFET)」意指在形成於鰭片上的FET,其中相對於晶圓的平坦表面,鰭片係垂直排列的。
用詞「S/D」意指形成FET的兩端之源極及/或汲極接面。
此處所使用的用詞「垂直」意指標稱地(nominally)垂直於基材的表面。
此處所使用的用詞「標稱(nominal)」意指,組件或製程操作的示性數(characteristic)或參數之期望值或目標值,於產品或製程的設計階段期間之設定,包含高於及/或低於此期望值的數值範圍。此數值範圍一般是歸因於在製造過程中些微的變異或公差。
在一些實施例中,用詞「約」及「實質」可意指給定的數值是在目標數值5 %的偏差內(例如:目標數值的±1 %、±2 %、±3 %、±4 %、±5 %)。
用詞「垂直方向」與「水平方向」分別指如此處圖式中所繪示的z方向與x方向。
電容是於半導體裝置中用以儲存電荷的元件。舉例來說,電容是用於濾波器(filter)、類比數位轉換器(analog-to-digital converter)、記憶體裝置、控制應用及半導體裝置的許多其他類型。電容的一種類型是金屬絕緣體金屬(Metal-Insulator-Metal;MIM)電容。MIM電容可以平行的兩片導電板及夾在兩片導電板間的介電層來形成。MIM電容可作為去耦合電容,且去耦合電容是建立在晶片內,以避免電源供應器的電壓峰值,例如當晶片是開始被供電或晶片的各組件被啟動時。由於電源供應器不能即時反應此種電源需求的變化,故直至電源供應器可反應與穩定電壓,晶片的功率電壓會變化一陣子。在這個過渡時間中,電壓峰值可能產生。去耦合電容可抑制這些電壓峰值。峰值抑制的效果可藉去耦合電容改善,去耦合電容係以較高電容量為特色。在晶片的製程中,在晶片封裝的期間或封裝之後,去耦合電容可在較後端的製程被積體。去耦合電容可被積體為3維(3D)IC封裝,例如:基材上晶圓上晶片(Chip-on-Wafer-on-Substrate;CoWoS)晶片封裝或整合扇出型(Integrated Fan-Out;InFO)晶片封裝。去耦合電容形成CoWoS的中介層之部分,且InFO晶片封裝可具有MIM結構,MIM結構包含高介電常數絕緣層(例如:介電常數高於3.9)。MIM電容亦可用於射頻裝置或包含混合式訊號的裝置。
IC封裝已逐漸發展為像是多種IC可垂直地堆疊於3D封裝中,以節省印刷電路板(Printed Circuit Board;PCB)上的水平區域。替換的封裝技術(稱為2.5D封裝)可使用中介層結構,以耦合一或多個半導體晶粒到PCB,且中介層結構可由半導體材料(例如:矽)形成。IC或其他半導體晶粒可被固定在中介層上,且IC或其他半導體晶粒可包含異質技術。除了結合至IC晶粒,中介層亦可結合至PCB及設置在PCB和中介層間的封裝基材。
然而,MIM電容可傾向於產生漏電流及電性崩潰。舉例來說,當施加通過電容之電壓超過特定的電壓門檻,在兩電容電極間的電場超出其電場強度限制,且在電容電極間的介電層改變為導電的。此特定之電壓門檻稱為「電容崩潰電壓」。具有高崩潰電壓的電容可增進裝置的效能,且可用於高電壓與高效能的裝置。然而,電容崩潰可藉由多種因素導致,例如:由於在介電層中的孔洞(pin hole),電容介電層的直接擊穿。另一崩潰機制是,當施加電壓時,漏電流路徑造成電容成為導體。舉例來說,在MIM電容中,在電容電極的蝕刻製程期間,當導電離子維持在介電層之界面,漏電流路徑可形成在電容介電層的側壁。舉例來說,漏電流路徑可造成MIM電容的崩潰電壓實質低於20 V。因此,對於高電壓與高效能裝置,在MIM電容中之漏電流路徑成為挑戰。
在本揭露之各種實施例中,提供形成具有高崩潰電壓的MIM電容結構(例如:實質高於25 V)的機制。根據本揭露之一實施例,MIM電容具有嵌埋在電容介電層中的一或多個阻擋層,此或此些阻擋層是配置以避免來自於造成電容崩潰的漏電流路徑。特定地,阻擋層可為一或多層富氧氮化層(例如:具有實質至少5%的氧原子濃度),且富氧氮化層係嵌埋在電容介電層間。在一些實施例中,阻擋層可形成在第一電容介電層上與第二電容介電層下。第一介電層的厚度可小於第二介電層的厚度,因此在電容頂電極的過蝕刻製程後,第二介電層下之阻擋層可不暴露。在沒改變電容頂電極的蝕刻製程下,在電容頂電極的過蝕刻製程期間,此或此些阻擋層避免由導電離子所形成的漏電流路徑,其中導電離子是嵌埋在電容介電質的界面。
根據本揭露之一些實施例,圖1係繪示包含MIM電容結構的中介結構100之剖視示意圖,其中MIM電容結構具有高崩潰電壓(例如:實質大於25 V)。圖1中繪示的中介結構是結合MIM電容的半導體裝置之例示,且本揭露所說明的MIM電容亦可用於如3維積體電路之任何其他適合的半導體裝置。在一些實施例中,MIM電容可被用於射頻裝置及混合式訊號裝置。
中介結構100包含基材102及設置在基材102上的接觸墊112。形成於基材102中的矽穿孔(Through-Silicon Via;TSV)108是電性耦接至接觸墊112。根據本揭露的實施例,雖圖1中顯示一個接觸墊112,但多於一個接觸墊112可形成在基材102的表面上。舉例來說,視積體電路晶粒的應用和尺寸而定,可以有幾十或幾百個接觸墊112與TSV 108形成在基材102的表面上。
根據本揭露的一些實施例,基材102可為矽基材。在一些實施例中,基材102可為(1)另一半導體,例如:鍺;(2)化合物半導體;(3)包含矽鍺(SiGe)的合金半導體;或(4)前述之組合。在一些實施例中,基材102可為絕緣層上覆半導體(Semiconductor on Insulator;SOI)。在一些實施例中,基材102可為磊晶材料。替換地,基材102可由介電材料形成。在一些實施例中,基材102可以是實質不受積體電路裝置的限制,基材102包含主動裝置,例如:電晶體與二極體。在一些實施例中,基材102可包含(或不包含)被動裝置,例如:電容、電阻、電感及/或類似者。
使用減成蝕刻(subtractive etch)、直接蝕刻(direct etch)、鑲嵌微影(damascene lithography)技術及/或其他適合的技術,接觸墊112可形成於基材102的表面上。接觸墊112可由金屬來形成,且金屬係用於耦合凸塊126。凸塊126形成於接觸墊112上,且電性連接接觸墊112。凸塊126可包含銲錫凸塊,例如共晶銲錫凸塊。替換地,凸塊126可由銅凸塊或由金、銀、鎳、鎢、鋁、其他金屬及/或前述合金所形成的金屬凸塊來形成。凸塊126亦可包含用於半導體內連接技術之覆晶互連技術(Controlled Collapse Chip Connection;C4),例如覆晶內連接。如圖1所示,在一些實施例中,凸塊126可由基材102的表面凸伸出。在凸塊126的形成前,銲罩(未顯示)可形成,以保護凸塊材料不形成於不必要的區域中。
如圖1所示,藉由延伸通過基材102,TSV 108係形成於基材102上。舉例來說,TSV 108是以例如金屬、半導體材料(例如矽)或前述之組合或前述材料之複數層的導體材料來形成。
內連接結構110形成於基材102上,且內連接結構110包含一或多層絕緣材料層122a、122b與122c、導線160a、160b與160c、形成在絕緣材料層122c中的通孔166,以及形成於導線160a與160b間的MIM電容180。為了簡化,其他絕緣材料層、導線、通孔及/或電容結構並未繪示於圖1。內連接結構110的各層可使用蝕刻、直接蝕刻、鑲嵌微影技術、任何其他合適的技術或前述之組合來形成。
絕緣材料層122a、122b與122c可為金屬間介電層,且其用以於中介結構100的內連接導線之間提供電性絕緣。絕緣材料層122a、122b與122c可由介電材料(例如:氧化矽、未摻雜的矽化玻璃、氟矽玻璃、其他適合的材料或前述之組合)來形成。在一些實施例中,絕緣材料層122a、122b與122c是使用低介電常數介電材料來形成(例如:具有實質低於3.9之介電常數的材料)。在一些實施例中,絕緣材料層122b可包含二或多層絕緣材料層(未顯示於圖1中)。舉例來說,每個導線可形成在絕緣材料層122b內的介電層中。在一些實施例中,絕緣材料層122d可為圖案化的鈍化層。
內連接結構110包含一或多個導線,其中導線係彼此電性耦接,或者透過形成於絕緣材料層中的導通孔,電性耦接至其他裝置。舉例來說,導線160a、160b、160c與160d是形成在絕緣材料層122b中且形成在內連接結構110的金屬化層中。在一些實施例中,導線160a可形成在第一金屬化層(例如:後段製程(Back-End-of-Line;BEOL)結構的M1層)中,而導線160b與160c可形成於第二金屬化層(例如:BEOL結構的M2層)中。替換地,導線160a、160b、160c與160d可為形成於中介結構100的其他金屬化層中。通孔164、165a、165b與166是形成於絕緣材料層中,且電性耦接至導電層。舉例來說,通孔 164電性耦接至導線160a與160b。通孔165a與165b可分別電性耦接至導線160c與160d,且導通孔165a與165b兩者均可如以下進一步說明地電性耦接至MIM電容180。在一些實施例中,通孔可使用鋁、銀、銅、鈷、任何適合的金屬或前述之組合來形成。在一些實施例中,中介結構100可進一步包含其他導線或通孔,且為簡化,並未於圖1中繪示。在一些實施例中,視所應用的積體電路晶粒的應用或尺寸,可以有幾十或幾百個接觸通孔與導線形成於絕緣材料層122b中。
MIM電容180可被置於絕緣材料層122b中,以作為裝置,或嵌埋於絕緣材料層122b中的電力線/接地線的去耦合電容。MIM電容180可包含電容頂電極180a、介電結構180b及電容底電極180c。MIM電容180是結合阻擋層之平行的平板電容,其中阻擋層在其電容介電質中,且MIM電容180具有高崩潰電壓(例如:實質大於20 V)。舉例來說,介電結構180b包含一或多層防止漏電流的阻擋層。MIM電容180的細部結構並未於圖1中繪示,但於圖9中說明其細節。對於在中介結構100中之電力線/接地線或其他導線作為去耦合電容,MIM電容180可使用通孔耦接至導電結構。舉例來說,通孔165a與165b是分別連接至頂電極180a與底電極180c。在一些實施例中,MIM電容可形成在其他金屬層之間,例如M3、M4、M5等。
重分佈層(Redistribution Layer;RDL)114可形成於絕緣材料層122c上。RDL 114可包含扇出區域(未顯示),以將積體電路晶粒的外連接扇出至基材102上較大的接觸腳位(footprint)。在一些實施例中,RDL 114可使用任何適合的材料(例如:鋁、鋁合金或其他金屬)來形成。在一些實施例中,RDL 114可更包含保險絲。
選擇性的凸塊底層金屬(Under-Ball Metallization;UBM)結構168可形成於絕緣材料層122d中且在RDL 114上。UBM結構168可包含導線,其中導線包含金屬材料,以有助於凸塊124的形成。
根據一些實施例,凸塊124可被形成於中介結構100的周圍區域內,且凸塊124可包含微凸塊。每個凸塊124可包含選擇性的金屬螺椿(未顯示),且金屬螺椿可使用銅、銅合金或其他金屬形成。凸塊124可替換地包含其他材料。凸塊124的金屬螺椿可由任何適合的導電材料(例如銅、鎳、鉑、鋁或前述之組合)來形成。金屬螺椿與凸塊124可透過任何數量之合適的技術來形成,包含物理氣相沉積(Physical Vapor Deposition;PVD)、化學氣相沉積(Chemical Vapor Deposition;CVD)、電化學沉積(Electrochemical Deposition;ECD)、分子束磊晶(Molecular Beam Epitaxy;MBE)、原子層沉積(Atomic Layer Deposition;ALD)、電鍍及其他類似的技術。選擇性的導電帽層可形成於金屬螺椿與凸塊124的銲錫之間,其亦因簡化而未顯示。舉例來說,在一實施例中,金屬螺椿是由銅形成,而由鎳所形成的導電帽層可被形成。其他的材料(例如鉑、金、銀、前述之組合或類似的材料)亦可用於凸塊124的選擇性導電帽層。
圖2是根據本揭露的一些實施例之形成具有一或多阻擋層的MIM電容之例示方法200的流程圖。MIM電容的電容介電層可包含一或多個嵌埋在介電層間的阻擋層。在一些實施例中,介電層可使用氮化矽來形成。在一些實施例中,藉由對氮化矽介電層進行氧處理程序,一或多個阻擋層可被形成。
應注意方法200的操作可以不同的順序及/或變化來進行,而方法200可包含多個操作且因簡化而未說明此些操作。圖3至圖9是製造結合MIM電容之例示半導體結構300的剖視示意圖,其中MIM電容具有高崩潰電壓。半導體結構300可形成在基材上或其他適合的層(例如:金屬或介電層)上。圖3至圖9是提供作為增進方法200的解釋之例示剖視示意圖。雖此處所說明之製程以形成MIM電容在基材上為例示,但此製程可應用在各種層上,例如在層間介電、絕緣層、導電層及任何其他適合的層上。此處所提供的製程為例示,且根據本揭露之一實施例的替換製程可被進行且其未顯示於圖中。
根據本揭露的一些實施例,在操作202中,形成電容底電極。請參閱圖3,半導體結構300包含基材302及電容底電極320。
根據一些實施例,基材302可為矽基材。在一些實施例中,基材302可為(1)另一半導體,例如鍺;(2)化合物半導體;(3)包含矽鍺(SiGe)的合金半導體;或(4)前述之組合。在一些實施例中,基材302可為絕緣層上覆半導體(Semiconductor on Insulator;SOI)。在一些實施例中,基材302可為磊晶材料。在一些實施例中,基材302可為如介電層、金屬層及任何其他適合層之任何的適當層。基材302亦可包含任何合適的裝置。舉例來說,包含主動裝置及被動裝置的電路可形成在基材302中。在一些實施例中,積體電路製造之前段製程(Front-End-of-Line;FEOL)部分的裝置可形成於基材302中。在一些實施例中,如電晶體、電容、內連接及任何適當裝置的多個裝置可形成於基材302中。
電容底電極320是設置在基材302上。在一些實施例中,電容底電極320可包含子層。舉例來說,電容底電極320可包含第一子層321、第二子層322及第三子層323。第一子層321形成於基材302上,且第一子層321可由氮化鉭(TaN)製成。在一些實施例中,第一子層321可由鋁銅合金(AlCu)、鋁、銅、其他適合的材料或前述之組合所形成。舉例來說,第一子層321之沉積可藉由PVD來完成。在一些實施例中,任何適合的製程可被用以形成第一子層321,例如ALD、MBE、高密度電漿化學氣相沉積(High Density Plasma CVD;HDPCVD)、有機金屬化學氣相沉積(Metal Organic CVD;MOCVD)、遠距電漿化學氣相沉積(remote plasma CVD;RPCVD)、電漿輔助化學氣相沉積(plasma-enhanced CVD;PECVD)、電鍍、其他適合的方法或前述之結合。沉積製程可在沉積壓力實質低於20毫托(mTorr)與溫度實質約為100℃的腔室中(例如PVD腔室)進行。用於沉積製程的功率位準的範圍實質可為自約1000W至約6000W。在一些實施例中,第一子層321的厚度可為實質自約100 Å至約300 Å(例如:100 Å至300 Å)的範圍。舉例來說,第一子層321可為具有厚度實質約為200 Å的TaN層。
第二子層322形成於第一子層321上,且第二子層322可由AlCu製成。在一些實施例中,第二子層322可使用相似於第一子層321或不同於第一子層321之適當材料來形成。舉例來說,第二子層322可由TaN、鋁、銅、其他適合的材料或前述之組合來形成。第二子層322的沉積可藉由沉積製程來完成,且此沉積製程係相似於第一子層321的沉積製程(例如PVD製程)子層子層。在一些實施例中,沉積可為不同的沉積製程。舉例來說,第二子層322的沉積製程可在PVD腔室中進行,其中PVD腔室的溫度實質為約170℃,且功率位準實質為自約500W至20,000W。在一些實施例中,第二子層322的厚度可為範圍實質自約1100 Å至約1300 Å(例如:1100 Å至1300 Å)。舉例來說,第二子層322可為具有厚度實質約為1200Å的AlCu層。
第三子層323是形成於第二子層322上,第三子層323可由TaN所製成。在一些實施例中,第三子層323可使用相似於或不同於第一子層321的合適材料來形成。舉例來說,第三子層323可由AlCu、鋁、銅、其他合適的材料或前述之組合來形成。第三子層323的沉積可藉由沉積製程來完成,且此沉積製程是相似於第一子層321的沉積製程(例如PVD製程)。在一些實施例中,沉積可為不同的沉積製程。舉例來說,第三子層323的沉積製程可在PVD腔室進行,其中PVD腔室的溫度實質為約100℃,且功率位準實質為自約1000W至6000W。在一些實施例中,第三子層323的厚度可為範圍實質自約500 Å至約700 Å(例如:500 Å至700 Å)。舉例來說,第三子層323可為具有厚度實質為約600 Å的TaN層。
請參閱圖2,根據本揭露的一些實施例,在操作204中,沉積第一介電材料。請參閱圖4,第一介電材料430形成於電容底電極320的頂表面。第一介電材料430可由高介電常數介電材料來形成。在一些實施例中,第一介電材料430可由任何適合的介電材料來形成,例如氮化矽(SiNx )。其他合適的介電材料可被使用,例如氧化矽(SiOx )、二氧化蛤(HfO2 )、氮氧化矽、其他適合的介電材料或前述之組合。在一些實施例中,第一介電材料430可包含一或多個層。使用第一介電材料430來形成部分的電容介電質,且第一介電材料430的厚度可視所需的電容而選擇。在一些實施例中,第一介電材料430的厚度t1 *可為範圍實質自約100 Å至約500 Å。在一些實施例中,厚度t1 *可為範圍實質介於約180 Å至約220 Å,介於約220 Å至約300 Å,介於約300 Å至約500 Å,或任何適合的厚度。舉例來說,第一介電材料430可為具有厚度t1 *實質為約100 Å的氮化矽層。在一些實施例中,t1 *可實質為約120 Å。第一介電材料430可為使用任何合適的製程(例如:PVD、ALD、MBE、HDPCVD、MOCVD、RPCVD、PECVD、電鍍、其他適合的方法或前述之結合)來沉積。
請參閱圖2,根據本揭露的一些實施例,在操作206中,第一阻擋層是形成在第一介電材料上。請參閱圖5,第一阻擋層540是形成在第一介電材料430上。藉由對暴露的第一介電材料進行處理程序505,以將部分之第一介電材料430轉換為第一阻擋層540,而形成第一阻擋層540。在一些實施例中,第一介電材料430是由氮化矽形成,且處理程序505可將部分之第一介電材料430轉換為富氧氮化矽材料。在一些實施例中,處理程序可在腔室中進行(例如電漿腔室),其中腔室壓力實質介於約2.2托爾(Torr)與約6.2 Torr間。在一些實施例中,處理程序505可使用含氧前驅物(例如:氧氣、一氧化二氮(N2 O)、任何適合的前驅物或前述之組合)。在一些實施例中,惰性氣體前驅物(例如氬)可在處理程序505期間與含氧前驅物混合。在一些實施例中,用於沉積製程的功率位準可為範圍實質自約150W至約450W。
處理程序505可在第一介電材料430上形成第一阻擋層540。第一阻擋層540的氧原子濃度可較第一介電材料430實質多於約2%至約6%。舉例來說,氧原子濃度可實質多於約5%。在一些實施例中,氧原子濃度可大於第一阻擋層540的頂表面,且隨著深度的增加,氧原子濃度逐漸的下降,直到在第一阻擋層540與第一介電材料430的界面,氧原子濃度幾乎為零。在一些實施例中,第一阻擋層540的平均氧原子濃度可較第一介電材料430的原子濃度實質多於約5%、約5.5%、約6%或約7%。在一些實施例中,較大的氧原子濃度可提供改善之漏電流阻斷能力。由於阻擋層540可轉換部分之第一介電材料430,第一介電材料430的剩餘厚度t1 可小於經處理程序505前的厚度t1 *。在一些實施例中,剩餘厚度t1 可實質介於約80 Å與約120 Å間。舉例來說,剩餘厚度t1 可實質為約100 Å。第一阻擋層540可具有實質介於約2 Å與約100 Å的厚度t2 。在一些實施例中,較厚的第一阻擋層540之厚度t2 可提供金屬絕緣體金屬電容較高的崩潰電壓及較低之漏電流。在一些實施例中,較低之厚度t2 可提供較大的靜電放電(Electrostatic Discharge;ESD)能力。
在一些實施例中,藉由直接在第一介電材料430上沉積第一阻擋材料,可形成第一阻擋層540。舉例來說,藉由使用任何適合的沉積製程(例如:PVD、ALD、MBE、HDPCVD、MOCVD、RPCVD、PECVD或前述之組合),直接沉積氮氧化矽在第一介電材料430上,可形成第一阻擋層540。
請參閱圖2,根據本揭露之一些實施例,在操作208中,沉積第二介電材料,且形成第二阻擋層。請參閱圖6,第二介電材料630與第二阻擋層材料640是形成於第一阻擋層540上。在一些實施例中,第二介電材料630與第二阻擋層材料640的組成與形成可分別相似於第一介電材料430與第一阻擋層540的組成與形成。舉例來說,第二介電材料630可由氮化矽所形成,且第二阻擋層材料640可為富氧的氮化矽層。在一些實施例中,第二介電材料630可具有實質介於約150 Å與約600 Å間的厚度t3 。舉例來說,第二介電材料630的厚度t3 實質可為約150 Å、200 Å、300 Å、500 Å、600 Å或任何其他合適的厚度。在一些實施例中,厚度t3 可大於厚度t1 。舉例來說,厚度t3 與厚度t1 的厚度比值R實質可介於約2與約3間。請參閱操作214於下所述之進一步說明,對於不具有暴露的第一阻擋層540之電容頂電極的過蝕刻製程,較大的厚度比值R提供較大的容忍度。第二阻擋層材料640的厚度t4 實質可為介於約2 Å與約100 Å間。在一實施例中,較大的第二阻擋層材料640厚度可提供金屬絕緣體金屬電容較高的崩潰電壓及較小的漏電流。在一實施例中,較小的厚度可提供較高的靜電放電(ESD)能力。第二阻擋層材料640可使用相似於第一阻擋層540的方法與材料來形成。舉例來說,藉由進行處理程序,可形成第二阻擋層材料640,其中此處理程序是類似於對第二介電材料630進行,且將部分之第二介電材料630轉換為富氧層的處理程序505。
請參閱圖2,根據本揭露的一些實施例,在操作210中,沉積電容頂電極材料。請參閱圖7,電容頂電極材料720是沉積在第二阻擋層材料640上。在一些實施例中,電容頂電極材料720可使用與電容底電極320相同的導電材料來形成。舉例來說,電容頂電極材料720可使用氮化鉭來形成。在一些實施例中,電容頂電極材料720可使用鋁銅合金來形成。在一些實施例中,電容頂電極材料720的厚度t5 實質可為介於約600 Å與約1000 Å間。舉例來說,厚度t5 實質可為介於約600 Å與約700 Å間、約700 Å與約800 Å間、約800 Å與約1000 Å間。在一些實施例中,厚度t5 實質為約800 Å。
請參閱圖2,根據本揭露的一些實施例,在操作212中,蝕刻電容層堆疊,以形成MIM電容結構。請參閱圖8,蝕刻電容層堆疊,以形成電容頂電極820、第二阻擋層840與第二介電層830。使用圖案化製程與蝕刻製程來定義電容頂電極820的邊界。圖案化製程可包含形成遮罩層在電容頂電極材料720上;曝光光阻,以圖案化;進行曝後烘烤製程;及顯影光阻,以形成光阻組成的遮罩元件。然後,當蝕刻製程除去電容頂電極材料720之暴露區域時,遮罩元件可用以保護電容頂電極材料720的區域。在一些實施例中,用以蝕刻電容頂電極材料720的相同蝕刻製程繼續移除下方部分的第二阻擋層材料640與部分之第二介電材料630。此蝕刻製程可稱之為過蝕刻製程。在暴露第一阻擋層540前,蝕刻製程停止。
如圖8的剖視示意圖所示,在一些實施例中,MIM電容可具有側壁,且此些側壁不垂直於水平基材表面,或不垂直於導電和介電層的頂表面。舉例來說,以部分830b的頂表面為參考,第二介電層830的側壁830a可為非垂直的角度。第二介電層830可藉由電漿蝕刻製程來蝕刻,其中如製程時間、腔室壓力、氣體混合物、製程溫度、射頻(Radio Frequency;RF)功率位準及/或其他適當製程參數的一或多個製程參數可被調整,以達成所稱之側壁傾斜輪廓。如圖8所示,在側壁及水平方向(例如:x方向或部分830b的頂表面)間的傾斜角α實質可為範圍介於約70∘至約89∘,且傾斜角α可藉由調整一或多個移除製程的製程參數來達成。在一些實施例中,第二阻擋層840亦可具有相似於傾斜角α之傾斜的側壁輪廓。在暴露第一阻擋層540前,停止過蝕刻製程,且第二介電層830可具有部分830b,其中部分830b於水平方向(例如:x方向)延伸,且第二介電層830亦保護下方的第一阻擋層540。在一些實施例中,部分830b可為實質平面且毗鄰側壁830a。在一些實施例中,在電容頂電極820的邊緣和第二介電層830的傾斜側壁830a之邊緣間量測的長度La 實質可大於20 Å。舉例來說,基於裝置尺寸與標稱電容,長度La 實質可介於約20 Å與約50 Å間、約50 Å與約100 Å間、約100 Å與約1000 Å間、約1000 Å與約2000 Å間或任何適合的範圍。在一些實施例中,長度La 與厚度t3 的比值R1 實質可介於約0與約5之間。舉例來說,比值R1 實質可介於約1與約3間。在一些實施例中,較大數值的比值R1 可避免在第二介電層830與後續形成的層間介電層之間的孔洞與缺陷。部分830b的長度Lb 實質可大於10 Å。舉例來說,長度Lb 實質可介於約10 Å與約30 Å間、約30 Å與約100 Å間、約100 Å與約200 Å間、約200 Å與約500 Å間或任何其他適合的範圍。長度Lb 可依據電容頂電極820的過蝕刻製程的進行與需求。舉例來說,較短的長度Lb 可確保暴露部分的電容頂電極材料720係完全地被移除,以對遍佈晶圓之不同的MIM電容形成電容頂電極820。在一些實施例中,長度Lb 與厚度t3 的比值R2 實質可介於約0與約1間。舉例來說,比值R2 實質可介於約0.2與約0.8間。在一些實施例中,較大數值的比值R2 可提供金屬絕緣體金屬電容結構較大的崩潰電壓和較低的漏電流。在一些實施例中,當長度La 實質是大於20 Å,長度Lb 實質是大於10 Å。在一些實施例中,當長度La 實質大於1000 Å,長度Lb 實質大於10 Å。由電容頂電極820的邊緣量測至電容底電極320的邊緣之水平長度Lc 實質可大於約1000 Å。舉例來說,基於裝置尺寸與標稱電容,長度Lc 實質可介於約1000 Å與約1500 Å間、1500 Å與2000 Å間、2000 Å與約3000 Å間、約3000 Å與約5000 Å間或任何其他適合的範圍。在一些實施例中,長度Lc 與厚度t3 的比值R3 實質可大於約1。舉例來說,比值R3 實質可介於約1與約10間。
相較於其他電容結構,包含第一介電材料430、第一阻擋層540、第二介電層830與第二阻擋層840的電容介電質850可提供較大的漏電流路徑阻斷能力。在定義電容頂電極820的過蝕刻製程之期間,來自電容頂電極材料720之蝕刻劑前驅物的離子及所移除的導電材料可保留在第二介電層830之暴露表面,例如傾斜的側壁830a與部分830b的頂表面。在暴露表面上之此些導電離子與導電材料可形成漏電流路徑,且可被視為電容頂電極820的實質延伸。沒有第一阻擋層540時,漏電流路徑可穿過第一介電材料430,並導致電容崩潰。包含富氧氮化物材料的第一阻擋層540可作為電場阻擋層,且此電場阻擋層避免過多的電場建立在第二介電層830的部份中(例如:在部分830b中)。此外,在形成電容頂電極820的過蝕刻製程後,厚度t3 與厚度t1 之較大的厚度比值R可提供第一阻擋層540持續地被部分830b保護與覆蓋,且較大的厚度比值R可於不更改蝕刻製程下形成電容頂電極820。如此,厚度比值R實質可為約1.5、約2、約2.5、約3或任何其他適合的比值。在一些實施例中,厚度比值R實質可介於約1.5與約3間。厚度比值R可視過蝕刻製程而定。舉例來說,較大的比值R可相容於過蝕刻製程,其中過蝕刻製程在沒有暴露與潛在地除去第一阻擋層540下,導致較大的蝕刻深度。
請參閱圖2,根據本揭露的一些實施例,在操作214中,形成層間介電層和內連接結構。請參閱圖9,形成第一接觸901、第一內連接903、第二接觸905與第二內連接909在層間介電層910與介電層912中,以提供電性連接至MIM電容,其中此MIM電容具有電容底電極320、電容介電質850與電容頂電極820。層間介電層910可使用無摻雜矽酸鹽玻璃(Undoped Silica Glass;USG)、氟矽酸鹽玻璃(Fluorinated Silica Glass;FSG)、低介電常數材料、極低介電常數介電質、其他適合的材料或前述之組合來形成。任何適合的沉積製程(例如:PVD、ALD、MBE、HDPCVD、MOCVD、RPCVD、PECVD、電鍍或前述之組合)可用以形成層間介電層910。層間介電層910的厚度可為範圍實質自約5000Å至約10,000Å。介電層912形成於層間介電層910上。在一些實施例中,使用相同於層間介電層910的材料來形成介電層912。在一些實施例中,層間介電層910與介電層912的材料可彼此不相同。開口係形成於層間介電層910中,且以導電材料填充開口,而形成分別連接到電容頂電極與電容底電極的電性連接。在一些實施例中,開口的寬度可為範圍實質自約0.1 µm至約0.6 µm(例如:0.1 µm至0.6 µm)。在一些實施例中,開口的寬度可為範圍實質自約0.3 µm至約0.4 µm(例如:0.3 µm至0.4 µm)。藉由以導電材料(例如:銅、鋁、鎢、鈷、其他合適的導電材料或前述之組合)來填充開口可形成第一接觸901與第二接觸905。第一接觸901與第二接觸905可為形成在層間介電層910中的通孔,且第一接觸901與第二接觸905分別電性耦接至電容頂電極電極820與電容底電極320。在一些實施例中,如圖9所示,第一接觸901與第二接觸905可延伸到電容頂電極820與電容底電極320中。在一些實施例中,第一接觸901與第二接觸905可為物理性接觸,且與電容頂電極820與電容底電極320的頂表面形成電性連接。
根據本揭露的各種實施例提供具有高崩潰電壓(例如:實質高於約25 V)的MIM電容結構的形成機制。根據本揭露的一實施例,MIM電容可具有嵌埋在電容介電層中的一或多個阻擋層,且此或此些阻擋層係配置以避免漏電流路徑所導致之電容崩潰。在一些實施例中,阻擋層可為嵌埋在電容介電層間之一或多個富氧氮化層。在沒改變電容頂電極的蝕刻製程下,在電容頂電極的過蝕刻製程期間,阻擋層避免藉由導電離子所形成的漏電流路徑,其中導電離子是嵌埋在電容介電介面中。
在一些實施例中,裝置包含底電極與介電結構。介電結構包含第一介電層,第一介電層位於底電極上,且第一介電層具有第一厚度。裝置亦包含阻擋層與第二介電層,其中阻擋層位於第一介電層上,且第二介電層位於阻擋層上。第二介電層具有第二厚度,且第二厚度係小於第一厚度。此裝置更包含位於介電結構上之頂電極。
依據本揭露之一些實施例,前述第二厚度與第一厚度之比值實質介於1.5與3間。
依據本揭露之一些實施例,前述第二介電層包含複數個斜側壁,且此些斜側壁與水平方向間的角度實質介於70∘與89∘間。
依據本揭露之一些實施例,前述第二介電層包含實質平面的複數個部分,且此些部分鄰接斜側壁。
依據本揭露之一些實施例,前述之阻擋層包含富氧氮化矽材料。
依據本揭露之一些實施例,前述阻擋層的氧原子濃度較第一介電層實質大於5%。
依據本揭露之一些實施例,前述之阻擋層包含氮氧化矽。
依據本揭露之一些實施例,此裝置更包含另一阻擋層,其中此另一阻擋層在第二介電層及頂電極之間。
依據本揭露之一些實施例,前述之另一阻擋層包含富氧之氮化矽材料。
依據本揭露之一些實施例,前述之阻擋層具有實質介於2 Å與100 Å間之厚度。
在一些實施例中,電容結構包含電容底電極與電容介電結構。電容介電結構包含第一介電層,其中第一介電層位於電容底電極上,且第一介電層具有第一厚度。電容結構亦包含第一阻擋層與第二介電層,其中第一阻擋層位於第一介電層上,且第二介電層位於第一阻擋層上。第二介電層具有第二厚度,且第二厚度小於第一厚度。電容結構亦包含第二阻擋層與電容頂電極,其中第二阻擋層位於第二介電層上,且電容頂電極位於電容介電結構上。電容結構亦包含層間介電層,且層間介電層形成於電容介電結構與電容頂電極上。第一接觸形成於層間介電層中,且電性耦接至電容頂電極。第二接觸形成於層間介電層中,且電性耦接至電容底電極。
依據本揭露之一些實施例,前述之第二厚度與第一厚度的比值實質介於1.5與3間。
依據本揭露之一些實施例,前述之第一阻擋層包含富氧之氮化矽材料。
依據本揭露之一些實施例,前述第一阻擋層的氧原子濃度較第一介電層實質大於5%。
依據本揭露之一些實施例,前述之第一阻擋層具有實質介於2Å與100 Å間的厚度。
在一些實施例中,一種電容結構之形成方法包含形成電容底電極,並沉積第一介電層於電容底電極上。此方法包含將部分之第一介電層轉換為第一富氧層,並沉積第二介電層於第一富氧層上。此方法亦包含將部分之第二介電層轉換為第二富氧層,並沉積電容頂電極於第二富氧層上。此方法更包含移除部分之電容頂電極材料,部分之第二富氧層與部分之第二介電層。
依據本揭露之一些實施例,前述轉換第一介電層與第二介電層的部分之操作包含分別使用複數個含氧前驅物對第一介電層與第二介電層進行電漿處理。
依據本揭露之一些實施例,前述之含氧前驅物包含氧氣或一氧化二氮。
依據本揭露之一些實施例,前述移除之操作包含在暴露第一富氧層前,停止蝕刻製程。
依據本揭露之一些實施例,前述沉積第一介電層與第二介電層之操作包含沉積氮化矽。
應理解,詳細說明部分(而非摘要部分)旨在用於解釋申請專利範圍。摘要部分可提出一或多個(但非全部)如發明人所思及之本揭露的實施例,並且因而無意以任何方式限制本揭露所附的申請專利範圍。
前述內容概述若干實施例之特徵以使得熟習此項技術者可較佳地理解本揭露之一實施例的內容態樣。熟習此項技術者應理解,其可容易地使用本揭露之一實施例的內容做為設計或修改其他製程及結構之基礎用於進行本文中所介紹之實施例之相同的目的及/或達成相同的優點。熟習此項技術者應同時意識到,此等等效建構不偏離本揭露之一實施例的內容之精神及範疇,且其可在本文中進行各種變化、替代及修飾而不偏離本揭露之一實施例的內容之精神及範疇。
100:中介結構 102,302:基材 108:矽穿孔 110:內連接結構 112:接觸墊 114:重分佈層 122a,122b,122c,122d: 絕緣材料層 124,126:凸塊 160a,160b,160c,160d:導線 164,165a,165b,166:通孔 168:結構 180:電容 180a:頂電極 180b:介電結構 180c,320:底電極 200:方法 202,204,206,208,210,212,214:操作 300:半導體結構 321,322,323:子層 430:第一介電材料 505:處理程序 540:阻擋層 630:第二介電材料 640:第二阻擋層材料 720:電容頂電極材料 820:電容頂電極 830:第二介電層 830a:側壁 830b:部分 840:第二阻擋層 850:電容介電質 901:第一接觸 903:第一內連接 905:第二接觸 909:第二內連接 910:層間介電層 912:介電層 La ,Lb ,Lc :長度 t1 ,t1 *,t2 ,t3 ,t4 ,t5 :厚度 α:傾斜角
當結合隨附圖式閱讀時,自以下詳細描述將最佳地理解本揭露之一實施例的態樣。應注意,根據工業中之標準實務,圖式中之各特徵並非按比例繪製。實際上,可出於論述清晰之目的任意增減所說明的特徵之尺寸。 圖1是根據本揭露之一些實施例的結合具有高崩潰電壓的金屬絕緣體金屬(MIM)電容之半導體裝置的剖視示意圖。 圖2是根據本揭露之一些實施例的MIM電容之形成方法的流程示意圖。 圖3至圖9是根據本揭露之一些實施例的半導體結構之剖視示意圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
200:方法
202,204,206,208,210,212,214:操作

Claims (20)

  1. 一種裝置,包含: 一底電極; 一介電結構,包含: 一第一介電層,於該底電極上,且該第一介電層具有一第一厚度; 一阻擋層,於該第一介電層上;以及 一第二介電層,於該阻擋層上,該第二介電層具有小於該第一厚度的一第二厚度;以及 一頂電極,於該介電結構上。
  2. 如請求項1所述之裝置,其中該第二厚度與該第一厚度的一比值實質介於1.5與3間。
  3. 如請求項1所述之裝置,其中該第二介電層包含複數個斜側壁,且該些斜側壁及一水平方向間的一角度實質介於70∘與89∘間。
  4. 如請求項3所述之裝置,其中該第二介電層包含實質平面的複數個部分,且該些部分鄰接該些斜側壁。
  5. 如請求項1所述之裝置,其中該阻擋層包含一富氧氮化矽材料。
  6. 如請求項5所述之裝置,其中該阻擋層的氧原子濃度較該第一介電層實質大於5%。
  7. 如請求項1所述之裝置,其中該阻擋層包含氮氧化矽。
  8. 如請求項1所述之裝置,更包含另一阻擋層,其中該另一阻擋層在該第二介電層及該頂電極之間。
  9. 如請求項1所述之裝置,其中該另一阻擋層包含富氧之氮化矽材料。
  10. 如請求項1所述之裝置,其中該阻擋層具有實質介於2 Å與100 Å間之一厚度。
  11. 一種電容結構,包含: 一電容底電極; 一電容介電結構,包含: 一第一介電層,於該電容底電極上,且該第一介電層具有一第一厚度; 一第一阻擋層,於該第一介電層上; 一第二介電層,於該第一阻擋層上,且該第二介電層具有小於該第一厚度的一第二厚度;以及 一第二阻擋層,於該第二介電層上; 一電容頂電極,於該電容介電結構上; 一層間介電層,形成於該電容介電結構及該電容頂電極上; 一第一接觸,於該層間介電層中,且該第一接觸電性耦接至該電容頂電極;以及 一第二接觸,於該層間介電層中,且該第二接觸電性耦接至該電容底電極。
  12. 如請求項11所述之電容結構,其中該第二厚度與該第一厚度的比值實質介於1.5與3間。
  13. 如請求項11所述之電容結構,其中該第一阻擋層包含富氧之氮化矽材料。
  14. 如請求項11所述之電容結構,其中該第一阻擋層的氧原子濃度較該第一介電層實質大於5%。
  15. 如請求項11所述之電容結構,其中該第一阻擋層具有實質介於2Å與100 Å間之一厚度。
  16. 一種電容結構的形成方法,包含: 形成一電容底電極; 沉積一第一介電層於該電容底電極上; 轉換該第一介電層的一部分為一第一富氧層; 沉積一第二介電層於該第一富氧層上; 轉換該第二介電層的一部分為一第二富氧層; 沉積一電容頂電極材料於該第二富氧層上;以及 移除該電容頂電極材料的複數個部分、該第二富氧層的複數個部分,以及該第二介電層的複數個部分。
  17. 如請求項16所述之方法,其中該轉換該第一介電層與該第二介電層的該些部分之操作包含: 分別使用複數個含氧前驅物對該第一介電層與該第二介電層進行一電漿處理。
  18. 如請求項17所述之方法,其中該些含氧前驅物包含氧氣或一氧化二氮(N2 O)。
  19. 如請求項16所述之方法,其中該移除之操作包含: 暴露該第一富氧層前,停止一蝕刻製程。
  20. 如請求項16所述之方法,其中該沉積該第一介電層與該第二介電層之操作包含沉積氮化矽。
TW109128667A 2019-08-23 2020-08-21 電容裝置及電容結構與其形成方法 TWI769503B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/549,835 US11171199B2 (en) 2019-08-23 2019-08-23 Metal-insulator-metal capacitors with high breakdown voltage
US16/549,835 2019-08-23

Publications (2)

Publication Number Publication Date
TW202114234A true TW202114234A (zh) 2021-04-01
TWI769503B TWI769503B (zh) 2022-07-01

Family

ID=74495825

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109128667A TWI769503B (zh) 2019-08-23 2020-08-21 電容裝置及電容結構與其形成方法

Country Status (5)

Country Link
US (1) US11171199B2 (zh)
KR (1) KR102338063B1 (zh)
CN (1) CN112420925A (zh)
DE (1) DE102019123296B4 (zh)
TW (1) TWI769503B (zh)

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4863879A (en) * 1987-12-16 1989-09-05 Ford Microelectronics, Inc. Method of manufacturing self-aligned GaAs MESFET
US20030197215A1 (en) * 2002-02-05 2003-10-23 International Business Machines Corporation A dual stacked metal-insulator-metal capacitor and method for making same
US6620673B1 (en) 2002-03-08 2003-09-16 Alpine Microsystems, Inc. Thin film capacitor having multi-layer dielectric film including silicon dioxide and tantalum pentoxide
US7361599B2 (en) * 2002-09-03 2008-04-22 Texas Instruments Incorporated Integrated circuit and method
KR100493040B1 (ko) * 2002-12-30 2005-06-07 삼성전자주식회사 반도체 소자의 커패시터 및 그 제조방법
US7078785B2 (en) * 2003-09-23 2006-07-18 Freescale Semiconductor, Inc. Semiconductor device and making thereof
DE102004039803B4 (de) 2004-08-17 2006-12-07 Infineon Technologies Ag Verfahren zur Herstellung einer Leitbahnanordnung mit erhöhter kapazitiver Kopplung sowie zugehörige Leitbahnanordnung
DE102005053322A1 (de) 2004-11-08 2006-06-08 Hynix Semiconductor Inc., Ichon Kondensator mit Zirkondioxid und Verfahren zur Herstellung desselben
KR100678298B1 (ko) * 2004-12-27 2007-02-02 동부일렉트로닉스 주식회사 트랜치 구조의 금속-절연체-금속 커패시터 제조 방법
US7118959B2 (en) 2005-03-10 2006-10-10 Texas Instruments Incorporated Integrated circuit capacitor having antireflective dielectric
JP2007165733A (ja) 2005-12-16 2007-06-28 Elpida Memory Inc 半導体装置及びその製造方法
KR100771865B1 (ko) 2006-01-18 2007-11-01 삼성전자주식회사 스토리지 캐패시터와 고내압 캐패시터를 구비하는 반도체소자의 제조방법 및 그를 사용하여 제조된 반도체 소자
KR20080029636A (ko) * 2006-09-29 2008-04-03 주식회사 하이닉스반도체 원자층증착법에 의한 이중 유전막을 구비하는 캐패시터제조 방법
US8153527B2 (en) * 2008-10-13 2012-04-10 Globalfoundries Singapore Pte. Ltd. Method for reducing sidewall etch residue
KR101599724B1 (ko) * 2009-02-16 2016-03-04 삼성전자 주식회사 반도체 장치 및 그 제조 방법
KR20110071416A (ko) * 2009-12-21 2011-06-29 주식회사 동부하이텍 반도체 소자의 mim 커패시터 형성방법
US9373675B2 (en) 2012-02-06 2016-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Capacitor structure and method of forming the same
US9178008B2 (en) * 2012-08-10 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-insulator-metal capacitor with current leakage protection
DE102014223904A1 (de) 2014-11-24 2016-05-25 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Kondensator und Verfahren zum Herstellen desselben
GB201613791D0 (en) * 2016-08-11 2016-09-28 Univ Southampton Optical structure and method of fabricating an optical structure
US10049890B2 (en) * 2016-09-09 2018-08-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of manufacturing the same
CN106449606A (zh) * 2016-10-12 2017-02-22 上海华虹宏力半导体制造有限公司 一种mim电容器结构及其制作方法
US10978548B2 (en) * 2016-11-10 2021-04-13 Texas Instruments Incorporated Integrated capacitor with sidewall having reduced roughness
US10157915B1 (en) 2017-10-25 2018-12-18 Texas Instruments Incorporated Capacitor with improved voltage coefficients
US10748986B2 (en) * 2017-11-21 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with capacitors
KR102623543B1 (ko) 2018-05-18 2024-01-10 삼성전자주식회사 유전막을 가지는 집적회로 소자 및 그 제조 방법과 집적회로 소자 제조 장치

Also Published As

Publication number Publication date
US11171199B2 (en) 2021-11-09
KR102338063B1 (ko) 2021-12-13
KR20210024401A (ko) 2021-03-05
DE102019123296B4 (de) 2023-01-12
CN112420925A (zh) 2021-02-26
US20210057517A1 (en) 2021-02-25
DE102019123296A1 (de) 2021-02-25
TWI769503B (zh) 2022-07-01

Similar Documents

Publication Publication Date Title
US20220359646A1 (en) Backside capacitor techniques
US11791243B2 (en) Semiconductor device and method of manufacture
TWI727516B (zh) 半導體裝置及其形成方法
US11139367B2 (en) High density MIM capacitor structure
US11842959B2 (en) Metal-Insulator-Metal structure
US7323736B2 (en) Method to form both high and low-k materials over the same dielectric region, and their application in mixed mode circuits
US20230387000A1 (en) Functional Component Within Interconnect Structure of Semiconductor Device and Method of Forming Same
TWI769503B (zh) 電容裝置及電容結構與其形成方法
CN220569675U (zh) 半导体结构
US11791371B2 (en) Resistor structure
US11961880B2 (en) Metal-insulator-metal structure
US20230352395A1 (en) Semiconductor structure and method for forming the same
US20230377968A1 (en) Redistribution layer metallic structure and method
TW202416445A (zh) 半導體結構及其形成方法
CN117320540A (zh) 半导体结构及其制造方法