TW202114232A - 具有無襯裡自形成障壁的積體電路結構 - Google Patents

具有無襯裡自形成障壁的積體電路結構 Download PDF

Info

Publication number
TW202114232A
TW202114232A TW109120605A TW109120605A TW202114232A TW 202114232 A TW202114232 A TW 202114232A TW 109120605 A TW109120605 A TW 109120605A TW 109120605 A TW109120605 A TW 109120605A TW 202114232 A TW202114232 A TW 202114232A
Authority
TW
Taiwan
Prior art keywords
integrated circuit
circuit structure
conductive
oxide
layer
Prior art date
Application number
TW109120605A
Other languages
English (en)
Inventor
阿瑟克 沙爾馬
卡爾 內勒
尤路沙 艾倫
Original Assignee
美商英特爾股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商英特爾股份有限公司 filed Critical 美商英特爾股份有限公司
Publication of TW202114232A publication Critical patent/TW202114232A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76867Barrier, adhesion or liner layers characterized by methods of formation other than PVD, CVD or deposition from a liquids
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1222Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs with a particular composition, shape or crystalline structure of the active layer
    • H01L27/1225Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs with a particular composition, shape or crystalline structure of the active layer with semiconductor materials not belonging to the group IV of the periodic table, e.g. InGaZnO
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/7869Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising an oxide semiconductor material, e.g. zinc oxide, copper aluminium oxide, cadmium stannate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Geometry (AREA)
  • Thin Film Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

描述具有無襯裡自形成障壁之積體電路結構,及製造具有無襯裡自形成障壁之積體電路結構的方法。於一範例中,一種積體電路結構包括一電介質材料於基材之上。一互連結構係在該電介質材料中之一溝槽中。該互連結構包括一導電填充材料及一二維(2D)結晶襯裡。該2D結晶襯裡係與該電介質材料以及與該導電填充材料直接接觸。2D結晶襯裡包括如導電填充材料之相同金屬物種。

Description

具有無襯裡自形成障壁的積體電路結構
本發明之實施例屬於積體電路結構之領域,而特別是,具有無襯裡自形成障壁之積體電路結構。
於過去數十年,積體電路中之特徵的擴縮(scaling)已是不斷成長的半導體工業背後之驅動力。擴縮至越來越小的特徵致能了半導體晶片之有限表面上的功能性單元之增加的密度。
例如,縮小電晶體尺寸容許在晶片上結合增加數目的記憶體或邏輯裝置,導致增加容量之產品的製造。然而,對於越來越多的容量之慾望並不是沒有問題的。將各裝置之性能最佳化的需求變得越來越重要。於積體電路裝置之製造中,諸如三閘極電晶體之多閘極電晶體已隨著裝置尺寸持續縮小而變得更普遍。於傳統製程中,三閘極電晶體通常被製造於大塊矽基材或絕緣體上矽基材上。於某些例子中,大塊矽基材由於其較低的成本以及與現存高產量大塊矽基材設施的相容性而為較佳的。然而,多閘極電晶體之擴縮不是無後果的。隨著微電子電路之這些基本建立區塊的尺寸減小且隨著既定區域中所製造之基本建立區塊的總數增加,對於用以製造這些建立區塊之半導體製程的限制變得很困擾。
薄膜電晶體(TFT)之性能可取決於許多因素。例如,TFT能夠操作的效率可取決於TFT之次臨限擺動,其係特徵化用以獲得汲極電流之既定改變所需的閘極-源極電壓之改變量。較小的次臨限擺動致能TFT關斷至較低的洩漏值,當閘極-源極電壓下降至低於TFT之臨限電壓時。針對TFT之次臨限擺動之室溫下的傳統理論上的下限是每十倍汲極電流改變60毫伏。
傳統及最先進製造程序中之變化性可能限制將其進一步延伸入(例如)10nm或次10nm範圍之可能性。因此,針對未來科技節點所需之功能組件的製造可能需要引入新的方法學或者將新的科技集成於目前製造程序中或取代目前製造程序。
描述具有無襯裡自形成障壁之積體電路結構,及製造具有無襯裡自形成障壁之積體電路結構的方法。於下列描述中,提出多項特定細節,諸如特定材料及加工階段,以提供本發明之實施例的透徹瞭解。熟悉此項技術人士將清楚本發明之實施例可被實行而無這些特定細節。於其他例子中,眾所周知的特徵(諸如單或雙金屬鑲嵌處理)未被詳細地描述,以免非必要地混淆本發明之實施例。再者,應理解圖中所示之各個實施例為說明性表示且不一定依比例描繪。於某些情況下,各個操作將被描述為數個離散的操作,依序地,以一種最有助於瞭解本發明之方式,然而,描述之順序不應被視為暗示這些操作必定為順序相依的。特別地,這些操作無須以所提呈之順序來執行。
某些術語亦可被用於以下描述中以僅供參考之目的,而因此不意欲為限制性的。例如,諸如「較高」、「較低」、「之上」、「之下」、「底部」、及「頂部」係指稱該參考所應用之圖式中的方向。諸如「前」、「後」、「後方」、及「側面」等術語係描述參考之恆定(但任意)框內的組件之部分的定向及/或位置,其係藉由參考描述討論中組件之文字及相關圖式而變得清楚明白。此術語可包括以上所明確地提及之字語、其衍生詞、及類似含義的字語。
文中所述之實施例可針對前段製程(FEOL)半導體處理及結構。FEOL是積體電路(IC)製造之第一部分,其中個別裝置(例如,電晶體、電容、電阻,等等)被圖案化於半導體基材或層中。FEOL通常涵蓋直到(但不包括)金屬互連層之沈積的所有步驟。接續於最後FEOL操作後,其結果通常為具有隔離電晶體(例如,無任何佈線)之晶圓。
文中所述之實施例可針對後段製程(BEOL)半導體處理及結構。BEOL為IC製造之第二部分,其中個別裝置(例如,電晶體、電容、電阻,等等)係與晶圓上之佈線(例如,金屬化層或多層)互連。BEOL包括接點、絕緣層(電介質)、金屬階、及用於晶片至封裝連接之接合部位。於製造階段之BEOL中,接點(墊)、互連佈線、通孔及電介質結構被形成。針對現代IC製程,於BEOL中可加入多於10個金屬層。
以下所述之實施例可應用於FEOL處理及結構、BEOL處理及結構、或FEOL和BEOL處理及結構兩者。特別地,雖然範例處理方案可使用一種FEOL處理情境來闡述,但此類方式亦可應用於BEOL處理。同樣地,雖然範例處理方案可使用一種BEOL處理情境來闡述,但此類方式亦可應用於FEOL處理。
文中所述之一或更多實施例係有關於用以製造具有自形成障壁層之積體電路結構的結構及架構。實施例可包括或相關於後段電晶體、半導體氧化物材料、薄膜電晶體、閘極電極、以及系統單晶片(SoC)科技之一或更多者。一或更多實施例可被實施以實現高性能後段電晶體來潛在地增加未來科技節點之SoC中的後段邏輯加上記憶體之單石集成。
依據本發明之實施例,無襯裡自形成障壁係針對導電結構來描述,諸如包括銅(Cu)、鎢(W)、及/或鈷(Co)之導電結構。文中所述之實施例可被實施以製造互連結構(例如,與層間電介質(ILD)材料直接接觸之無襯裡單金屬鑲嵌或雙金屬鑲嵌結構)、用於電晶體結構之接點(例如,當作與半導體氧化物直接接觸之層)、或閘極電極(例如,用以改變閘極電極之工作函數)。於一實施例中,文中所述之障壁層具有如填充材料之相同金屬填充物種。文中所述之障壁層可有益於黏合、電遷移、及/或電阻率之一或更多者。
為了提供背景,導電互連通常係仰賴襯裡及障壁以防止銅(Cu)擴散入基材或相鄰的ILD材料中。然而,此類結構可能與高成本(例如,電阻值及空間)相關聯。先前的方法可能需要多數最佳化操作,例如,襯裡和障壁之最佳化、以及填充和拋光方法之最佳化。先前的方法亦可能與多數沈積相關聯,該等沈積既耗時且可能難以實施以達成薄的襯裡及障壁層。
在文中所述之特別實施例中,當作範例實施方式,形成兩個原子地薄的二維(2D)晶體以防止Cu擴散。藉由將Cu暴露至氣體,諸如形成氣體(N2 /H2 ),則2D材料可被形成在Cu介面處。透過此一自形成障壁方法,則無須分離地沈積襯裡或障壁,因為所形成的2D Cu晶體可被使用為障壁。
實施文中所述之實施例的優點包括使用自形成障壁方法以去除沈積襯裡或障壁之需求。障壁可單獨地使用填充金屬(例如,Cu)來形成,在暴露至諸如形成氣體的氣體時。實施例可被實施以將通孔之底部及互連之側壁處的空間和電阻值最小化。實施例可被實施以產生薄的2D障壁。
為了提供背景,圖1繪示互連結構之橫斷面視圖。
參考圖1,ILD材料102是在基材100之上。互連結構104/106是在ILD材料102中。互連結構104/106可包括在導電通孔106之上的導電線104。互連結構104/106包括襯裡108、障壁110及導電填充112。
相對於圖1,圖2繪示一種具有無襯裡自形成障壁之互連結構的形成之橫斷面視圖,依據本發明之實施例。
參考圖2之部分(a),ILD材料152是在基材150之上。互連結構154/156是在ILD材料152中。互連結構154/156可包括在導電通孔156之上的導電線154。互連結構154/156包括導電填充158。於一實施例中,導電填充158是銅填充。
參考圖2之部分(b),部分(a)之結構被處置以形成互連結構154A/156A,其具有經處置填充158A及2D結晶襯裡或障壁160。於範例實施例中,2D結晶襯裡或障壁160係藉由以下方式來形成:在生長氣體(例如,暴露於約45C之溫度約1小時之歷時的形成氣體(N2 /H2 ))中退火圖2之部分(a)的結構。於一實施例中,2D結晶襯裡或障壁160是僅使用存在導電填充158中之Cu所形成的Cu障壁。
再次參考圖2之部分(b),依據本發明之實施例,積體電路結構包括在基材150之上的電介質材料152。互連結構154A/156A是在電介質材料152中之溝槽中。互連結構154A/156A包括導電填充材料158A及二維(2D)結晶襯裡160。2D結晶襯裡160係與電介質材料152以及與導電填充材料158A直接接觸。2D結晶襯裡160包括如導電填充材料158A之相同金屬物種。
於一實施例中,2D結晶襯裡160係單層。於一實施例中,2D結晶襯裡160具有少於5奈米之厚度。
於一實施例中,金屬物種係銅。於一實施例中,金屬物種係鈷。於一實施例中,金屬物種係鎢。
於一實施例中,2D結晶襯裡160係進一步在互連結構154A/156A之頂部表面上,如所繪示。於一實施例中,互連結構154A/156A包括導電通孔156A上之導電線154A。
於另一態樣中,2D結晶襯裡被包括在導電接點中。當作範例結構,圖3A繪示一種具有無襯裡自形成障壁之薄膜積體電路結構的橫斷面圖,依據本發明之實施例。
參考圖3A,一種積體電路結構300包括閘極電極304上方之半導體氧化物材料302。一對導電接點308(如虛線盒中所示)係直接在半導體氧化物材料302之第一區上。半導體氧化物材料302之第二區係介於該對導電接點308之間。半導體氧化物材料302之第二區可包括或可被包括在薄膜電晶體之通道區中。
於一實施例中,積體電路結構300進一步包括介於閘極電極304與半導體氧化物材料302之間的閘極電介質層306。於一此類實施例中,閘極電介質層306是或包括直接在半導體氧化物材料302上之高k電介質材料的層,如所繪示。
絕緣結構310(諸如層間電介質層)被包括在該對導電接點308的各者之間。絕緣結構310是在,且可直接在半導體氧化物材料302之第二區上。隔離結構311可被包括在該對導電接點308之任一側上,如所繪示。隔離結構311可包括一或更多電介質層。
於一實施例中,閘極電極304係在導電線312(諸如互連線)上方之底部閘極電極。於一實施例中,蝕刻停止層314係介於閘極電極304與導電線312之間。導電通孔316係在蝕刻停止層314中之開口中且將閘極電極304電耦合至導電線312,如所繪示。導電線312可被形成在額外蝕刻停止層318及/或層間電介質(ILD)層320(諸如低k ILD層)之上。
依據本發明之實施例,該對導電接點308之各者包括導電填充材料308B及二維(2D)結晶襯裡308A。2D結晶襯裡308A係與半導體氧化物材料302以及與導電填充材料308B直接接觸。2D結晶襯裡308A包括如導電填充材料308B之相同金屬物種。
於一實施例中,2D結晶襯裡308A係單層。於一實施例中,2D結晶襯裡308A具有少於5奈米之厚度。於一實施例中,雖未繪示,2D結晶襯裡308A係進一步在導電填充材料308B之頂部表面上。
於一實施例中,金屬物種係銅。於一實施例中,金屬物種係鈷。於一實施例中,金屬物種係鎢。
於一實施例中,半導體氧化物材料302包括選自由以下所組成之群組的材料:氧化銦鎵鋅、氧化錫、氧化銻、氧化銦、氧化銦錫、氧化鈦、氧化鋅、氧化銦鋅、氧化鎵、氧氮化鈦、氧化釕及氧化鎢。
當作圖3A之類型的複數積體電路結構之範例配置,圖3B繪示一種具有無襯裡自形成障壁之薄膜積體電路結構的陣列之斜角剖視圖,該陣列係鄰接一邏輯區域,依據本發明之實施例。參考圖3B,佈局350包括鄰接邏輯區域354之薄膜電晶體陣列352。薄膜電晶體陣列352之薄膜電晶體的各者可為相似或類似於與圖3A相關聯所述的積體電路結構300。
於另一態樣中,對於先進SoC包括用於在較高金屬層處之邏輯功能的單石集成BEOL電晶體有增加的需求。此類BEOL電晶體通常係由於後段材料之增加的熱敏感度而具有比前段電晶體更低的熱預算。同時,此類電晶體之性能可能由於諸如IGZO(氧化銦鎵鋅)等BEOL相容通道材料之低通道移動率而被嚴重地妨礙。
依據文中所述之一或更多實施例,非平面BEOL相容薄膜電晶體(TFT)係藉由針對既定突出區域有效地增加電晶體寬度(及因此驅動強度和性能)來製造。使用此一架構所製造的TFT可展現薄膜電晶體之閘極控制、穩定性、及性能的增加。此類系統之應用可包括(但不限定於)後段(BEOL)邏輯、記憶體、或類比應用。文中所述之實施例可包括非平面結構,其係藉由將裝置集成在獨特架構中以有效地增加電晶體寬度(相對於平面裝置)。
為了提供基準,圖4A繪示沿著一種具有無襯裡自形成障壁之平面薄膜積體電路結構的閘極「寬度」所取的橫斷面視圖,依據本發明之實施例。
參考圖4A,平面TFT400被形成在基材402之上,例如,在基材之上的絕緣層404上,如圖所示。平面TFT400包括通道材料406,諸如半導體氧化物材料。閘極電極408被形成在閘極電介質層414上,其被形成在通道材料406上。閘極電極408可包括工作函數層412上之填充材料410,如所繪示。閘極電極408可暴露通道材料406及閘極電介質層414之區416,如所繪示。替代地,通道材料406及閘極電介質層414具有如閘極電極408之相同的側面尺寸。應理解:源極/汲極區係進及出圖4A之視圖的頁面。
平面TFT400具有一有效閘極寬度,其係介於位置A與B'之間的平面通道材料406之長度,如圖4A中所示。TFT400可於文中被稱為平面BEOL場效電晶體(FET)。
當作具有電晶體寬度之相對增加的結構之第一範例(例如,相對於圖4A的結構),圖4B繪示沿著一種具有相對增加寬度及無襯裡自形成障壁之薄膜積體電路結構的閘極「寬度」所取的橫斷面視圖,依據本發明之實施例。
參考圖4B,非平面TFT450被形成在基材452之上,例如,在基材之上的絕緣層454上,如圖所示。一對電介質鰭片455是在絕緣層454上。非平面TFT450包括半導體氧化物材料456、或類似地適合的通道材料。半導體氧化物材料456係與該對電介質鰭片455共形且與該對電介質鰭片455之間的絕緣層454之暴露部分共形。閘極電極458被形成在閘極電介質層464上,其被形成在半導體氧化物材料456上。閘極電極458可包括工作函數層462上之填充材料460,如所繪示。閘極電極458可暴露半導體氧化物材料456及閘極電介質層464之區466,如所繪示。替代地,半導體氧化物材料456及閘極電介質層464具有如閘極電極458之相同的側面尺寸。應理解:源極/汲極區係進及出圖4B之視圖的頁面。
非平面TFT450具有一有效閘極寬度,其為介於位置A'與B'之間的共形半導體氧化物材料456之長度,亦即,包括電介質鰭片455之頂部及側壁上方的波狀部分之完整長度,如圖4B中所示。TFT450可於文中被稱為非平面BEOL場效電晶體(FET)。相較於圖4A,圖4B之結構係強調非平面架構之優點,用以增加有效閘極寬度,於文中被稱為相對增加寬度。
為了強調非平面TFT形貌之其他態樣,圖4C、4D、及4E繪示一種具有相對增加寬度及無襯裡自形成障壁之薄膜積體電路結構的斜角和直接橫斷面視圖,依據本發明之實施例。應理解:為了簡化係繪示一個電介質鰭片在圖4C-4E中。實施例可包括在一個(圖4C)、兩個(圖4B)或更多此類電介質鰭片上方所製造的單一裝置。
參考圖4C-4E,一種積體電路結構470包括電介質鰭片455,其在基材452之上的絕緣體層454上。電介質鰭片455具有頂部及側壁。半導體氧化物材料456(或類似適當通道材料)係在電介質鰭片455之頂部及側壁上。閘極電極458係在電介質鰭片455之頂部及側壁上的半導體氧化物材料456之第一部分上方。閘極電極458具有一第一側,相反於一第二側。第一導電接點(左474)係鄰接閘極電極458之第一側,而在電介質鰭片455之頂部及側壁上的半導體氧化物材料456之第二部分上方。第二導電接點(右474)係鄰接閘極電極458之第二側,而在電介質鰭片455之頂部及側壁上的半導體氧化物材料456之第三部分上方。於一實施例中,第一及第二導電接點474各包括導電填充材料及二維(2D)結晶襯裡,其中2D結晶襯裡包括如導電填充材料之相同金屬物種,諸如與圖3A相關聯所述之配置。
於一實施例中,積體電路結構470進一步包括介於閘極電極458與半導體氧化物材料456的第一部分在電介質鰭片455之頂部及側壁上之間的閘極電介質層464,如圖4C-4E中所示。於一實施例中,積體電路結構470進一步包括介於第一導電接點474與閘極電極458的第一側之間的第一電介質間隔物(左472),第一電介質間隔物472在電介質鰭片455之頂部及側壁上的半導體氧化物材料456之第四部分上方。第二電介質間隔物(右472)係介於第二導電接點474與閘極電極458的第二側之間,第二電介質間隔物472在電介質鰭片455之頂部及側壁上的第二半導體氧化物材料456之第五部分上方,如圖4C及4E中所示。於一此類實施例中,閘極電介質層464進一步沿著第一及第二電介質間隔物472,亦如圖4C及4E中所示。
集體地參考圖4B-4E,依據本發明之實施例,積體電路結構450或470包括基材452之上的絕緣體結構455。絕緣體結構455具有沿著一與基材452之整體平面平行的平面(ab)而改變的形貌。半導體氧化物材料456係在絕緣體結構455上。半導體氧化物材料456係與絕緣體結構455之形貌共形。閘極電極458係在絕緣體結構455上之半導體氧化物材料456的第一部分上方。閘極電極458具有一第一側,相反於一第二側。第一導電接點(左474)係鄰接閘極電極458之第一側。第一導電接點474係在絕緣體結構455上之半導體氧化物材料456的第二部分上方。第二導電接點(右474)係鄰接閘極電極458之第二側。第二導電接點474係在絕緣體結構455上之半導體氧化物材料456的第三部分上方。
於一實施例中,絕緣體結構450或470包括一或更多電介質鰭片455。電介質鰭片455之各別者具有頂部及側壁。半導體氧化物材料456係在電介質鰭片455之各別者的頂部及側壁上。於一實施例中,絕緣體結構455(諸如鰭片或多數鰭片455)係由一種電介質材料所組成,諸如(但不限定於)二氧化矽、氧氮化矽、氮化矽、或碳摻雜的氮化矽。於一實施例中,絕緣體結構455係由低k電介質材料所組成。
於一實施例中,文中所述之電介質鰭片可被製造為光柵結構,其中術語「光柵」在文中被用以指稱緊密節距光柵結構。於此一實施例中,緊密節距無法直接透過傳統微影來獲得。例如,根據傳統微影之圖案可首先被形成,但該節距可藉由使用間隔物遮罩圖案化而被減半,如本技術中所已知者。甚至,原始節距可藉由第二輪間隔物遮罩圖案化而被減為四分之一。因此,文中所述之光柵狀圖案可具有以恆定節距來分隔並具有恆定寬度之電介質鰭片。圖案可藉由節距減半或節距減為四分之一(或其他節距分割)方式來製造。於一實施例中,電介質鰭片或多數鰭片455各具有去角(如圖所示)或圓形角落。
於一實施例中,閘極電介質層464係介於閘極電極458與在絕緣體結構455上之半導體氧化物材料456的第一部分之間,如所繪示。於一此類實施例中,閘極電介質層464包括直接在半導體氧化物材料456上之高k電介質材料的層。
於一實施例中,第一電介質間隔物(第一472)係介於第一導電接點(第一474)與閘極電極458的第一側之間,第一電介質間隔物(第一472)在絕緣體結構455上之半導體氧化物材料456的第四部分上方。第二電介質間隔物(第二472)係介於第二導電接點(第二474)與閘極電極458的第二側之間,第二電介質間隔物(第二472)在絕緣體結構455上之半導體氧化物材料456的第五部分上方。於一此類實施例中,閘極電介質層464係介於閘極電極458與在絕緣體結構455上之半導體氧化物材料456的第一部分之間。閘極電介質層464係進一步沿著第一電介質間隔物(第一472)及第二電介質間隔物(第二472)。於一特定此類實施例中,閘極電介質層464包括直接在半導體氧化物材料456上之高k電介質材料的層。
於一實施例中,半導體氧化物材料456包括氧化銦鎵鋅(IGZO)。於一實施例中,半導體氧化物材料456包括選自由以下所組成之群組的材料:氧化錫、氧化銻、氧化銦、氧化銦錫、氧化鈦、氧化鋅、氧化銦鋅、氧化鎵、氧氮化鈦、氧化釕及氧化鎢。於一實施例中,閘極電介質層464包括直接在半導體氧化物材料456上之高k電介質材料的層。於一替代實施例中,通道材料並非半導體氧化物材料而是多晶矽之層。
當作具有電晶體寬度之相對增加的結構之第二範例,圖5A繪示另一具有相對增加寬度及無襯裡自形成障壁之薄膜積體電路結構的斜角三維視圖,依據本發明之另一實施例。圖5B繪示圖5A之薄膜積體電路結構的一部分之由上而下視圖。
參考圖5A及5B,一種積體電路結構500包括基材502之上的絕緣體結構550。絕緣體結構550可被形成在絕緣體層504上,如所繪示。絕緣體結構550具有第一溝槽552在其中,第一溝槽552具有側壁及底部。半導體氧化物材料506(或類似適當通道材料)係在絕緣體結構550中之第一溝槽552中。半導體氧化物材料506係與第一溝槽552之側壁及底部共形。閘極電介質層514係在第一溝槽552中之半導體氧化物材料506上。閘極電介質層514係與半導體氧化物材料506共形,其係與第一溝槽552之側壁及底部共形。閘極電極508係在第一溝槽552中之閘極電介質層514上。閘極電極508具有一第一側(相反於一第二側)且具有一經暴露頂部表面。第一導電接點(左554)係橫向地鄰接閘極電極508之第一側。第一導電接點(左554)係在與第一溝槽552之側壁共形的半導體氧化物材料506之第一部分上。第二導電接點(右554)係橫向地鄰接閘極電極508之第二側。第二導電接點(右554)係在與第一溝槽552之側壁共形的半導體氧化物材料506之第二部分上。應理解:導電接點554僅被顯示在第一溝槽552之前部分處以利圖形之簡潔。於一實施例中,導電接點554一路延伸,或實質上一路延伸沿著第一溝槽552,以利最大化的源極/汲極接點區域並維持相對小的有效閘極長度。於一實施例中,第一及第二導電接點554各包括導電填充材料及二維(2D)結晶襯裡,其中2D結晶襯裡包括如導電填充材料之相同金屬物種,諸如與圖3A相關聯所述之配置。
於一實施例中,絕緣體結構550係ILD材料之單層,如所繪示。於另一實施例中,絕緣體結構550係交替電介質層之堆疊,諸如與圖7A-7H相關聯所述者。
於一實施例中,第三導電接點558係在閘極電極508之經暴露頂部表面上方並與其接觸,如所繪示。於一實施例中,第一導電接點(左554)係在絕緣體結構550中之第二溝槽570中,而第三導電接點(右554)係在絕緣體結構550中之第三溝槽572中,如所繪示。於一實施例中,第三導電接點558被耦合至導電線560,其可為字元線,如所繪示。於一實施例中,第一及第二導電接點554被耦合至相應導電線556,如所繪示。
再次參考圖5,於一實施例中,非平面後段FET架構係使用第一溝槽552之垂直長度(深度)以增加電晶體之有效寬度。亦即,第一溝槽552之深度係TFT之Z,其中有效寬度(Weff)係藉由將Z設為溝槽之深度而被相對地增加。
於一實施例中,半導體氧化物材料506包括氧化銦鎵鋅(IGZO)。於一實施例中,半導體氧化物材料506包括選自由以下所組成之群組的材料:氧化錫、氧化銻、氧化銦、氧化銦錫、氧化鈦、氧化鋅、氧化銦鋅、氧化鎵、氧氮化鈦、氧化釕及氧化鎢。於一實施例中,閘極電介質層514包括直接在半導體氧化物材料506上之高k電介質材料的層。於一替代實施例中,通道材料並非半導體氧化物材料而是多晶矽之層。
當作具有電晶體寬度之相對增加的結構之第三範例,圖6繪示另一具有相對增加寬度及無襯裡自形成障壁之薄膜積體電路結構的斜角三維視圖,依據本發明之另一實施例。
參考圖6,一種積體電路結構600包括基材602之上的絕緣體結構650。絕緣體結構650可被形成在絕緣體層604上,如所繪示。絕緣體結構650具有第一溝槽652在其中,第一溝槽652具有側壁及底部。絕緣體結構650具有沿著一與基材602之整體平面垂直的平面而改變的形貌。於一此類實施例中,絕緣體結構650具有沿著一與基材602之整體平面垂直的平面而改變的波狀形貌,如所繪示。於一特定此類實施例中,波狀形貌係在ILD材料之單層中,如所繪示。於另一特定此類實施例中,波狀形貌係在交替電介質層之配置內,諸如與圖7A-7H相關聯所述者。
半導體氧化物材料606(或類似適當通道材料)係在絕緣體結構650中之第一溝槽652中。半導體氧化物材料606係與第一溝槽652之側壁及底部共形,亦即,與絕緣體結構650之形貌共形。閘極電介質層614係在第一溝槽652中之半導體氧化物材料606上。閘極電介質層614係與半導體氧化物材料606共形,其係與第一溝槽652之側壁及底部共形。閘極電極608係在第一溝槽652中之閘極電介質層614上。閘極電極608具有一第一側(相反於一第二側)且具有一經暴露頂部表面。第一導電接點(左654)係橫向地鄰接閘極電極608之第一側。第一導電接點(左654)係在與第一溝槽652之側壁共形的半導體氧化物材料606之第一部分上。第二導電接點(右654)係橫向地鄰接閘極電極608之第二側。第二導電接點(右654)係在與第一溝槽652之側壁共形的半導體氧化物材料606之第二部分上。於一實施例中,導電接點654一路延伸,或實質上一路延伸沿著第一溝槽652,以利最大化的源極/汲極接點區域並維持相對小的有效閘極長度。於一實施例中,第一及第二導電接點654各包括導電填充材料及二維(2D)結晶襯裡,其中2D結晶襯裡包括如導電填充材料之相同金屬物種,諸如與圖3A相關聯所述之配置。
於一實施例中,第三導電接點658係在閘極電極608之經暴露頂部表面上方並與其接觸,如所繪示。於一實施例中,第一導電接點(左654)係在絕緣體結構650中之第二溝槽670中,而第三導電接點(右654)係在絕緣體結構650中之第三溝槽672中,如所繪示。於一實施例中,第三導電接點658被耦合至導電線660,其可為字元線,如所繪示。於一實施例中,第一及第二導電接點654被耦合至相應導電線656,如所繪示。
再次參考圖6,於一實施例中,非平面後段FET架構係使用第一溝槽652之垂直長度(深度),包括由起皺所提供的額外長度,以增加電晶體之有效寬度。亦即,波狀第一溝槽652之長度係TFT之Z,其中有效寬度(Weff)係藉由將Z設為沿著波狀第一溝槽652之深度或長度而被相對地增加。
於一實施例中,半導體氧化物材料606包括氧化銦鎵鋅(IGZO)。於一實施例中,半導體氧化物材料606包括選自由以下所組成之群組的材料:氧化錫、氧化銻、氧化銦、氧化銦錫、氧化鈦、氧化鋅、氧化銦鋅、氧化鎵、氧氮化鈦、氧化釕及氧化鎢。於一實施例中,閘極電介質層614包括直接在半導體氧化物材料606上之高k電介質材料的層。於一替代實施例中,通道材料並非半導體氧化物材料而是多晶矽之層。
依據本發明之實施例,上述TFT非平面架構450、470、500及600係提供較高的有效寬度給電晶體以供經擴縮的突出區域。於一實施例中,此類電晶體之驅動強度及性能被增進超越最先進平面BEOL電晶體。
當作範例處理方案,圖7A-7H繪示在一種製造具有相對增加寬度及無襯裡自形成障壁之薄膜積體電路結構的方法中之各個階段的橫斷面及平面視圖,依據本發明之實施例。
參考圖7A,電介質層之堆疊704被形成在基材700之上以及(可能地)在其形成在基材700上或之上的絕緣層702上。電介質層之堆疊704包括不同組成之交替的電介質層704A及704B。於一實施例中,電介質層之堆疊704係交替的二氧化矽及氮化矽層之堆疊。
參考圖7B,開口706被形成在電介質層之堆疊704中以形成電介質層之一次圖案化堆疊704'。於一實施例中,圖7B之結構被使用而無以下與圖7C相關聯所述的程序,以獲得諸如TFT300之結構。
參考圖7C,起皺被達成以形成波狀開口708,藉由將圖7B之結構暴露至蝕刻製程,其係對層704A有選擇性而凹陷層704B。選擇性蝕刻製程提供電介質層之二次圖案化堆疊704''。電介質層之二次圖案化堆疊704''可被使用以獲得諸如TFT400之結構。
參考圖7D,導電接點710(諸如源極/汲極接點)被形成在圖7C之結構的開口708中。導電接點可(例如)藉由沈積或生長製程而被形成。
參考圖7E,開口712被形成在導電接點710之間以形成電介質層之三次圖案化堆疊704'''。開口712係暴露導電接點710之波狀表面。
參考圖7F,半導體氧化物層606(或其他適當通道材料)沿著導電接點710之經暴露表面被形成在開口712中。於一實施例中,半導體氧化物層606被形成與導電接點710之波狀表面共形,如所繪示。
參考圖7G,閘極電介質層614被形成在開口712中。閘極電介質層614係在半導體氧化物層606上且與其共形。
參考圖7H,閘極電極608被形成在開口712中。閘極電極608係在閘極電介質層614上且與其共形。圖7G之結構可被包括為與圖6相關聯所述之TFT600的一部分。
應理解:與文中之實施例相關聯所述的層及材料通常被形成在下方半導體基材402、452、502、602、700或ILD層120(例如,FEOL層)底下的基材上或之上。於其他實施例中,與文中之實施例相關聯所述的層及材料通常被形成在積體電路之下方裝置層上或之上,例如,成為下方半導體基材402、452、502、602、700或ILD層320底下的基材之上的BEOL層。於一實施例中,下方半導體基材代表用以製造積體電路之一般工件物體。半導體基材常包括矽或另一半導體材料之晶圓或其他件。適當的半導體基材包括(但不限定於)單晶矽、多晶矽及絕緣體上之矽(SOI)、以及由其他半導體材料所形成之類似基材。半導體基材(取決於製造之階段)常包括電晶體、積體電路,等等。基材亦可包括半導體材料、金屬、電介質、摻雜物、及半導體基材中常發現的其他材料。再者,雖未描繪,文中所述之結構可被製造於下方較低階後段製程(BEOL)互連層上。
在選擇性地使用絕緣體層454、504、604或702之情況下,絕緣體層454、504、604或702可由一種適於最終地電隔離(或有助於隔離)閘極結構之部分與下方大塊基材或互連層的材料所組成。例如,於一實施例中,絕緣體層454、504、604或702係由一種電介質材料所組成,諸如(但不限定於)二氧化矽、氧氮化矽、氮化矽、或碳摻雜的氮化矽。於特定實施例中,絕緣體層454、504、604或702係下方BEOL層之低k電介質層。
於一實施例中,半導體氧化物材料302、406、456、506或606以及(因此)TFT之通道材料係包括一IGZO層,其具有1:1之鎵對銦比、大於1(例如,2:1、3:1、4:1、5:1、6:1、7:1、8:1、9:1、或10:1)之鎵對銦比、或小於1(例如,1:2、1:3、1:4、1:5、1:6、1:7、1:8、1:9、或1:10)之鎵對銦比。低銦含量IGZO可指稱具有比銦更多的鎵之IGZO(例如,具有大於1:1之鎵對銦比),且亦可被稱為高鎵含量IGZO。類似地,低鎵含量IGZO可指稱具有比鎵更多的銦之IGZO(例如,具有小於1:1之鎵對銦比),且亦可被稱為高銦含量IGZO。於另一實施例中,半導體氧化物材料302、406、456、506或606是或包括諸如以下的材料:氧化錫、氧化銻、氧化銦、氧化銦錫、氧化鈦、氧化鋅、氧化銦鋅、氧化鎵、氧氮化鈦、氧化釕、或氧化鎢。於一替代實施例中,多晶矽被使用為通道材料以取代半導體氧化物材料。於一實施例中,無論組成為何,通道材料具有介於5奈米與30奈米之間的厚度。
於一實施例中,半導體氧化物材料302、406、456、506或606係非晶、結晶、或半結晶氧化物半導體,諸如非晶、結晶、或半結晶氧化物半導體IGZO層。半導體氧化物材料302、406、456、506或606可使用低溫沈積製程(諸如物理氣相沈積(PVD)(例如,濺射)、原子層沈積(ALD)、或化學氣相沈積(CVD))來形成。以可與後段製程相容之足夠低的溫度來沈積半導體氧化物材料302、406、456、506或606之能力代表一特別的優點。半導體氧化物材料302、406、456、506或606可被沈積在側壁上或可順應任何所欲的結構達一精確厚度,其允許具有任何所欲幾何之電晶體的製造。
於一實施例中,閘極電極304、408、458、508或608包括至少一個P型工作函數金屬或N型工作函數金屬,取決於積體電路裝置300、400、450、470、500或600將被包括在P型電晶體或N型電晶體中。針對P型電晶體,可用於閘極電極304、408、458、508或608之金屬可包括(但不限定於)釕、鈀、鉑、鈷、鎳、及導電金屬氧化物(例如,氧化釕)。針對N型電晶體,可用於閘極電極304、408、458、508或608之金屬包括(但不限定於)鉿、鋯、鈦、鉭、鋁、這些金屬之合金、及這些金屬之碳化物(例如,碳化鉿、碳化鋯、碳化鈦、碳化鉭、及碳化鋁)。於一些實施例中,閘極電極包括二或更多金屬層之堆疊,其中一或更多金屬層為工作函數金屬層且至少一金屬層為填充金屬層。進一步金屬層可被包括以供其他目的,諸如作用為障壁層。在一些實施方式中,閘極電極304、408、458、508或608可包括「U」狀結構,其包括實質上平行於基材之表面的底部部分及實質上垂直於基材之頂部表面的兩側壁部分。於另一實施方式中,形成閘極電極之金屬層的至少一者可僅為平面層,其係實質上平行於基材之頂部表面而不包括實質上垂直於基材之頂部表面的側壁部分。於本發明之進一步實施方式中,閘極電極可包括U狀結構及平面、非U狀結構之組合。例如,閘極電極可包括一或更多U狀金屬層,其係形成於一或更多平面、非U狀層之頂部上。
於一實施例中,閘極電介質層306、414、464、514或614係由高k材料所組成。例如,於一實施例中,閘極電介質層306、414、464、514或614係由一種材料所組成,諸如(但不限定於)氧化鉿、氧氮化鉿、矽酸鉿、氧化鑭、氧化鋯、矽酸鋯、氧化鉭、鈦酸鋇鍶、鈦酸鋇、鈦酸鍶、氧化釔、氧化鋁、氧化鉛鈧鉭、鈮酸鉛鋅、或其組合。在一些實施方式中,閘極電介質306、414、464、514或614可包括「U」狀結構,其包括實質上平行於基材之表面的底部部分及實質上垂直於基材之頂部表面的兩側壁部分,如圖4C及4E中所描繪。
於一些實施例中,半導體氧化物材料302、406、456、506或606係分別地與閘極電介質層306、414、464、514或614接觸,一種配置可使IGZO層與高k金屬氧化物層接觸。於其他實施例中,一中間材料被配置在半導體氧化物材料302、406、456、506或606與閘極電介質層306、414、464、514或614之間。於一些實施例中,IGZO層包括具有不同材料性質之IGZO的多數區。例如,IGZO層可包括接近於(例如,接觸與)高k閘極電介質層之低銦含量IGZO、及接近於(例如,接觸與)較高移動率半導體氧化物通道材料之高銦含量IGZO。高銦含量IGZO可提供較高的移動率及較差的介面性質(相對於低銦含量IGZO),而低銦含量IGZO可提供較寬的帶隙、較低的閘極洩漏、及較佳的介面性質、雖然有較低的移動率(相對於高銦含量IGZO)。
於一實施例中,電介質間隔物472被形成自一種材料,諸如氮化矽、氧化矽、碳化矽、摻雜碳之氮化矽、及氧氮化矽。用以形成側壁間隔物之程序為本技術中眾所周知的,且通常包括沈積及蝕刻製程步驟。於某些實施例中,複數間隔物對可被使用。例如,兩對、三對、或四對側壁間隔物可被形成在閘極電極472之相對側上。
於一實施例中,導電接點308、474、554或654作用為通至TFT之源極/汲極區的接點、或直接作用為TFT之源極/汲極區。導電接點308、474、554或654可由一距離來分隔開,該距離係電晶體300、400、450、470、500或600之閘極長度。於一實施例中,導電接點558或658直接接觸閘極電極。於一些實施例中,閘極長度係介於7與30奈米之間。於一實施例中,導電接點308、474、554、558、654或658包括金屬及/或金屬合金之一或更多層,其範例係與圖3A相關聯而描述於上。
於一實施例中,互連線(及,可能地,下方或上方通孔結構),諸如文中所述之互連線312(及上方通孔314)、556、560、656或660,係由一或更多金屬或含金屬導電結構所組成。導電互連線有時亦(於本技術中)被稱為軌線、佈線、線、金屬、互連線、或僅稱為互連。於特定實施例中,互連線之各者包括障壁層及導電填充材料。於一實施例中,障壁層係由金屬氮化物材料(諸如氮化鉭或氮化鈦)所組成。於一實施例中,導電填充材料係由導電材料所組成,諸如(但不限定於)Cu、Al、Ti、Zr、Hf、V、Ru、Co、Ni、Pd、Pt、W、Ag、Au或其合金。
文中所述之互連線可被製造為光柵結構,其中術語「光柵」在文中被用以指稱緊密節距光柵結構。於此一實施例中,緊密節距無法直接透過傳統微影來獲得。例如,根據傳統微影之圖案可首先被形成,但該節距可藉由使用間隔物遮罩圖案化而被減半,如本技術中所已知者。甚至,原始節距可藉由第二輪間隔物遮罩圖案化而被減為四分之一。因此,文中所述之光柵狀圖案可具有以恆定節距來分隔並具有恆定寬度之導電線。圖案可藉由節距減半或節距減為四分之一(或其他節距分割)方式來製造。
於一實施例中,文中所述之ILD材料(諸如ILD材料550或650)係由(或包括)電介質或絕緣材料之層所組成。適當的電介質材料之範例包括(但不限定於)矽之氧化物(例如,二氧化矽(SiO2 ))、摻雜的矽之氧化物、經氟化矽之氧化物、碳摻雜的矽之氧化物、本技術中所已知的低k電介質材料、以及其組合。此層間電介質材料可由傳統技術來形成,諸如(例如)化學氣相沈積(CVD)、物理氣相沈積(PVD)、或藉由其他沈積方法。
於一態樣中,閘極電極和閘極電介質層(例如,閘極電極304、408、458、508或608和閘極電介質層306、414、464、514或614)可由一種取代閘極製程來製造。於此一方案中,諸如多晶矽或氮化矽柱材料等虛擬閘極材料可被移除並取代以永久閘極電極材料。於一此類實施例中,永久閘極電介質層亦被形成於此製程中,不同於被完成自較早的處理。於一實施例中,虛擬閘極係藉由乾式蝕刻或濕式蝕刻製程而被移除。於一實施例中,虛擬閘極係由多晶矽或非晶矽所組成並以包括SF6 之使用的乾式蝕刻製程來移除。於一實施例中,虛擬閘極係由多晶矽或非晶矽所組成並以包括水性NH4 OH或氫氧化四甲銨之使用的濕式蝕刻製程來移除。於一實施例中,虛擬閘極係由氮化矽所組成並以包括水性磷酸之濕式蝕刻製程來移除。
於一實施例中,文中所述之一或更多方式係基本上考量一種虛擬及取代閘極製程,結合虛擬及取代接點製程,以獲得文中所述之結構。於一此類實施例中,取代接點製程被執行在取代閘極製程之後,以容許永久閘極堆疊之至少一部分的高溫退火。例如,於特定此類實施例中,永久閘極結構之至少一部分的退火例如,在閘極電介質層被形成之後。退火被履行在永久接點之形成以前。
應理解:並非上述製程之所有態樣均需被實行以落入本發明之實施例的精神及範圍內。例如,於一實施例中,虛擬閘極無須曾被形成在製造閘極接點於閘極堆疊的主動部分之上以前。上述閘極堆疊可實際上為永久閘極堆疊,如一開始所形成者。同時,文中所述之製程可被用以製造一或複數半導體裝置。一或更多實施例可特別有用於製造半導體裝置,在10奈米(10nm)或更小的科技節點上。
於一實施例中,如亦遍及本說明書所使用,微影操作係使用193nm浸入式微影(i193)、極紫外線(EUV)及/或電子束直接寫入(EBDW)微影等等來履行。正色調或負色調抗蝕劑可被使用。於一實施例中,微影遮罩是一種由地形遮蔽部分、抗反射塗層(ARC)、及光抗蝕劑層所組成的三層遮罩。於一特定此類實施例中,地形遮蔽部分為碳硬遮罩(CHM)層而抗反射塗層為矽ARC層。
於另一態樣中,薄膜電晶體(TFT)之性能可取決於TFT中之組件的載體移動率。例如,具有較高載體移動率的材料致能載體比具有較低載體移動率的材料更快速地移動,回應於一既定電場。因此,高載體移動率可與增進的性能相關。雖然於上顯示並描述為單一半導體氧化物層,依據文中所述之實施例,半導體氧化物之層(諸如IGZO之層)係介於高k閘極電介質材料與較高移動率半導體氧化物通道材料之間。雖然IGZO具有相對低的移動率(約10cm2 /V-s),但IGZO之次臨限擺動可接近於傳統理論下限。於一些實施例中,IGZO之薄層可直接圍住選定的通道材料,且可夾置於通道材料與高k電介質之間。在閘極堆疊與通道之間的介面處使用IGZO可獲得許多優點之一或更多者。例如,IGZO介面可具有相對少數的介面陷阱、缺點,載體在該等處被捕捉並釋放,其係妨礙性能。包括IGZO層為第二半導體氧化物材料之TFT可展現所欲地低的閘極洩漏。當IGZO被使用為與非IGZO半導體氧化物通道材料(例如,具有比IGZO更高移動率的薄膜氧化物半導體材料)之介面時,較高移動率通道材料之優點可與由IGZO所提供之良好閘極氧化物介面性質同時地實現。依據文中所述之一或更多實施例,基於雙半導體氧化物層通道之閘極-通道配置係致能薄膜電晶體通道材料之較寬陣列的使用,而同時獲得所欲的閘極控制,相較於使用傳統方法所可實現者。
於一實施例中,在第一TFT材料周圍添加第二薄膜半導體可提供移動率提升、增進的短通道效應(SCE)之一或更多者,特別假如所有導電均發生在第二材料中的話。第二TFT材料可被選擇用於強氧鍵結能力,以在當暴露至下游處理時穩定該TFT。依據一實施例,較高移動率半導體氧化物材料被有效地包覆在較低移動率材料半導體氧化物(其係更氧穩定的)中。所得的結構可藉由具有高度穩定的外材料以限制對於內TFT材料之下游高溫處理操作或積極操作的負效應。可被選以同時地最大化穩定性及移動率之增加的材料集合可使用此一雙材料架構來獲得。
於另一態樣中,2D結晶襯裡被包括在閘極電極結構中,例如,當作閘極電極結構之工作函數層。當作如通過單一閘極線所取之已完成裝置的範例,圖8A繪示一非平面半導體裝置的橫斷面視圖,依據本發明之實施例。圖8B闡明沿著圖8A之半導體裝置的a-a'軸所取的平面視圖,依據本發明之實施例。雖然僅顯示一個閘極線,應理解:複數閘極線可被包括在積體電路結構中。
參考圖8A,半導體結構或裝置800包括從基材802所形成(且於隔離區806內)之非平面主動區(例如,包括突出鰭片部分804及子鰭片區805之鰭片結構)。閘極線808被配置於非平面主動區之突出部分804上方以及於隔離區806之一部分上方。如圖所示,閘極線808包括閘極電極850及閘極電介質層852。於一實施例中,閘極線808亦可包括電介質蓋層854。閘極接點814、及上方閘極接點通孔816亦從此透視圖看出,連同上方金屬互連860,其均被配置於層間電介質堆疊或層870中。閘極接點814係形成於電介質蓋層854中所形成的開口中。亦從圖8A之透視圖看出,閘極接點814(於一實施例中)被配置於隔離區806上方,但不是於非平面主動區上方。於其他實施例中,閘極接點814被配置在非平面主動區上方。
於一實施例中,閘極電極850包括導電填充材料及二維(2D)結晶襯裡。2D結晶襯裡係與導電填充材料直接接觸。2D結晶襯裡包括如導電填充材料之相同金屬物種。於一此類實施例中,2D結晶襯裡具有與導電填充材料不同的工作函數。於特定此類實施例中,2D結晶襯裡係閘極電極850之工作函數設定層。於一實施例中,2D結晶襯裡係單層。於一實施例中,2D結晶襯裡具有少於5奈米之厚度。於一實施例中,金屬物種係銅。於一實施例中,金屬物種係鈷。於一實施例中,金屬物種係鎢。
參考圖8B,閘極線808被顯示為配置於突出鰭片部分804上方。突出鰭片部分804之源極和汲極區804A和804B可從此透視圖看出。於一實施例中,源極和汲極區804A和804B為突出鰭片部分804之原始材料的摻雜部分。於另一實施例中,突出鰭片部分804之材料被移除並取代以另一半導體材料,例如藉由外延沈積。於任一情況下,源極和汲極區804A和804B可延伸於電介質層806之高度底下,亦即,進入子鰭片區805。
於一實施例中,半導體結構或裝置800為非平面裝置,諸如(但不限定於)fin-FET或三閘極裝置。於此一實施例中,相應的半導體通道區係由三維主體所組成或者被形成為三維主體。於一此類實施例中,閘極線808之閘極電極堆疊係圍繞三維主體之至少頂部表面及一對側壁。
再次參考圖8A,半導體結構或裝置800之配置係將閘極接點置於隔離區上方。此一配置可被視為佈局空間之無效率使用。然而,於另一實施例中,半導體裝置具有接點結構,其係接觸一主動區上方所形成的閘極電極之部分。通常,在形成閘極接點結構(諸如通孔)於閘極的主動部分上方以及於如溝槽接點通孔的相同層之中以前(例如,除此之外),本發明之一或更多實施例包括首先使用閘極對準的溝槽接點製程。此一製程可被實施以形成溝槽接點結構以供半導體結構製造,例如,針對積體電路製造。於一實施例中,溝槽接點圖案被形成為對準現存的閘極圖案。反之,傳統方式通常涉及一額外的微影製程,具有一微影接點圖案緊密對齊至現存的閘極圖案,結合選擇性接點蝕刻。例如,傳統製程可包括具有接點特徵之分離圖案化的多晶(閘極)柵格之圖案化。
於另一態樣中,描述用於鈍化互連之薄膜晶體形成。為了提供背景,圖9繪示一埋入式通道結構之形成的橫斷面視圖。
參考圖9之部分(a),電介質層902是在基材900之上。主動通道904是在電介質層902中。導電接點906(諸如銅接點)被耦合至主動通道904。
參考圖9之部分(b),於圖9之部分(a)的結構之氫或氧退火時,如由圖9之部分(b)的箭號910所表示,主動通道區904可變為具有受損區905在其中的受損主動區904A。
參考圖9,埋入式主動通道(例如,半導體通道)可在當經歷由於沿著一接觸側壁而擴散的H或O2 所致之各種段線退火時被降低,導致受損的埋入式主動通道材料。可能不實際的是:實現可重複的完美Cu填充,其產生與側壁之完美密封,其可防止H或O2 擴散。
相對於圖9,圖10繪示一種具有保護性自形成障壁之埋入式通道結構的形成之橫斷面視圖,依據本發明之實施例。
參考圖10之部分(a),電介質層1002是在基材1000之上。主動通道1004是在電介質層1002中。導電接點1006(諸如銅接點)被耦合至主動通道1004。
參考圖10之部分(b),諸如形成氣體退火之處置被用以形成頂部2D晶體層1006B及剩餘的銅填充1006A。
參考圖10之部分(c),於圖10之部分(b)的結構之氫或氧退火期間,如由圖10之部分(c)的箭號1010所表示,主動通道區1004被保護不受頂部2D晶體層1006B損害。
參考圖10,頂部2D晶體層1006B係原子地薄並可防止元素之擴散入主動通道1004。頂部2D晶體層1006B可藉由處置填充材料1006或藉由在與BEOL處理相容的溫度沈積來形成。再者,此一頂部2D晶體層1006B可藉由沿著接點1006之側壁填充空白區而有效地擴張。此一原子地薄障壁可使用Cu區之頂部而被生長(例如,藉由自鈍化)。晶體擴張(例如,非晶至結晶)隔離任何間隙的以提供高品質鈍化及/或密封。
於另一態樣中,文中所述之積體電路結構可被包括在電子裝置中。當作可包括文中所揭露之TFT的一或更多者之設備的第一範例,圖11A及11B為包括具有無襯裡自形成障壁之一或更多薄膜電晶體的晶圓及晶粒之頂部視圖,依據文中所揭露之任何實施例。
參考圖11A及11B,晶圓1100可由半導體材料所組成且可包括一或更多晶粒1102,其具有在晶圓1100之表面上所形成的積體電路(IC)結構。晶粒1102之各者可為包括任何適當IC(例如,包括一或更多結構之IC,諸如結構300、400、450、470、500或600)之半導體產品的重複單元。在半導體產品之製造完成後(例如,在結構300、400、450、470、500或600之製造後),晶圓1100可經歷一種單片化製程,其中晶粒1102之各者被彼此分離以提供半導體產品之離散「晶片」。特別地,包括如文中所述之TFT的裝置可具有晶圓1100之形式(例如,非單片化)或晶粒1102之形式(例如,單片化)。晶粒1102可包括一或更多電晶體及/或支援電路,用以將電信號發送至電晶體、以及任何其他IC組件。於一些實施例中,晶圓1100或晶粒1102可包括記憶體裝置(例如,靜態隨機存取記憶體(SRAM)裝置)、邏輯裝置(例如,AND、OR、NAND、或NOR閘)、或任何其他適當電路元件。這些裝置之多者可被結合在單一晶粒1102上。例如,由多數記憶體裝置所形成的記憶體陣列可被形成在相同晶粒1102上而成為處理裝置或其他邏輯,其被組態成儲存記憶體裝置中之資訊或執行記憶體陣列中所儲存的指令。
圖12為包括具有無襯裡自形成障壁之一或更多薄膜電晶體的積體電路(IC)裝置之橫斷面側視圖,依據文中所揭露之實施例的一或更多者。
參考圖12,IC裝置1200被形成在基材1202(例如,圖11A之晶圓1100)上且可被包括在晶粒(例如,圖11B之晶粒1102)中,其可被單片化或包括在晶圓中。雖然其可用來形成基材1202之材料的一些範例係與基材402、452、502、602、700或ILD層320底下之基材相關聯而被描述於此,但其可作用為IC裝置1200之基礎的任何材料均可被使用。
IC裝置1200可包括配置在基材1202上之一或更多裝置層,諸如裝置層1204。裝置層1204可包括形成在基材1202上之一或更多電晶體1240(例如,上述TFT)的特徵。裝置層1204可包括(例如)一或更多源極及/或汲極(S/D)區1220、用以控制介於S/D區1220間之電晶體1240中的電流之閘極1222、及用以將電信號發送至/自S/D區1220之一或更多S/D接點1224。電晶體1240可包括為了簡潔之目的而未描繪出之額外特徵,諸如裝置隔離區、閘極接點,等等。電晶體1240不限於圖12中所描繪的類型及組態且可包括多種其他類型及組態,諸如(例如)平面電晶體、非平面電晶體、或兩者之組合。非平面電晶體可包括Fin為基的電晶體(諸如雙閘極電晶體或三閘極電晶體)、及圍繞或包圍閘極電晶體(諸如奈米帶及奈米線電晶體)。特別地,電晶體1240之一或更多者具有電晶體300、400、450、470、500或600之形式。當用於嵌入式動態隨機存取記憶體(DRAM)電路、類比電路、邏輯電路、或記憶體電路之微處理器裝置的金屬層中時,薄膜電晶體(諸如300、400、450、470、500或600)可為特別有利的,且可連同現存的互補金氧半導體(CMOS)製程被形成。
電信號,諸如電力及/或輸入/輸出(I/O)信號,可透過一或更多配置於裝置層1204上之互連層(於圖12中繪示為互連層1206-1210)而被發送至及/或自裝置層1204之電晶體1240。例如,裝置層1204之導電特徵(例如,閘極1222及S/D接點1224)可被與互連層1206-1210之互連結構1228電耦合。一或更多互連層1206-1210可形成IC裝置1200之層間電介質(ILD)堆疊1219。
互連結構1228可被配置於互連層1206-1210內以依據多種設計來發送電信號(特別地,該配置不限於圖12中所描繪之互連結構1228的特定組態)。雖然特定數目的互連層1206-1210被描繪於圖12中,但本發明之實施例包括具有比所描繪者更多或更少互連層之IC裝置。
於某些實施例中,互連結構1228可包括填充有導電材料(諸如金屬)之溝槽結構1228a(有時稱為「線」)及/或通孔結構1228b。溝槽結構1228a可被配置以於一平面之方向發送電信號,該平面係實質上與基材1202(於其上形成裝置層1204)之表面平行。例如,溝槽結構1228a可於入及出頁面(從圖12之觀點)之方向發送電信號。通孔結構1228b可被配置以於一平面之方向發送電信號,該平面係實質上與基材1202(於其上形成裝置層1204)之表面垂直。於一些實施例中,通孔結構1228b可將不同互連層1206-1210之溝槽結構1228a電耦合在一起。
互連層1206-1210可包括配置於互連結構1228之間的電介質材料1226,如圖12中所示。於一些實施例中,配置於不同互連層1206-1210的互連結構1228之間的電介質材料1226可具有不同的組成;於其他實施例中,介於不同互連層1206-1210之間的電介質材料1226之組成可為相同的。於任一情況下,此類電介質材料可被稱為層間電介質(ILD)材料。
第一互連層1206(稱為金屬1或「M1」)可被形成直接於裝置層1204上。於一些實施例中,第一互連層1206可包括溝槽結構1228a及/或通孔結構1228b,如圖所示。第一互連層1206之溝槽結構1228a可與裝置層1204之接點(例如,S/D接點1224)耦合。
第二互連層1208(稱為金屬2或「M2」)可被形成直接於第一互連層1206上。於一些實施例中,第二互連層1208可包括通孔結構1228b,用以將第二互連層1208之溝槽結構1228a與第一互連層1206之溝槽結構1228a耦合。雖然溝槽結構1228a及通孔結構1228b係以各互連層內(例如,第二互連層1208內)之線來結構上描繪(為了簡潔的緣故),但溝槽結構1228a及通孔結構1228b可為結構上及/或材料上相連的(例如,於雙金屬鑲嵌製程期間同時地填充),於一些實施例中。
第三互連層1210(稱為金屬3或「M3」)(及額外互連層,如所欲)可被依序形成在第二互連層1208上,依據相關於第二互連層1208或第一互連層1206所述的類似技術及組態。
IC裝置1200可包括焊料抗蝕劑材料1234(例如,聚醯亞胺或類似材料)以及形成在互連層1206-1210上之一或更多接合墊1236。接合墊1236可被與互連結構1228電耦合並組態成將電晶體1240之電信號發送至其他外部裝置。例如,焊料接合可被形成於一或更多接合墊1236上以將包括IC裝置1200之晶片機械地及/或電地耦合至另一組件(例如,電路板)。IC裝置1200可具有其他替代組態以發送電信號自其他實施例中所描繪者以外的互連層1206-1210。例如,接合墊1236可被取代以或者可進一步包括其他類似特徵(例如,柱),其係將電信號發送至外部組件。
圖13為包括具有無襯裡自形成障壁之一或更多薄膜電晶體的積體電路(IC)裝置總成之橫斷面側視圖,依據文中所揭露之實施例的一或更多者。
參考圖13,IC裝置總成1300包括具有文中所述之一或更多積體電路結構的組件。IC裝置總成1300包括配置在電路板1302(其可為,例如,主機板)上之數個組件。IC裝置總成1300包括配置在電路板1302之第一面1340以及電路板1302之相對第二面1342上的組件。通常,組件可被配置在面1340及1342之一者或兩者上。特別地,IC裝置總成1300之任何適當者可包括文中所揭露的數個TFT結構300、400、450、470、500或600。
於一些實施例中,電路板1302可為一種印刷電路板(PCB),其包括藉由電介質材料之層而彼此分離且藉由導電通孔而互連的多數金屬層。金屬層之任何一或更多者可被形成以所欲的電路型態來發送電信號(選擇性地配合其他金屬層)於其耦合至電路板1302的組件之間。於其他實施例中,電路板1302可為非PCB基材。
圖13中所繪示的IC裝置總成1300包括中介層上封裝(package-on-interposer)結構1336,其係藉由耦合組件1316而被耦合至電路板1302之第一面1340。耦合組件1316可電地且機械地耦合中介層上封裝結構1336至電路板1302,且可包括焊球(如圖13中所示)、插座之公和母部分、黏著劑、下填材料、及/或任何其他適當的電及/或機械耦合結構。
中介層上封裝結構1336可包括IC封裝1320,其係藉由耦合組件1318而被耦合至中介層1304。耦合組件1318可具有針對應用之任何適當的形式,諸如以上參考耦合組件1316所討論的形式。雖然圖13係顯示單一IC封裝1320,但多數IC封裝可被耦合至中介層1304。應理解,額外的中介層可被耦合至中介層1304。中介層1304可提供用以橋接電路板1302與IC封裝1320之中間基材。IC封裝1320可為或包括(例如)晶粒(圖11B之晶粒1102)、IC裝置(例如,圖12之IC裝置1200)、或任何其他適當的組件。通常,中介層1304可將連接延伸至較寬的節距或者將連接重新路由至不同連接。例如,中介層1304可將IC封裝1320(例如,晶粒)耦合至耦合組件1316之一球柵陣列(BGA),以供耦合至電路板1302。於圖13中所示之實施例中,IC封裝1320及電路板1302被安裝至中介層1304之相反側。於其他實施例中,IC封裝1320及電路板1302可被安裝至中介層1304之相同側。於一些實施例中,三或更多組件係經由中介層1304而被互連。
中介層1304可由以下所形成:環氧樹脂、玻璃纖維強化環氧樹脂、陶瓷材料、或聚合物材料(諸如聚醯亞胺)。在一些實施方式中,中介層1304可被形成以替代的堅硬或彈性材料,其可包括用於半導體基材之上述的相同材料,諸如矽、鍺、及其他III-V族或IV族材料。中介層1304可包括金屬互連1308及通孔1310,包括(但不限定於)穿越矽通孔(TSV)1306。中介層1304可進一步包括嵌入式裝置1314,包括被動和主動裝置兩者。此等裝置可包括(但不限定於)電容、解耦電容、電阻、電感、熔絲、二極體、變壓器、感應器、靜電放電(ESD)裝置、及記憶體裝置。諸如射頻(RF)裝置、功率放大器、功率管理裝置、天線、陣列、感應器、及微電機系統(MEMS)裝置等更複雜的裝置亦可被形成於中介層1304上。中介層上封裝結構1336可具有本技術中所已知的任何中介層上封裝的形式。
IC裝置總成1300包括IC封裝1324,其係藉由耦合組件1322而被耦合至電路板1302之第一面1340。耦合組件1322可具有以上參考耦合組件1316所討論之任何實施例的形式,而IC封裝1324可具有以上參考IC封裝1320所討論之任何實施例的形式。
圖13中所繪示的IC裝置總成1300包括堆疊式封裝(package-on-package)結構1334,其係藉由耦合組件1328而被耦合至電路板1302之第二面1342。堆疊式封裝結構1334可包括藉由耦合組件1330而被耦合在一起的IC封裝1326及IC封裝1332,以致其IC封裝1326被配置在電路板1302與IC封裝1332之間。耦合組件1328和1330可具有以上所討論之耦合組件1316的任何實施例之形式,而IC封裝1326和1332可具有以上所討論之IC封裝1320的任何實施例之形式。堆疊式封裝結構1334可依據本技術中所已知的任何堆疊式封裝結構而被組態。
文中所揭露之實施例可被用以製造多種不同類型的積體電路及/或微電子裝置。此類積體電路之範例包括(但不限定於)處理器、晶片組組件、圖形處理器、數位信號處理器、微控制器,等等。於其他實施例中,半導體記憶體可被製造。此外,積體電路或其他微電子裝置可被用於本技術中所已知的多種電子裝置。例如,於電腦系統(例如,桌上型、膝上型、伺服器)、行動電話、個人電子裝置,等等。積體電路可被耦合與系統中之匯流排或其他組件。例如,處理器可藉由一或更多匯流排而被耦合至記憶體、晶片組,等等。每一處理器、記憶體、晶片組可潛在地使用文中所揭露之方式來製造。
圖14闡明一計算裝置1400,依據本發明之一實施方式。計算裝置1400含有電路板1402。電路板1402可包括數個組件,包括(但不限定於)處理器1404及至少一通訊晶片1406。處理器1404被實體地及電地耦合至電路板1402。於某些實施方式中,至少一通訊晶片1406亦被實體地及電地耦合至電路板1402。於進一步實施方式中,通訊晶片1406為處理器1404之部分。
根據其應用,計算裝置1400可包括其他組件,其可被或可不被實體地及電地耦合至電路板1402。這些其他組件包括(但不限定於)揮發性記憶體(例如,DRAM)、非揮發性記憶體(例如,ROM)、快閃記憶體、圖形處理器、數位信號處理器、密碼處理器、晶片組、天線、顯示器、觸控螢幕顯示器、觸控螢幕控制器、電池、音頻編碼解碼器、視頻編碼解碼器、功率放大器、全球定位系統(GPS)裝置、羅盤、加速計、迴轉儀、揚聲器、相機、及大量儲存裝置(諸如硬碟機、光碟(CD)、數位光碟(DVD),等等)。
通訊晶片1406致能無線通訊,以供資料之轉移至及自計算裝置1400。術語「無線」及其衍生詞可被用以描述電路、裝置、系統、方法、技術、通訊頻道,等等,其可經由使用透過非固體媒體之經調變的電磁輻射來傳遞資料。該術語並未暗示其相關裝置不含有任何佈線,雖然於某些實施例中其可能不含有。通訊晶片1406可實施數種無線標準或協定之任一者,包括(但不限定於)Wi-Fi(IEEE 802.11家族)、WiMAX(IEEE 802.16家族)、IEEE 802.20、長期演進(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、藍牙、其衍生物,以及其被指定為3G、4G、5G、及以上的任何其他無線協定。計算裝置1400可包括複數通訊晶片1406。例如,第一通訊晶片1406可專用於較短距離無線通訊,諸如Wi-Fi及藍牙;而第二通訊晶片1406可專用於較長距離無線通訊,諸如GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO及其他。
計算裝置1400之處理器1404包括封裝於處理器1404內之積體電路晶粒。於本發明之一些實施方式中,處理器之積體電路晶粒包括一或更多具有無襯裡自形成障壁之薄膜電晶體,依據本發明之實施例的實施方式。術語「處理器」可指稱任何裝置或裝置之部分,其處理來自暫存器及/或記憶體之電子資料以將該電子資料轉變為其可被儲存於暫存器及/或記憶體中之其他電子資料。
通訊晶片1406亦包括封裝於通訊晶片1406內之積體電路晶粒。依據本發明之實施例的另一實施方式,通訊晶片之積體電路晶粒包括一或更多具有無襯裡自形成障壁之薄膜電晶體,依據本發明之實施例的實施方式。
於進一步實施方式中,計算裝置1400內所包括之另一組件可含有積體電路晶粒,其包括一或更多具有無襯裡自形成障壁之薄膜電晶體,依據本發明之實施例的實施方式。
於各種實施方式中,計算裝置1400可為膝上型電腦、小筆電、筆記型電腦、輕薄型筆電、智慧型手機、輸入板、個人數位助理(PDA)、超輕行動PC、行動電話、桌上型電腦、伺服器、印表機、掃描器、監視器、機上盒、娛樂控制單元、數位相機、可攜式音樂播放器、或數位錄影機。於進一步實施方式中,計算裝置1400可為處理資料之任何其他電子裝置。
因此,文中所述之實施例包括具有無襯裡自形成障壁之積體電路結構,及製造具有無襯裡自形成障壁之積體電路結構的方法。
闡明本發明的實施方式之上述描述(包括摘要中所述者)不是想要詳盡或者限制本發明於所揭露的精確形式。雖然本發明之特定實施方式(及範例)被描述於文中以供說明性目的,但於本發明之範圍內的各個同等修改是可能的,如那些熟悉相關技藝人士所將理解者。
可根據上述詳細描述以對本發明做出這些修改。以下申請專利範圍中所使用之術語不應被解讀為限制本發明於說明書及申請專利範圍中所揭露的特定實施方式。反之,本發明之範圍應完全由後附申請專利範圍所判定,該申請專利範圍應依據已建立的申請專利範圍解讀原理來解釋。
範例實施例1:一種積體電路結構包括一電介質材料於基材之上。一互連結構係在該電介質材料中之一溝槽中。該互連結構包括一導電填充材料及一二維(2D)結晶襯裡。該2D結晶襯裡係與該電介質材料以及與該導電填充材料直接接觸。2D結晶襯裡包括如導電填充材料之相同金屬物種。
範例實施例2:範例實施例1之積體電路結構,其中該2D結晶襯裡係一單層。
範例實施例3:範例實施例1或2之積體電路結構,其中該2D結晶襯裡具有小於5奈米之一厚度。
範例實施例4:範例實施例1、2或3之積體電路結構,其中該金屬物種係銅。
範例實施例5:範例實施例1、2或3之積體電路結構,其中該金屬物種係鈷。
範例實施例6:範例實施例1、2或3之積體電路結構,其中該金屬物種係鎢。
範例實施例7:範例實施例1、2、3、4、5或6之積體電路結構,其中該2D結晶襯裡係進一步在該互連結構之一頂部表面上。
範例實施例8:範例實施例1、2、3、4、5、6或7之積體電路結構,其中該互連結構包括一導電線在一導電通孔上。
範例實施例9:一種積體電路結構包括在一閘極電極上方之一半導體氧化物材料。一對導電接點係在該半導體氧化物材料之一第一區上,以該半導體氧化物材料之一第二區在該對導電接點之間。該對導電接點之各者包括一導電填充材料及一二維(2D)結晶襯裡。該2D結晶襯裡係與該半導體氧化物材料以及與該導電填充材料直接接觸。2D結晶襯裡包括如導電填充材料之相同金屬物種。
範例實施例10:範例實施例9之積體電路結構,其中該2D結晶襯裡係一單層。
範例實施例11:範例實施例9或10之積體電路結構,其中該2D結晶襯裡具有小於5奈米之一厚度。
範例實施例12:範例實施例9、10或11之積體電路結構,其中該金屬物種係銅。
範例實施例13:範例實施例9、10或11之積體電路結構,其中該金屬物種係鈷。
範例實施例14:範例實施例9、10或11之積體電路結構,其中該金屬物種係鎢。
範例實施例15:範例實施例9、10、11、12、13或14之積體電路結構,其中該半導體氧化物材料包括選自由以下所組成之群組的材料:氧化銦鎵鋅、氧化錫、氧化銻、氧化銦、氧化銦錫、氧化鈦、氧化鋅、氧化銦鋅、氧化鎵、氧氮化鈦、氧化釕及氧化鎢。
範例實施例16:範例實施例9、10、11、12、13、14或15之積體電路結構,進一步包括介於該閘極電極與該半導體氧化物材料之間的一閘極電介質層。
範例實施例17:範例實施例16之積體電路結構,其中該閘極電介質層包含直接在該半導體氧化物材料上之一高k電介質材料的一層。
範例實施例18:一種積體電路結構包括一半導體鰭片。一閘極電極係在該半導體鰭片上方。該閘極電極包括一導電填充材料及一二維(2D)結晶襯裡。2D結晶襯裡係與導電填充材料直接接觸。2D結晶襯裡包括如導電填充材料之相同金屬物種。該2D結晶襯裡具有與該導電填充材料不同的一工作函數。
範例實施例19:範例實施例18之積體電路結構,其中該2D結晶襯裡係一單層。
範例實施例20:範例實施例18或19之積體電路結構,其中該2D結晶襯裡具有小於5奈米之一厚度。
範例實施例21:範例實施例18、19或20之積體電路結構,其中該金屬物種係銅。
範例實施例22:範例實施例18、19或20之積體電路結構,其中該金屬物種係鈷。
範例實施例23:範例實施例18、19或20之積體電路結構,其中該金屬物種係鎢。
100:基材 102:ILD材料 104:導電線 106:導電通孔 108:襯裡 110:障壁 112:導電填充 150:基材 152:ILD材料 154,154A:導電線 156,156A:導電通孔 158:導電填充 158A:導電填充材料 160:二維(2D)結晶襯裡 300:積體電路結構 302:半導體氧化物材料 304:閘極電極 306:閘極電介質層 308:導電接點 308A:二維(2D)結晶襯裡 308B:導電填充材料 310:絕緣結構 311:隔離結構 312:導電線 314:蝕刻停止層 316:導電通孔 318:額外蝕刻停止層 320:層間電介質(ILD)層 350:佈局 352:薄膜電晶體陣列 354:邏輯區域 400:平面TFT 402:基材 404:絕緣層 406:通道材料 408:閘極電極 410:填充材料 412:工作函數層 414:閘極電介質層 416:區 450:非平面TFT 452:基材 454:絕緣層 455:電介質鰭片 456:半導體氧化物材料 458:閘極電極 460:填充材料 462:工作函數層 464:閘極電介質層 466:區 470:積體電路結構 472:第一及第二電介質間隔物 474:第一及第二導電接點 500:積體電路結構 502:基材 504:絕緣體層 506:半導體氧化物材料 508:閘極電極 514:閘極電介質層 550:絕緣體結構 552:第一溝槽 554:導電接點 556:導電線 558:第三導電接點 560:導電線 570:第二溝槽 572:第三溝槽 600:積體電路結構 602:基材 604:絕緣體層 606:半導體氧化物材料 608:閘極電極 614:閘極電介質層 650:絕緣體結構 652:第一溝槽 654:導電接點 656:導電線 658:第三導電接點 660:導電線 670:第二溝槽 672:第三溝槽 700:基材 702:絕緣層 704:堆疊 704':一次圖案化堆疊 704'':二次圖案化堆疊 704''':三次圖案化堆疊 704A,704B:電介質層 706:開口 708:波狀開口 710:導電接點 712:開口 800:半導體結構或裝置 802:基材 804:突出鰭片部分 804A,804B:源極和汲極區 805:子鰭片區 806:隔離區 808:閘極線 814:閘極接點 816:上方閘極接點通孔 850:閘極電極 852:閘極電介質層 854:電介質蓋層 860:上方金屬互連 870:層間電介質堆疊或層 900:基材 902:電介質層 904:主動通道 904A:受損主動區 905:受損區 906:導電接點 910:箭號 1000:基材 1002:電介質層 1004:主動通道 1006:導電接點 1006A:銅填充 1006B:頂部2D晶體層 1010:箭號 1100:晶圓 1102:晶粒 1200:IC裝置 1202:基材 1204:裝置層 1206:第一互連層 1208:第二互連層 1210:第三互連層 1219:層間電介質(ILD)堆疊 1220:源極及/或汲極(S/D)區 1222:閘極 1224:S/D接點 1226:電介質材料 1228:互連結構 1228a:溝槽結構 1228b:通孔結構 1234:焊料抗蝕劑材料 1236:接合墊 1240:電晶體 1300:IC裝置總成 1302:電路板 1304:中介層 1306:穿越矽通孔(TSV) 1308:金屬互連 1310:通孔 1314:嵌入式裝置 1316:耦合組件 1318:耦合組件 1320:IC封裝 1322:耦合組件 1324:IC封裝 1326:IC封裝 1328:耦合組件 1330:耦合組件 1332:IC封裝 1334:堆疊式封裝結構 1336:中介層上封裝結構 1340:第一面 1342:第二面 1400:計算裝置 1402:電路板 1404:處理器 1406:通訊晶片
[圖1]繪示互連結構之橫斷面視圖。
[圖2]繪示一種具有無襯裡自形成障壁之互連結構的形成之橫斷面視圖,依據本發明之實施例。
[圖3A]繪示一種具有無襯裡自形成障壁之薄膜積體電路結構的橫斷面圖,依據本發明之實施例。
[圖3B]繪示一種具有無襯裡自形成障壁之薄膜積體電路結構的陣列之斜角剖視圖,該陣列係鄰接一邏輯區域,依據本發明之實施例。
[圖4A]繪示沿著一種具有無襯裡自形成障壁之平面薄膜積體電路結構的閘極「寬度」所取的橫斷面視圖,依據本發明之實施例。
[圖4B]繪示沿著一種具有相對增加寬度及無襯裡自形成障壁之薄膜積體電路結構的閘極「寬度」所取的橫斷面視圖,依據本發明之實施例。
[圖4C、4D、及4E]繪示一種具有相對增加寬度及無襯裡自形成障壁之薄膜積體電路結構的斜角和直接橫斷面視圖,依據本發明之實施例。
[圖5A]繪示另一具有相對增加寬度及無襯裡自形成障壁之薄膜積體電路結構的斜角三維視圖,依據本發明之另一實施例。
[圖5B]繪示圖5A之薄膜積體電路結構的一部分之由上而下視圖,依據本發明之另一實施例。
[圖6]繪示另一具有相對增加寬度及無襯裡自形成障壁之薄膜積體電路結構的斜角三維視圖,依據本發明之另一實施例。
[圖7A-7H]繪示在一種製造具有相對增加寬度及無襯裡自形成障壁之薄膜積體電路結構的方法中之各個階段的橫斷面及平面視圖,依據本發明之實施例。
[圖8A]闡明一非平面半導體裝置的橫斷面視圖,依據本發明之實施例。
[圖8B]闡明沿著圖8A之半導體裝置的a-a’軸所取的平面視圖,依據本發明之實施例。
[圖9]繪示一埋入式通道結構之形成的橫斷面視圖。
[圖10]繪示一種具有保護性自形成障壁之埋入式通道結構的形成之橫斷面視圖,依據本發明之實施例。
[圖11A及11B]為包括具有無襯裡自形成障壁之一或更多薄膜電晶體的晶圓及晶粒之頂部視圖,依據文中所揭露之實施例的一或更多者。
[圖12]為包括具有無襯裡自形成障壁之一或更多薄膜電晶體的積體電路(IC)裝置之橫斷面側視圖,依據文中所揭露之實施例的一或更多者。
[圖13]為包括具有無襯裡自形成障壁之一或更多薄膜電晶體的積體電路(IC)裝置總成之橫斷面側視圖,依據文中所揭露之實施例的一或更多者。
[圖14]繪示一計算裝置,依據本發明之實施例的一實施方式。
100:基材
102:ILD材料
104:導電線
106:導電通孔
108:襯裡
110:障壁
112:導電填充

Claims (23)

  1. 一種積體電路結構,包含: 在一基材之上的一電介質材料;及 在該電介質材料中之一溝槽中的一互連結構,該互連結構包含一導電填充材料及一二維(2D)結晶襯裡,該2D結晶襯裡係與該電介質材料以及與該導電填充材料直接接觸,及該2D結晶襯裡包含如該導電填充材料之一相同金屬物種。
  2. 如請求項1之積體電路結構,其中該2D結晶襯裡係一單層。
  3. 如請求項1之積體電路結構,其中該2D結晶襯裡具有小於5奈米之一厚度。
  4. 如請求項1之積體電路結構,其中該金屬物種係銅。
  5. 如請求項1之積體電路結構,其中該金屬物種係鈷。
  6. 如請求項1之積體電路結構,其中該金屬物種係鎢。
  7. 如請求項1之積體電路結構,其中該2D結晶襯裡係進一步在該互連結構之一頂部表面上。
  8. 如請求項1之積體電路結構,其中該互連結構包含在一導電通孔上之一導電線。
  9. 一種積體電路結構,包含: 在一閘極電極上方之一半導體氧化物材料; 在該半導體氧化物材料之一第一區上的一對導電接點,以該半導體氧化物材料之一第二區在該對導電接點之間,其中該對導電接點之各者包含一導電填充材料及一二維(2D)結晶襯裡,該2D結晶襯裡係與該半導體氧化物材料以及與該導電填充材料直接接觸,及該2D結晶襯裡包含如該導電填充材料之一相同金屬物種。
  10. 如請求項9之積體電路結構,其中該2D結晶襯裡係一單層。
  11. 如請求項9之積體電路結構,其中該2D結晶襯裡具有小於5奈米之一厚度。
  12. 如請求項9之積體電路結構,其中該金屬物種係銅。
  13. 如請求項9之積體電路結構,其中該金屬物種係鈷。
  14. 如請求項9之積體電路結構,其中該金屬物種係鎢。
  15. 如請求項9之積體電路結構,其中該半導體氧化物材料包含選自由以下所組成之群組的材料:氧化銦鎵鋅、氧化錫、氧化銻、氧化銦、氧化銦錫、氧化鈦、氧化鋅、氧化銦鋅、氧化鎵、氧氮化鈦、氧化釕及氧化鎢。
  16. 如請求項9之積體電路結構,進一步包含: 介於該閘極電極與該半導體氧化物材料之間的一閘極電介質層。
  17. 如請求項16之積體電路結構,其中該閘極電介質層包含直接在該半導體氧化物材料上之一高k電介質材料的一層。
  18. 一種積體電路結構,包含: 一半導體鰭片;及 在該半導體鰭片上方之一閘極電極,該閘極電極包含一導電填充材料及一二維(2D)結晶襯裡,該2D結晶襯裡係與該導電填充材料直接接觸,該2D結晶襯裡包含如該導電填充材料之一相同金屬物種,及該2D結晶襯裡具有與該導電填充材料不同的一工作函數。
  19. 如請求項18之積體電路結構,其中該2D結晶襯裡係一單層。
  20. 如請求項18之積體電路結構,其中該2D結晶襯裡具有小於5奈米之一厚度。
  21. 如請求項18之積體電路結構,其中該金屬物種係銅。
  22. 如請求項18之積體電路結構,其中該金屬物種係鈷。
  23. 如請求項18之積體電路結構,其中該金屬物種係鎢。
TW109120605A 2019-09-24 2020-06-18 具有無襯裡自形成障壁的積體電路結構 TW202114232A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/580,149 2019-09-24
US16/580,149 US20210090991A1 (en) 2019-09-24 2019-09-24 Integrated circuit structures having linerless self-forming barriers

Publications (1)

Publication Number Publication Date
TW202114232A true TW202114232A (zh) 2021-04-01

Family

ID=74846089

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109120605A TW202114232A (zh) 2019-09-24 2020-06-18 具有無襯裡自形成障壁的積體電路結構

Country Status (6)

Country Link
US (1) US20210090991A1 (zh)
JP (1) JP2021052172A (zh)
KR (1) KR20210035732A (zh)
CN (1) CN112635435A (zh)
DE (1) DE102020120786B4 (zh)
TW (1) TW202114232A (zh)

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7319071B2 (en) * 2004-01-29 2008-01-15 Micron Technology, Inc. Methods for forming a metallic damascene structure
US20120070981A1 (en) 2010-09-17 2012-03-22 Clendenning Scott B Atomic layer deposition of a copper-containing seed layer
US9514983B2 (en) 2012-12-28 2016-12-06 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
US9048262B2 (en) * 2013-09-20 2015-06-02 International Business Machines Corporation Multi-fin finFETs with merged-fin source/drains and replacement gates
US9064865B2 (en) * 2013-10-11 2015-06-23 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming gate dielectric layer
US20150380296A1 (en) 2014-06-25 2015-12-31 Lam Research Corporation Cleaning of carbon-based contaminants in metal interconnects for interconnect capping applications
US20160079172A1 (en) * 2014-09-17 2016-03-17 International Business Machines Corporation Adhesion layer for interconnect structure
US9842938B2 (en) * 2015-03-24 2017-12-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and display device including semiconductor device
US10263114B2 (en) * 2016-03-04 2019-04-16 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, method for manufacturing the same, or display device including the same
KR101913394B1 (ko) * 2016-07-29 2018-10-31 삼성디스플레이 주식회사 표시 장치
US9741609B1 (en) 2016-11-01 2017-08-22 International Business Machines Corporation Middle of line cobalt interconnection
US10043708B2 (en) 2016-11-09 2018-08-07 Globalfoundries Inc. Structure and method for capping cobalt contacts
WO2018118092A1 (en) * 2016-12-23 2018-06-28 Intel Corporation Advanced lithography and self-assembled devices

Also Published As

Publication number Publication date
JP2021052172A (ja) 2021-04-01
KR20210035732A (ko) 2021-04-01
US20210090991A1 (en) 2021-03-25
DE102020120786B4 (de) 2022-08-11
DE102020120786A1 (de) 2021-03-25
CN112635435A (zh) 2021-04-09

Similar Documents

Publication Publication Date Title
US11721735B2 (en) Thin film transistors having U-shaped features
TW202101733A (zh) 具有閘極或接點插塞之自對準閘極端蓋(sage)架構
US20230387315A1 (en) Thin film transistors having double gates
US11380797B2 (en) Thin film core-shell fin and nanowire transistors
TW202247391A (zh) 具有正面訊號線及背面供電之積體電路結構
US20220149192A1 (en) Thin film transistors having electrostatic double gates
US20200350412A1 (en) Thin film transistors having alloying source or drain metals
WO2018236357A1 (en) THIN-FILM TRANSISTORS HAVING A RELATIVELY INCREASED WIDTH
US11735595B2 (en) Thin film tunnel field effect transistors having relatively increased width
US11411119B2 (en) Double gated thin film transistors
US11296229B2 (en) Vertical thin film transistors having self-aligned contacts
US20230113614A1 (en) Thin film transistors having cmos functionality integrated with 2d channel materials
US20220199799A1 (en) Thin film transistors having boron nitride integrated with 2d channel materials
TW202114232A (zh) 具有無襯裡自形成障壁的積體電路結構
US11063151B2 (en) Metal chemical vapor deposition approaches for fabricating wrap-around contacts and resulting structures
US20230101370A1 (en) Thin film transistors having multi-layer gate dielectric structures integrated with 2d channel materials
US20220310610A1 (en) Thin-film transistors and mim capacitors in exclusion zones
US20230086499A1 (en) Thin film transistors having fin structures integrated with 2d channel materials
TWI833887B (zh) 具有閘極接點之自對準閘極端蓋(sage)架構
US20230090093A1 (en) Thin film transistors having semiconductor structures integrated with 2d channel materials
US20230088101A1 (en) Thin film transistors having edge-modulated 2d channel material
US20230087668A1 (en) Thin film transistors having strain-inducing structures integrated with 2d channel materials
US20220102148A1 (en) Gate spacing in integrated circuit structures
TW202101768A (zh) 具有閘極接點之自對準閘極端蓋(sage)架構
KR20230094131A (ko) 후면 전력 전달을 갖는 집적 회로 구조