TW202106845A - 化學機械拋光組成物及優先於二氧化矽拋光氮化矽並同時抑制對二氧化矽的損傷之方法 - Google Patents

化學機械拋光組成物及優先於二氧化矽拋光氮化矽並同時抑制對二氧化矽的損傷之方法 Download PDF

Info

Publication number
TW202106845A
TW202106845A TW109115977A TW109115977A TW202106845A TW 202106845 A TW202106845 A TW 202106845A TW 109115977 A TW109115977 A TW 109115977A TW 109115977 A TW109115977 A TW 109115977A TW 202106845 A TW202106845 A TW 202106845A
Authority
TW
Taiwan
Prior art keywords
chemical mechanical
mechanical polishing
polishing composition
substrate
silicon dioxide
Prior art date
Application number
TW109115977A
Other languages
English (en)
Inventor
納雷許庫馬 潘塔
科瓦德沃 E 特泰
馬修 凡漢翰
Original Assignee
美商羅門哈斯電子材料Cmp控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商羅門哈斯電子材料Cmp控股公司 filed Critical 美商羅門哈斯電子材料Cmp控股公司
Publication of TW202106845A publication Critical patent/TW202106845A/zh

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • B24B37/044Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor characterised by the composition of the lapping agent
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1409Abrasive particles per se
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Abstract

一種酸性化學機械拋光組成物,其優先於二氧化矽拋光氮化矽並同時抑制對該二氧化矽的損傷。該酸性化學機械拋光組成物包括聚乙烯吡咯啶酮聚合物、陰離子官能膠體二氧化矽磨料顆粒以及胺羧酸。該酸性化學機械拋光組成物的pH為5或更小。

Description

化學機械拋光組成物及優先於二氧化矽拋光氮化矽並同時抑制對二氧化矽的損傷之方法
本發明關於一種酸性化學機械拋光組成物,以及優先於二氧化矽拋光氮化矽並同時抑制對二氧化矽的損傷之方法。更具體地,本發明關於一種酸性化學機械拋光組成物,以及優先於二氧化矽拋光氮化矽並同時抑制對二氧化矽的損傷之方法,其中該酸性化學機械拋光組成物包含聚乙烯吡咯啶酮聚合物、陰離子官能膠體二氧化矽磨料顆粒和胺羧酸,並且該酸性化學機械拋光組成物的pH為5或更小。
隨著用於積體電路器件的技術的進步,傳統材料(如氮化矽、二氧化矽和多晶矽)以各種組合使用,以實現並且使得能夠獲得所需的構造配置和器件性能。常規的拋光漿料已經被設計用於「停止在氮化矽上」的應用,如在淺溝槽隔離(STI)中。最近,積體電路的密度持續增加,導致得益於化學機械拋光(CMP)的新前段製程(FEOL)結構,包括替代金屬閘極、接觸插塞、和經導電金屬化處理的襯底。在此類結構中,氮化矽用作蝕刻停止層、覆蓋材料、和硬掩膜。另外,氮化矽越來越多地用作擴散或鈍化層、間隔材料、和襯裡。在所有此類方案中,氮化矽與其他介電膜(如氧化矽或四乙氧基矽烷(TEOS))組合使用。因此,目前大多數圖案化的晶圓含有不同密度的氮化矽和二氧化矽介電膜二者。此外,關於此類集成方案之特徵尺寸步驟需要選擇性CMP拋光或去除氮化矽膜,而不去除二氧化矽介電材料。其他方法需要氮化矽 : 二氧化矽選擇性的CMP拋光組成物之方法係「反向STI方法」,其中在介電二氧化矽中蝕刻溝槽並用介電氮化矽蓋填充;以及常規的「回蝕方法」之替代方案,其中CMP拋光係除了蝕刻之外或代替蝕刻使用。該替代性蝕刻方法的一個此種實例係自對準接觸(SAC)覆蓋。在SAC覆蓋中,替代金屬閘極(RMG)已經由過量的金屬(如鎢)形成,該金屬藉由CMP拋光去除,並且然後藉由反應離子蝕刻(RIE)蝕刻下來,在晶圓中形成窄間隙。然後用氮化矽(SiN或Si3 N4 )填充該等間隙。然後CMP拋光去除過量的氮化矽並停止在二氧化矽表面上。在每種情況中,新FEOL構造(像SAC)在CMP拋光中需要反向選擇性(即高的氮化矽去除速率和低的二氧化矽去除速率)以去除過量之電介質。
在SAC中,優先於存在的二氧化矽層完全清除氮化矽層對於避免在連續步驟中阻塞二氧化矽蝕刻係至關重要的。然而,過度拋光氮化矽使氮化矽SAC蓋變薄,冒著電短路的風險。因此,具有高選擇性CMP拋光的CMP係至關重要的。新FEOL構造均導致其中介電氮化矽的預定圖案嵌入矽晶圓中之結構。此CMP拋光需要去除和平坦化超載的氮化矽,從而產生與填充有氮化矽的溝槽、插塞、或間隙共面的表面。可接受的氮化矽 : 二氧化矽去除速率比係必要的,以防止損傷下面的矽有源區並且提供過度拋光邊緣以確保所有圖案密度均清除氮化矽。此外,甚至更至關重要的是留下下面的無損傷(缺陷,尤其是劃痕和顫痕)之二氧化矽。
因此,需要一種化學機械拋光組成物,以及優先於二氧化矽選擇性地拋光氮化矽並且同時防止對下面的二氧化矽的損傷之方法。
本發明關於一種酸性化學機械拋光組成物,其包含以下項作為初始組分: 水; 陰離子官能膠體二氧化矽磨料顆粒; 聚乙烯吡咯啶酮聚合物; 胺羧酸; 視需要地,陰離子表面活性劑; 視需要地,殺生物劑;並且, 其中,該酸性化學機械拋光組成物的pH為5或更小。
本發明進一步關於一種用於對襯底進行化學機械拋光之方法,該方法包括: 提供襯底,其中該襯底包含氮化矽和二氧化矽; 提供化學機械拋光組成物,其包含以下項作為初始組分: 水; 陰離子官能膠體二氧化矽磨料顆粒; 聚乙烯吡咯啶酮聚合物; 胺羧酸; 視需要地,陰離子表面活性劑; 視需要地,殺生物劑;並且, 其中,該酸性化學機械拋光組成物的pH為5或更小;以及, 提供具有拋光表面的化學機械拋光墊; 用20.7 kPa的下壓力在該化學機械拋光墊的該拋光表面與該襯底之間的介面處產生動態接觸;以及 在該化學機械拋光墊與該襯底之間的介面處或介面附近將該化學機械拋光組成物分配到該化學機械拋光墊上; 其中該襯底被拋光;並且其中氮化矽優先於二氧化矽被選擇性地從該襯底去除。
本發明的酸性化學機械拋光組成物以及方法使得能夠在先進的設計器件中(如在FEOL半導體加工中)優先於二氧化矽選擇性去除氮化矽。同時,該酸性化學機械拋光組成物和方法抑制了對二氧化矽的損傷。
如本說明書通篇所使用的,除非上下文另外指示,否則以下縮寫具有以下含義:°C = 攝氏度;L = 升;mL = 毫升;µ = µm = 微米;kPa = 千帕;Å = 埃;mm = 毫米;nm = 奈米;s = 秒;min = 分鐘;rpm = 轉數/分鐘;mV = 毫伏;lbs = 磅;kg = 千克;Mw = 重量平均分子量;psi = 磅力/平方英吋;lbf = 磅力;1 kPa = 0.145038 psi;wt% = 重量百分比;e.g. = 例如;LPCVD = 低壓化學氣相沈積;PECVD = 電漿增強的化學氣相沈積;RR = 去除速率;pI = 等電點;PS = 本發明之拋光漿料;CS = 對比拋光漿料;PVP = 聚乙烯吡咯啶酮;PEG = 聚乙二醇;PPG = 聚丙二醇;PVA = 聚乙烯醇;PAAm = 聚丙烯醯胺;HF = 氟化氫;以及SiN或Si3 N4 = 氮化矽。
術語「化學機械拋光」或「CMP」係指單獨地憑藉化學和機械力來拋光襯底的製程,並且其區別於其中向襯底施加電偏壓的電化學-機械拋光(ECMP)。術語「TEOS」意指由原矽酸四乙酯(Si(OC2 H5 )4 )分解而形成的氧化矽。術語「等電點」意指有機酸在電場或電泳介質中不遷移時的pH。在通篇說明書中,術語「組成物」和「漿料」可互換使用。術語「一個/種(a/an)」係指單數和複數二者。除非另外指出,否則所有百分比均為按重量計的。所有數值範圍都是包含端值的,並且可按任何順序組合,除了此數值範圍被限制為加起來最高達100%係合乎邏輯的情況之外。
本發明之化學機械拋光組成物和方法係可用於拋光包含氮化矽(SiN或Si3 N4 )和二氧化矽(TEOS)的襯底,並且其中相比於二氧化矽去除速率,氮化矽去除速率具有選擇性。另外,本發明之化學機械拋光組成物和方法抑制了矽晶圓缺陷(如劃痕)(對表面造成的長損傷線)、顫痕(磨料輥軋損傷)和振痕(divot)(單一淺損傷)。在本發明之方法中使用的化學機械拋光組成物含有以下項(較佳的是由以下項組成):水;陰離子官能膠體二氧化矽磨料顆粒;一種或多種非離子聚乙烯吡咯啶酮聚合物;一種或多種胺羧酸;視需要地陰離子表面活性劑;視需要地殺生物劑;並且其中該化學機械拋光組成物具有5或更小的pH。較佳的是,本發明之化學機械拋光組成物的pH為2至5、更較佳的是3至5、最較佳的是3至4。
該非離子聚乙烯吡咯啶酮聚合物具有1000或更大(例如1000至1,000,000)的重量平均分子量。較佳的是,該非離子聚乙烯吡咯啶酮聚合物具有1000至500,000(例如1000至450,000或1000至350,000或10000至50,000)的重量平均分子量,更較佳的是,本發明之聚乙烯吡咯啶酮聚合物具有3500至360,000(例如3500至250,000或3500至150,000)的重量平均分子量,甚至更較佳的是,本發明之非離子聚乙烯吡咯啶酮聚合物具有3500至100,000(例如3500至80,000或3500至60,000)的重量平均分子量,並且最較佳的是,該聚乙烯吡咯啶酮聚合物具有3500至50,000(例如3500至20,000或3500至10,000)的重量平均分子量。本發明之聚乙烯吡咯啶酮具有以下通式:
Figure 02_image001
(I)
其中「n」係大於1的整數,較佳的是,n係10至50或如10至32之整數。
該一種或多種非離子聚乙烯吡咯啶酮聚合物可以以0.001 wt%或更大(例如0.001 wt%至1 wt%或0.001 wt%至0.5 wt%)的量作為初始組分包括在本發明之化學機械拋光組成物中。較佳的是,該一種或多種聚乙烯吡咯啶酮聚合物以0.005 wt%至0.25 wt%(例如0.005 wt%至0.15 wt%或0.005 wt%至0.1 wt%)的量包括在該化學機械拋光組成物中,更較佳的是,該一種或多種聚乙烯吡咯啶酮聚合物以0.01 wt%至0.1 wt%(例如0.01 wt%至0.08 wt%或0.01 wt%至0.06 wt%)的量被包括,最較佳的是,該非離子聚乙烯吡咯啶酮聚合物以0.05 wt%至0.1 wt%(例如0.05 wt%至0.08 wt%或0.05 wt%至0.07 wt%)的量包括在化學機械拋光組成物中。
在本發明之化學機械拋光方法中使用的化學機械拋光組成物中含有的水較佳的是係去離子水和蒸餾水中的至少一種,以限制附帶的雜質。
根據本發明之化學機械拋光組成物,陰離子官能膠體二氧化矽磨料顆粒組成物包括但不限於,藉由水玻璃的常規溶膠凝膠聚合或懸浮聚合製成的膠體二氧化矽顆粒的分散體,以分佈方式或以混合方式產生多個細長的、彎曲的或結節狀的二氧化矽顆粒,其可以包括多個球形二氧化矽顆粒。
細長的、彎曲的或結節狀的陰離子官能膠體二氧化矽顆粒的分散體可以由藉由水解縮合以已知的方式由像四乙氧基矽烷(TEOS)或四甲氧基矽烷(TMOS)的先質形成的矽醇的懸浮聚合來製備。用於製造細長的、彎曲的或結節狀的膠體二氧化矽顆粒之方法係已知並可以例如在Higuchi等人的美國專利號8,529,787中找到。水解縮合包括使前驅體在水性懸浮液中在鹼性催化劑的存在下反應,該鹼性催化劑如氫氧化烷基銨、烷氧基烷基胺如乙氧基丙胺(EOPA)、烷基胺或氫氧化鉀,較佳的是氫氧化四甲基銨。細長的、彎曲的或結節狀的二氧化矽顆粒在5或更低的pH下是陰離子的。
一種或多種膠體二氧化矽磨料顆粒的分散體中的陰離子官能基可以是磺酸,如在公開WO 2010134542 A1中揭露的。在此公開中,二氧化矽的磺酸改性包括添加具有官能基的矽烷偶合劑,其可以被化學轉化成磺酸基團至膠體二氧化矽,並且然後將該官能基轉化為磺酸基團。例如,二氧化矽偶合劑(3-巰基丙基三甲氧基矽烷)具有可以藉由使用氧化劑(如過氧化氫)轉化為磺酸基團的巰基基團、硫化物基團或其組合。另一個二氧化矽表面上的非離子官能基可以是膦酸基團。較佳的是,本發明之化學機械拋光組成物中的膠體二氧化矽磨料在5或更小的pH下具有-5 mV至-50 mV的ζ電位。此ζ電位有助於控制膠體穩定性和氮化矽與氧化矽的去除速率比率。
可商購的彎曲或結節狀的陰離子膠體二氧化矽顆粒的實例係從日本大阪扶桑化學工業株式會社(Fuso Chemical Co., Ltd., Osaka, JP(Fuso))以商品名PL-1-D和PL-3-D磨料漿料可獲得的。
較佳的是,該膠體二氧化矽具有 < 200 nm、更較佳的是10 nm至150 nm、最較佳的是10 nm至50 nm的平均粒度。該膠體二氧化矽磨料顆粒以0.1 wt%至10 wt%、較佳的是0.5 wt%至5 wt%、更較佳的是0.5 wt%至1 wt%、最較佳的是0.5 wt%至0.8 wt%的量作為初始組分包括在本發明之化學機械拋光組成物中。
本發明之化學機械拋光組成物包括一種或多種胺羧酸。該一種或多種胺羧酸具有等於或小於5、較佳的是為2至4的pI。此類胺羧酸的實例係天冬胺酸(pI = 2.77)、麩胺酸(pI = 3.22)、菸酸(pI = 3.435)和吡啶甲酸(pI = 3.16)。較佳的是,該胺羧酸係吡啶甲酸或菸酸。最較佳的是,該胺羧酸係菸酸。
該一種或多種胺羧酸以0.01 wt%或更大的量作為初始組分包括在本發明之化學機械拋光組成物中。較佳的是,該一種或多種胺羧酸以0.01 wt%至1 wt%的量被包括,更較佳的是,該一種或多種胺羧酸以0.05 wt%至0.1 wt%、最較佳的是0.06 wt%至0.1 wt%的量被包括在化學機械拋光組成物中。
視需要地,本發明之化學機械拋光組成物可以包括一種或多種陰離子表面活性劑。此類陰離子表面活性劑包括但不限於具有(C6 至C16 )烷基、芳基或烷基芳基疏水性基團,較佳的是具有(C6 至C10 )烷基、芳基或烷基芳基疏水性基團的乙氧基化的陰離子表面活性劑,較佳的是,該乙氧基化的陰離子表面活性劑係乙氧基化的陰離子硫酸鹽表面性劑。較佳的陰離子硫酸鹽表面性劑的實例係乙氧基化的烷基醚硫酸鹽,如硫酸醚銨。此類陰離子表面活性劑被包括在化學機械拋光組成物中以在拋光期間降低矽晶圓的粗糙度。可商購的烷基醚硫酸鹽表面活性劑的實例係從斯泰潘公司(Stepan®)可獲得的CEDAPAL® FA-403硫酸醚銨表面活性劑。
當一種或多種陰離子表面活性劑被包括在化學機械拋光組成物中時,它們係以0.001 wt%或更大的量作為初始組分被包括的。較佳的是,它們係以0.001 wt%至1 wt%、更較佳的是0.002 wt%至0.01 wt%、最較佳的是0.003 wt%至0.01 wt%的量被包括的。
視需要地,化學機械拋光組成物中可以包括一種或多種殺生物劑。此類殺生物劑包括但不限於KORDEK™ MLX(9.5%-9.9%的甲基-4-異噻唑啉-3-酮、89.1%-89.5%的水以及 ≤ 1.0%的相關反應產物)或含有活性成分2-甲基-4-異噻唑啉-3-酮和5-氯-2-甲基-4-異噻唑啉-3-酮的KATHON™ ICP III,每個均由陶氏化學公司(The Dow Chemical Company)(KATHON和KORDEK係陶氏化學公司的商標)製造。較佳的是,化學機械拋光組成物中包括殺生物劑。
殺生物劑可以以0.001 wt%至0.1 wt%、較佳的是0.001 wt%至0.05 wt%、更較佳的是0.01 wt%至0.05 wt%、還更較佳的是0.01 wt%至0.025 wt%的量作為初始組分包括在本發明之化學機械拋光組成物中。
在本發明之化學機械拋光方法中使用的化學機械拋光墊可以是本領域已知的任何合適的拋光墊。化學機械拋光墊可以視需要地選自織造拋光墊和非織造拋光墊。化學機械拋光墊可以由具有不同的密度、硬度、厚度、可壓縮性和模量的任何合適的聚合物製成。可以如所希望的對化學機械拋光墊進行開槽和穿孔。在本發明之化學機械拋光方法中使用的化學機械拋光組成物使得能夠在低的標稱拋光墊壓力下(例如在3至35 kPa下)進行操作。較佳的是,拋光係在200 mm或300 mm的拋光機上,在壓板速度為93轉/分鐘、托架速度為87轉/分鐘、化學機械拋光組成物流速為200 mL/min或250 mL/min、標稱下壓力為20.7 kPa下完成的;並且其中,該化學機械拋光墊包含含有聚合物中空芯微粒的聚胺酯拋光層以及聚胺酯浸漬的非-織造子墊。
以下實例旨在說明本發明,但是並不旨在限制其範圍。實例 1 化學機械拋光組成物
以下化學機械拋光組成物為拋光漿料,並且製備成包含下表1中揭露的組分和量。將含有醚(-O-)、羥基(-OH)或 > N-C(=O)-官能基的非離子聚合物添加至選擇性的氮化矽拋光漿料。將組分與餘量去離子水組合。另外,每種漿料包括0.0167 wt% KORDEK™混合(在水中的10 wt%甲基異噻唑啉酮)殺生物劑。每種漿料的pH為3.5。
[表1]
漿料 # 添加劑 添加劑( wt % PL-1-D™ 磨料 1 wt % 菸酸 wt % 硫酸醚銨( wt % 2
PS-1 PVP (Mw = 10,000) 0.05 0.75 0.075 0.0031
PS-2 PVP (Mw = 360,000) 0.05 0.75 0.075 0.0031
PS-3 PVP (Mw = 3500) 0.05 0.75 0.075 0.0031
CS-1 ------------ 0 0.75 0.075 0.0031
CS-2 PEG(Mw = 1000) 0.05 0.75 0.075 0.0031
CS-3 PPG(Mw = 1000) 0.05 0.75 0.075 0.0031
CS-4 PVA(Mw = 10,000) 0.05 0.75 0.075 0.0031
1 從日本大阪扶桑化學工業株式會社可獲得的陰離子膠體二氧化矽磨料顆粒;以及2 從斯泰潘公司可獲得的Cedapal® FA-403烷基醚硫酸鹽陰離子表面活性劑。
Figure 02_image001
(I) PVP結構;
Figure 02_image004
(II) PEG結構;
Figure 02_image006
(III) PPG結構;
Figure 02_image008
(IV) PVA結構;並且
其中「n」係大於1之整數。實例 2 SiN 對比 TEOS 的拋光選擇性和缺陷抑制
拋光條件: 使用以下工具進行拋光實驗: AMAT Reflexion拋光機 IC1000(1010槽)墊 Saesol AK45 AM02BSL8031C1盤 20.7 kPa(3 psi)下壓力 93/87 rpm工作臺/托架速度 在7 lbf壓力下100%原位 調節 250 mL/min漿料流速 使用300 mm二氧化矽(TEOS)和LPCVD氮化矽進行毯式晶圓研究。
HF CMP(SP2xp)後缺陷計數:三個TEOS晶圓用作每種漿料的缺陷監測晶圓。每個缺陷晶圓在3 psi、93/87 rpm和250 mL/min漿料流速下拋光60 s。然後使用M3307-2949 VeecoTM HF清潔劑(賓夕法尼亞州霍舍姆維易科公司(Veeco, Horsham, PA)),將晶圓暴露於1.92 wt. %的HF溶液中持續足以去除給定襯底的200 Å的時間。然後將晶圓在Surfscan™ SP2xp度量工具(加利福尼亞州米爾皮塔斯市的美國科磊公司(KLA-Tencor, Milpitas, CA))上掃描以得到HF後缺陷晶圓圖,接著對100個隨機缺陷的劃痕、顫痕和振痕進行自動SEM檢查。使用Klarity缺陷軟體(加利福尼亞州米爾皮塔斯市的美國科磊公司)對每個晶圓提取CMP後總缺陷計數。缺陷計數應該盡可能地低。取這三種晶圓的平均值並將其歸一化為基線漿料的平均值。
去除速率:去除速率(RR)係由在一分鐘拋光內去除的量確定的。該三種晶圓的平均值報告在表2中。由使用KLA-Tencor™ FX200度量工具(加利福尼亞州米爾皮塔斯市的美國科磊公司)、使用65點螺旋掃描在3 mm邊緣排除下的拋光之前和之後的介電膜厚度的變化來確定去除的量。
[表2]
漿料 # SiN RR Å/min TEOS RR Å/min SiN : TEOS RR 比率 歸一化的 HF 後缺陷 # 歸一化的推測的劃痕 + 顫痕 + 振痕
PS-1 549 4 137 0.33 0.3
PS-2 532 10 52 0.26 0.26
PS-3 556 3 172 0.32 0.3
CS-1 577 7 81 1 1
CS-2 627 6 100 0.92 0.83
CS-3 800 9 90 1.62 2.2
CS-4 592 12 50 1.64 2.16
相比於不包括聚乙烯吡咯啶酮非離子聚合物的對比漿料,聚乙烯吡咯啶酮的非離子聚合物示出了顯著的缺陷抑制。另外,包括具有3500和10,000平均分子量的非離子聚乙烯吡咯啶酮聚合物的化學機械拋光漿料示出優先於TEOS的SiN的改善的選擇性。實例 3 化學機械拋光組成物
以下化學機械拋光組成物為拋光漿料,並且製備成包含下表3中揭露的組分和量。將含有 > N-C(=O)-官能基的非離子聚合物添加至選擇性的氮化矽拋光漿料。將組分與餘量去離子水組合。另外,每種漿料包括0.0167 wt% KORDEK™混合(在水中的10 wt%甲基異噻唑啉酮)殺生物劑。每種漿料的pH為3.5。
[表3]
漿料 # 添加劑 添加劑( wt % PL-1-D™ 磨料 1 wt % 菸酸 wt % 硫酸醚銨( wt % 2
PS-4 PVP(Mw = 10,000) 0.05 0.75 0.075 0.0031
CS-5 ------------ 0 0.75 0.075 0.0031
CS-6 PAAm 0.05 0.75 0.075 0.0031
1 從日本大阪扶桑化學工業株式會社可獲得的陰離子膠體二氧化矽磨料顆粒;以及2 從斯泰潘公司可獲得的Cedapal® FA-403烷基醚硫酸鹽陰離子表面活性劑。
Figure 02_image001
(I) PVP結構;
Figure 02_image011
(V) PAAm結構;並且 其中「n」係大於1之整數。實例 4 SiN 對比 TEOS 的拋光選擇性和缺陷抑制
以上實例3中的化學機械拋光組成物的拋光條件、HF(SP2xp)後缺陷計數以及去除速率係使用以上實例2的裝置並遵循其程序進行的。
[表4]
漿料 # SiN RR Å/min TEOS RR Å/min SiN : TEOS RR 比率 HF 後缺陷 # 推測的劃痕 + 顫痕
PS-4 567 3 200 0.28 0.18
CS-5 623 7 88 1 1
CS-6 600 7 87 1.45 1.36
比較了含有 > N-C(=O)-官能基的非離子聚合物。含有非離子聚乙烯吡咯啶酮的化學機械拋光組成物示出優於不包括非離子聚合物的漿料以及含有PAAm的化學機械拋光漿料的顯著的缺陷改善。實例 5 化學機械拋光組成物
以下化學機械拋光組成物為拋光漿料,並且製備成包含下表5中揭露的組分和量。將含有 > N-C(=O)-官能基的非離子聚合物添加至選擇性的氮化矽拋光漿料。將組分與餘量去離子水組合。另外,每種漿料包括0.0167 wt% KORDEK™混合(在水中的10 wt%甲基異噻唑啉酮)殺生物劑。每種漿料的pH為3.5。
[表5]
漿料 # 添加劑 添加劑( wt % PL-1-D™ 磨料 1 wt % 菸酸 wt % 硫酸醚銨( wt % 2
PS-5 PVP(Mw = 10,000) 0.05 0.75 0.075 0.0031
PS-6 PVP(Mw = 10,000) 0.1 0.75 0.075 0.0031
CS-7 ------------ 0 0.75 0.075 0.0031
1 從日本大阪扶桑化學工業株式會社可獲得的陰離子膠體二氧化矽磨料顆粒;以及2 從斯泰潘公司可獲得的Cedapal® FA-403烷基醚硫酸鹽陰離子表面活性劑。實例 6 SiN 對比 TEOS 的拋光選擇性和缺陷抑制
以上實例5中的化學機械拋光組成物的拋光條件、HF(SP2xp)後缺陷計數以及去除速率係使用以上實例2的裝置並遵循其程序進行的。
[表6]
漿料 # SiN RR Å/min TEOS RR Å/min SiN : TEOS RR 比率 HF 後缺陷 # 推測的劃痕 + 顫痕
PS-5 567 3 200 0.28 0.18
PS-6 541 2 243 0.17 0.05
CS-7 623 7 88 1 1
含有非離子聚乙烯吡咯啶酮的化學機械拋光組成物示出優於不包括聚乙烯吡咯啶酮非離子聚合物的漿料的顯著的缺陷改善。實例 7 化學機械拋光組成物
以下化學機械拋光組成物為拋光漿料,並且製備成包含下表7中揭露的組分和量。將組分與餘量去離子水組合。另外,每種漿料包括0.0167 wt% KORDEK™混合(在水中的10 wt%甲基異噻唑啉酮)殺生物劑。每種漿料的pH為3.5。
[表7]
漿料 # 添加劑 添加劑( wt % PL-1-D™ 磨料 1 wt % 菸酸 wt % 硫酸醚銨( wt % 2
PS-7 PVP(Mw = 10,000) 0.05 0.75 0.075 0.0031
PS-8 PVP(Mw = 10,000) 0.05 0.75 0.075 0
1 從日本大阪扶桑化學工業株式會社可獲得的陰離子膠體二氧化矽磨料顆粒;以及2 從斯泰潘公司可獲得的Cedapal® FA-403烷基醚硫酸鹽陰離子表面活性劑。實例 8 SiN 對比 TEOS 的拋光選擇性、缺陷抑制和多晶矽粗糙度
以上實例7中的化學機械拋光組成物的拋光條件、HF(SP2xp)後缺陷計數以及去除速率係使用以上實例2的裝置並遵循其程序進行的。粗糙度係使用維度原子力輪廓儀(Dimension Atomic Force profiler)(DAFP)(麻塞諸塞州比勒利卡的邦克公司(Bunker Corporation, Billerica, MA),型號#3200)測量的。DAFP藉由在非常短的距離(0.2-10 nm探針樣品分離)下測量尖的探針(半徑小於10 nm)與表面之間的力,在奈米尺度上提供了3維輪廓。
[表8]
漿料 # SiN RR Å/min TEOS RR Å/min SiN : TEOS RR 比率 HF 後缺陷 # 多晶矽膜粗糙度 Å
PS-7 532 4 129 0.18 5
PS-8 566 4 153 0.1 10
陰離子表面活性劑硫酸醚銨沒有影響SiN : TEOS拋光性能(包括缺陷和劃痕抑制)。然而,陰離子表面活性劑幫助降低了多晶矽膜上的表面粗糙度。實例 9 化學機械拋光組成物
以下化學機械拋光組成物為拋光漿料,並且製備成包含下表9中揭露的組分和量。將組分與餘量去離子水組合。另外,每種漿料包括0.0167 wt% KORDEK™混合(在水中的10 wt%甲基異噻唑啉酮)殺生物劑。用氫氧化銨將CS-8和CS-9調節至鹼性pH值。
[表9]
漿料 # 添加劑 添加劑( wt % PL-1-D™ 磨料 1 wt % 菸酸 wt % 硫酸醚銨( wt % 2 pH
PS-9 PVP(Mw = 10,000) 0.05 0.75 0.075 0.0031 3.5
PS-10 PVP(Mw = 10,000) 0.05 0.75 0.075 0.0031 5
CS-8 PVP(Mw = 10,000) 0.05 0.75 0.075 0.0031 8
CS-9 PVP(Mw = 10,000) 0.05 0.75 0.075 0.0031 10.5
1 從日本大阪扶桑化學工業株式會社可獲得的陰離子膠體二氧化矽磨料顆粒;以及2 從斯泰潘公司可獲得的Cedapal® FA-403烷基醚硫酸鹽陰離子表面活性劑。實例 10 pH SiN TEOS 去除速率的影響
拋光條件: 使用以下工具進行拋光實驗: Strausbaugh 6EE拋光機 IC1000(1010槽)墊 Saesol AK45 AM02BSL8031C1盤 20.7 kPa(3 psi)下壓力 93/87 rpm工作臺/托架速度 在7 lbf壓力下100%原位 調節 200 mL/min漿料流速 使用200 mm二氧化矽(TEOS)和PECVD氮化矽進行毯式晶圓研究。
去除速率:去除速率(RR)係由在一分鐘拋光內去除的量確定的。該三種晶圓的平均值報告在表10中。由使用KLA-Tencor™ FX200度量工具(加利福尼亞州米爾皮塔斯市的美國科磊公司)、使用65點螺旋掃描在3 mm邊緣排除下的拋光之前和之後的介電膜厚度的變化來確定去除的量。
[表10]
漿料 # pH SiN RR Å/min TEOS RR Å/min SiN : TEOS RR 比率
PS-9 3.5 860 1 860
PS-10 5 477 1 477
CS-8 8 4 2 2
CS-9 10.5 15 3 5
SiN去除速率在pH高於5時顯著下降。因此,示出鹼性pH範圍不適用於優先於TEOS選擇性拋光SiN。

Claims (8)

  1. 一種酸性化學機械拋光組成物,其包含以下項作為初始組分: 水; 陰離子官能膠體二氧化矽磨料顆粒; 聚乙烯吡咯啶酮聚合物; 胺羧酸; 視需要地陰離子表面活性劑; 視需要地殺生物劑;並且, 其中,該酸性化學機械拋光組成物的pH為5或更小。
  2. 如請求項1所述之化學機械拋光組成物,其中,該化學機械拋光組成物包含以下項作為初始組分: 該水; 該陰離子官能膠體二氧化矽磨料顆粒; 該聚乙烯吡咯啶酮聚合物,其中該聚乙烯吡咯啶酮聚合物具有1000或更大的重量平均分子量; 該胺羧酸; 視需要地該陰離子表面活性劑; 殺生物劑;並且 其中,該化學機械拋光組成物的pH為2-5。
  3. 如請求項2所述之化學機械拋光組成物,其中,該化學機械拋光組成物包含以下項作為初始組分: 該水; 0.1 wt%至10 wt%的該陰離子官能膠體二氧化矽磨料顆粒; 0.001 wt%或更多的該聚乙烯吡咯啶酮聚合物,其中該聚乙烯吡咯啶酮聚合物具有3000至500,000的重量平均分子量; 該胺羧酸,其中該胺羧酸具有小於5的等電點; 該陰離子表面活性劑; 該殺生物劑;並且 其中,該化學機械拋光組成物的pH為3-5。
  4. 如請求項3所述之化學機械拋光組成物,其中,該化學機械拋光組成物包含以下項作為初始組分: 該水; 0.5 wt%至5 wt%的該陰離子官能膠體二氧化矽磨料顆粒; 0.005 wt%至0.25 wt%的該聚乙烯吡咯啶酮聚合物,其中該聚乙烯吡咯啶酮聚合物具有3500至360,000的重量平均分子量; 0.01 wt%或更多的該胺羧酸,其中該胺羧酸具有小於5的等電點; 0.001 wt%或更多的該陰離子表面活性劑; 0.001 wt%至0.1 wt%的該殺生物劑;並且 其中,該化學機械拋光組成物的pH為3-4。
  5. 一種用於對襯底進行化學機械拋光之方法,該方法包括: 提供襯底,其中該襯底包含氮化矽和二氧化矽; 提供酸性化學機械拋光組成物,其包含以下項作為初始組分: 水; 陰離子官能膠體二氧化矽磨料顆粒; 聚乙烯吡咯啶酮聚合物; 胺羧酸; 視需要地,陰離子表面活性劑; 視需要地,殺生物劑;並且, 其中,該化學機械拋光組成物的pH為5或更小;以及 提供具有拋光表面的化學機械拋光墊; 用20.7 kPa的下壓力在該化學機械拋光墊的該拋光表面與該襯底之間的介面處產生動態接觸;以及 在該化學機械拋光墊與該襯底之間的介面處或介面附近將該化學機械拋光組成物分配到該化學機械拋光墊上; 其中該襯底被拋光;並且其中氮化矽優先於二氧化矽被選擇性地從該襯底去除。
  6. 如請求項5所述之方法,其中,所提供的該化學機械拋光組成物包含以下項作為初始組分: 水; 該陰離子官能膠體二氧化矽磨料顆粒; 該聚乙烯吡咯啶酮聚合物,其中該聚乙烯吡咯啶酮聚合物具有1000或更大的重量平均分子量; 該胺羧酸; 視需要地該陰離子表面活性劑; 殺生物劑;並且 其中,該化學機械拋光組成物的pH為2-5;並且 提供具有該拋光表面的該化學機械拋光墊; 用20.7 kPa的下壓力在該化學機械拋光墊的該拋光表面與該襯底之間的介面處產生動態接觸;以及 在該化學機械拋光墊與該襯底之間的介面處或介面附近將該化學機械拋光組成物分配到該化學機械拋光墊上; 其中該襯底被拋光;並且其中氮化矽優先於二氧化矽被選擇性地從該襯底去除。
  7. 如請求項6所述之方法,其中,該化學機械拋光組成物包含以下項作為初始組分: 水; 0.1 wt%至10 wt%的該陰離子官能膠體二氧化矽磨料顆粒; 0.001 wt%或更多的該聚乙烯吡咯啶酮聚合物,其中該聚乙烯吡咯啶酮聚合物具有3000至500,000的重量平均分子量; 該胺羧酸,其中該胺羧酸具有小於5的等電點; 該陰離子表面活性劑; 該殺生物劑;並且 其中,該化學機械拋光組成物的pH為3-5;並且 提供具有該拋光表面的該化學機械拋光墊; 用20.7 kPa的下壓力在該化學機械拋光墊的該拋光表面與該襯底之間的介面處產生動態接觸;以及 在該化學機械拋光墊與該襯底之間的介面處或介面附近將該化學機械拋光組成物分配到該化學機械拋光墊上; 其中該襯底被拋光;並且其中氮化矽優先於二氧化矽被選擇性地從該襯底去除。
  8. 如請求項7所述之方法,其中,該化學機械拋光組成物包含以下項作為初始組分: 水; 0.5 wt%至5 wt%的該陰離子官能膠體二氧化矽磨料顆粒; 0.005 wt%至0.25 wt%的該聚乙烯吡咯啶酮聚合物,其中該聚乙烯吡咯啶酮聚合物具有3500至360,000的重量平均分子量; 0.01 wt%或更多的該胺羧酸,其中該胺羧酸具有小於5的等電點; 0.001 wt%或更多的該陰離子表面活性劑; 0.001 wt%至0.1 wt%的該殺生物劑;並且 其中,該化學機械拋光組成物的pH為3-4;並且 提供具有該拋光表面的該化學機械拋光墊; 用20.7 kPa的下壓力在該化學機械拋光墊的該拋光表面與該襯底之間的介面處產生動態接觸;以及 在該化學機械拋光墊與該襯底之間的介面處或介面附近將該化學機械拋光組成物分配到該化學機械拋光墊上; 其中該襯底被拋光;並且其中氮化矽優先於二氧化矽被選擇性地從該襯底去除。
TW109115977A 2019-05-16 2020-05-14 化學機械拋光組成物及優先於二氧化矽拋光氮化矽並同時抑制對二氧化矽的損傷之方法 TW202106845A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/413928 2019-05-16
US16/413,928 US10954411B2 (en) 2019-05-16 2019-05-16 Chemical mechanical polishing composition and method of polishing silicon nitride over silicon dioxide and simultaneously inhibiting damage to silicon dioxide

Publications (1)

Publication Number Publication Date
TW202106845A true TW202106845A (zh) 2021-02-16

Family

ID=73221318

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109115977A TW202106845A (zh) 2019-05-16 2020-05-14 化學機械拋光組成物及優先於二氧化矽拋光氮化矽並同時抑制對二氧化矽的損傷之方法

Country Status (5)

Country Link
US (1) US10954411B2 (zh)
JP (1) JP2020186381A (zh)
KR (1) KR20200132755A (zh)
CN (1) CN111944428B (zh)
TW (1) TW202106845A (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220356065A1 (en) * 2021-04-22 2022-11-10 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Surface modified silanized colloidal silica particles

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060021972A1 (en) * 2004-07-28 2006-02-02 Lane Sarah J Compositions and methods for chemical mechanical polishing silicon dioxide and silicon nitride
US20070210278A1 (en) * 2006-03-08 2007-09-13 Lane Sarah J Compositions for chemical mechanical polishing silicon dioxide and silicon nitride
JP2008004621A (ja) 2006-06-20 2008-01-10 Toshiba Corp Cu膜CMP用スラリー、研磨方法および半導体装置の製造方法
US8157876B2 (en) 2007-07-31 2012-04-17 Cabot Microelectronics Corporation Slurry composition containing non-ionic polymer and method for use
JP5329786B2 (ja) 2007-08-31 2013-10-30 株式会社東芝 研磨液および半導体装置の製造方法
WO2009107472A1 (ja) 2008-02-27 2009-09-03 Jsr株式会社 化学機械研磨用水系分散体およびそれを用いた化学機械研磨方法、化学機械研磨用水系分散体の再生方法
JP5554121B2 (ja) * 2010-03-31 2014-07-23 富士フイルム株式会社 研磨液及び研磨方法
US20140197356A1 (en) 2011-12-21 2014-07-17 Cabot Microelectronics Corporation Cmp compositions and methods for suppressing polysilicon removal rates
US8821215B2 (en) 2012-09-07 2014-09-02 Cabot Microelectronics Corporation Polypyrrolidone polishing composition and method
WO2014179419A1 (en) 2013-05-03 2014-11-06 Cabot Corporation Chemical mechanical planarization slurry composition comprising composite particles, process for removing material using said composition, cmp polishing pad and process for preparing said composition
EP2997104A4 (en) * 2013-05-15 2017-01-25 Basf Se Use of a chemical-mechanical polishing (cmp) composition for polishing a substrate or layer containing at least one iii-v material
JP6396740B2 (ja) * 2014-09-29 2018-09-26 株式会社フジミインコーポレーテッド 研磨用組成物及び研磨方法
KR102509260B1 (ko) 2015-11-20 2023-03-14 삼성디스플레이 주식회사 실리콘 연마 슬러리, 다결정 실리콘의 연마방법 및 박막 트랜지스터 기판의 제조방법
US11186748B2 (en) * 2017-09-28 2021-11-30 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Aqueous anionic functional silica slurry and amine carboxylic acid compositions for selective nitride removal in polishing and methods of using them

Also Published As

Publication number Publication date
CN111944428A (zh) 2020-11-17
US20200362198A1 (en) 2020-11-19
KR20200132755A (ko) 2020-11-25
US10954411B2 (en) 2021-03-23
JP2020186381A (ja) 2020-11-19
CN111944428B (zh) 2023-03-28

Similar Documents

Publication Publication Date Title
KR102427996B1 (ko) 화학적 기계 연마 조성물 및 텅스텐의 연마 방법
KR101718788B1 (ko) 화학 기계 연마 조성물 및 관련 방법
KR101672811B1 (ko) 폴리실리콘, 실리콘 옥사이드 및 실리콘 니트라이드를 포함하는 기판의 연마 방법
JP6246263B2 (ja) 酸化ケイ素および窒化ケイ素の少なくとも1種とポリシリコンとを含む基体を研磨する方法
KR100578596B1 (ko) 화학기계적 연마용 슬러리 조성물, 이를 이용한반도체소자의 표면 평탄화 방법 및 슬러리 조성물의선택비 제어방법
US20130078784A1 (en) Cmp slurry and method for manufacturing semiconductor device
EP1541653A1 (en) High selectivity colloidal silica slurry
KR101672809B1 (ko) 실리콘 옥사이드 제거 증강에 적절한 연마 조성물을 사용한 기판의 화학 기계적 연마방법
KR102322420B1 (ko) 저결점의 화학적 기계적 폴리싱 조성물
TW202104524A (zh) 具有增強的缺陷抑制並且在酸性環境中優先於二氧化矽選擇性地拋光氮化矽之化學機械拋光組成物及方法
KR101672816B1 (ko) 실리콘 옥사이드 및 실리콘 니트라이드중 적어도 하나와 폴리실리콘을 포함하는 기판의 연마 방법
TW202106845A (zh) 化學機械拋光組成物及優先於二氧化矽拋光氮化矽並同時抑制對二氧化矽的損傷之方法
KR100577348B1 (ko) 얕은 트렌치 소자 분리용 화학적 기계적 연마 슬러리
US11274230B1 (en) Polishing composition and method of polishing a substrate having enhanced defect inhibition
KR100466422B1 (ko) Cmp용 조성물
TW202026390A (zh) 拋光二氧化矽多於氮化矽之化學機械拋光組成物及方法
CN115247028A (zh) 具有增强的缺陷减少的抛光组合物和抛光衬底的方法