TW202101728A - 半導體結構及其製造方法 - Google Patents

半導體結構及其製造方法 Download PDF

Info

Publication number
TW202101728A
TW202101728A TW109115387A TW109115387A TW202101728A TW 202101728 A TW202101728 A TW 202101728A TW 109115387 A TW109115387 A TW 109115387A TW 109115387 A TW109115387 A TW 109115387A TW 202101728 A TW202101728 A TW 202101728A
Authority
TW
Taiwan
Prior art keywords
semiconductor
die
semiconductor die
layer
bonding
Prior art date
Application number
TW109115387A
Other languages
English (en)
Other versions
TWI831969B (zh
Inventor
陳明發
史朝文
蕭閔謙
葉松峯
劉醇鴻
鄭筌安
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202101728A publication Critical patent/TW202101728A/zh
Application granted granted Critical
Publication of TWI831969B publication Critical patent/TWI831969B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3135Double encapsulation or coating and encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/552Protection against radiation, e.g. light or electromagnetic waves
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68345Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used as a support during the manufacture of self supporting substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L2221/68304Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L2221/68368Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support used in a transfer process involving at least two transfer steps, i.e. including an intermediate handle substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/036Manufacturing methods by patterning a pre-deposited material
    • H01L2224/0361Physical or chemical etching
    • H01L2224/03616Chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/08146Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bonding area connecting to a via connection in the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • H01L2224/091Disposition
    • H01L2224/0918Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/09181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13075Plural core members
    • H01L2224/1308Plural core members being stacked
    • H01L2224/13082Two-layer arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/80003Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/80006Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80895Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically conductive surfaces, e.g. copper-copper direct bonding, surface activated bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • H01L2224/80894Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces
    • H01L2224/80896Direct bonding, i.e. joining surfaces by means of intermolecular attracting interactions at their interfaces, e.g. covalent bonds, van der Waals forces between electrically insulating surfaces, e.g. oxide or nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/9202Forming additional connectors after the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06517Bump or bump-like direct electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06524Electrical connections formed on device or on substrate, e.g. a deposited or grown layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06527Special adaptation of electrical connections, e.g. rewiring, engineering changes, pressure contacts, layout
    • H01L2225/06537Electromagnetic shielding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • H01L2225/06568Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking the devices decreasing in size, e.g. pyramidical stack
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • H01L2924/1815Shape
    • H01L2924/1816Exposing the passive side of the semiconductor or solid-state body
    • H01L2924/18161Exposing the passive side of the semiconductor or solid-state body of a flip chip

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Health & Medical Sciences (AREA)
  • Electromagnetism (AREA)
  • Toxicology (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一種半導體結構包括堆疊結構。堆疊結構包括第一半導體晶粒及第二半導體晶粒。第一半導體晶粒包括具有第一主動表面及與第一主動表面相對的第一背表面的第一半導體基底。第二半導體晶粒位於第一半導體晶粒之上,且包括具有第二主動表面及與第二主動表面相對的第二背表面的第二半導體基底。第二半導體晶粒透過沿垂直方向在第一混合接合介面處將第二主動表面結合到第一背表面而接合到第一半導體晶粒。沿側向方向,第一半導體晶粒的第一尺寸大於第二半導體晶粒的第二尺寸。

Description

半導體結構及其製造方法
本揭露實施例是有關於一種半導體結構及其製造方法。
近年來,由於各種電子元件(例如,電晶體、二極體、電阻器、電容器等)的積體密度的持續提高,半導體行業已經歷了快速增長。在很大程度上,積體密度的此種提高來自於最小特徵大小(minimum feature size)的連續減小,此使得更多組件能夠積體到給定面積中。舉例來說,積體元件佔用的面積接近於半導體晶圓的表面;然而,在二維(two-dimensional,2D)積體電路形成中可實現的密度存在實體限制。舉例來說,這些限制中的一個限制來自於隨著半導體元件的數目增加,半導體元件之間的內連線的數目及長度顯著增大。由於現有的積體電路設計規則要求在半導體結構中減小導電配線佈局的節距,因此正不斷努力開發用於形成半導體結構的新機制。
本揭露實施例提供一種半導體結構包括堆疊結構。所述堆疊結構包括第一半導體晶粒及第二半導體晶粒。所述第一半導體晶粒包括具有第一主動表面及與所述第一主動表面相對的第一背表面的第一半導體基底。所述第二半導體晶粒位於所述第一半導體晶粒之上,且包括具有第二主動表面及與所述第二主動表面相對的第二背表面的第二半導體基底。所述第二半導體晶粒透過沿垂直方向在第一混合接合介面處將所述第二主動表面結合到所述第一背表面而接合到所述第一半導體晶粒。沿側向方向,所述第一半導體晶粒的第一尺寸大於所述第二半導體晶粒的第二尺寸。
以下公開內容提供用於實作所提供主題的不同特徵的許多不同的實施例或實例。下文闡述組件、值、操作、材料、構造等的具體實例以簡化本發明實施例。當然,這些僅為實例且不旨在進行限制。能設想出其他組件、值、操作、材料、構造等。例如,以下說明中將第一特徵形成在第二特徵之上或第二特徵上可包括其中第一特徵與第二特徵被形成為直接接觸的實施例,且也可包括其中第一特徵與第二特徵之間可形成有額外特徵、進而使得所述第一特徵與所述第二特徵可能不直接接觸的實施例。另外,本發明實施例可能在各種實例中重複使用參考編號及/或字母。這種重複使用是出於簡潔及清晰的目的,而不是自身表示所論述的各種實施例及/或配置之間的關係。
此外,為易於說明,本文中可能使用例如“在...下方(beneath)”、“在...下面(below)”、“下部的(lower)”、“上方(above)”、“上部的(upper)”等空間相對性用語來闡述圖中所說明的一個元件或特徵與另一(些)元件或特徵的關係。所述空間相對性用語旨在除圖中所繪示的定向外還囊括裝置在使用或操作中的不同定向。設備可具有其他定向(旋轉90度或其他定向),且本文中所用的空間相對性描述語可同樣相應地進行解釋。
另外,為易於說明,本文中可能使用例如“第一(first)”、“第二(second)”、“第三(third)”及類似用語等用語來闡述圖中所示的相似或不同的元件或特徵,且可依據說明的存在次序或上下文互換地使用。
本公開一些實施例還可包括其他特徵及製程。舉例來說,可包括測試結構以說明對三維(three-dimensional,3D)封裝或三維積體電路(three-dimensional integrated circuit,3DIC)元件進行驗證測試。所述測試結構可包括例如在重佈線層中或基底上形成的測試墊(test pad),以便能夠對3D封裝或3DIC進行測試、使用探針和/或探針卡(probe card)以及進行類似操作。可對中間結構以及最終結構執行驗證測試。另外,本文中所公開的結構及方法可與包含對已知良好晶粒(known good die,KGD)進行中間驗證的測試方法結合使用以提高良率(yield)並降低成本。
圖1至圖4是示出根據本公開一些實施例的半導體晶粒製造方法中各種階段的示意性橫截面圖。參照圖1,在一些實施例中,提供半導體晶圓W1。在一些實施例中,半導體晶圓W1包括彼此連接的多個半導體晶粒10A’。舉例來說,半導體晶粒10A’中的每一者可包括積體電路元件(例如,邏輯晶粒、記憶體器晶粒、射頻晶粒、功率管理晶粒、微機電系統(micro-electro-mechanical-system,MEMS)晶粒、類似元件或這些的組合)。在一些實施例中,半導體晶圓W1的厚度T1介於約720微米(μm)到約800 μm範圍內。
舉例來說,半導體晶粒10A’中的每一者包括半導體基底110、內連線結構120、多個導通孔130、介電層140及多個接合導體150,半導體基底110中形成有多個半導體元件(未示出),內連線結構120形成在半導體基底110上,所述多個導通孔130形成在半導體基底110中且延伸到內連線結構120內,介電層140形成在內連線結構120上且與半導體基底110相對,所述多個接合導體150形成在內連線結構120之上且被介電層140側向(laterally)覆蓋。在一些實施例中,如圖1中所示,半導體晶粒10A’中的每一者具有前表面S1及與前表面S1相對的底表面110b’。接合導體150分佈在前表面S1處,且被介電層140以可觸及方式顯露出,且底表面110b’可被視為遠離內連線結構120及接合導體150的一側。
在一些實施例中,半導體基底110包括可為經摻雜的或未經摻雜的塊狀半導體、絕緣體上有半導體(semiconductor-on-insulator,SOI)基底、其他支撐基底(例如,石英、玻璃等)、其組合或類似物。在一些實施例中,半導體基底110包括元素半導體(例如,呈晶體、多晶或非晶結構的矽或鍺等)、化合物半導體(例如,碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦和/或銻化銦等)、合金半導體(例如,矽鍺(silicon-germanium,SiGe)、磷砷化鎵(gallium arsenide phosphide,GaAsP)、砷化鋁銦(aluminum indium arsenide,AlInAs)、砷化鋁鎵(aluminum gallium arsenide,AlGaAs)、砷化鎵銦(gallium indium arsenide,GaInAs)、磷化鎵銦(gallium indium phosphide,GaInP)等)、其組合或其他合適的材料。舉例來說,化合物半導體基底可具有多層結構,或者基底可包括多層化合物半導體結構。在一些實施例中,合金SiGe形成在矽基底之上。在其他實施例中,SiGe基底是應變式的。半導體基底110可包括形成在其中或其上的多個半導體元件(未示出),且半導體元件可為或可包括主動元件(例如,電晶體、二極體等)和/或被動元件(例如,電容器、電阻器、電感器等)或其他合適的電組件。在一些實施例中,半導體元件形成在半導體基底110的接近內連線結構120的一側處。
半導體基底110可包括在前道工序(front-end-of-line,FEOL)中形成的電路系統(未示出),且內連線結構120可在後道工序(back-end-of-line,BEOL)中形成。在一些實施例中,內連線結構120包括形成在半導體基底110之上且覆蓋半導體元件的層間介電(inter-layer dielectric,ILD)層以及形成在ILD層之上的金屬間介電(inter-metallization dielectric,IMD)層。在一些實施例中,ILD層及IMD層由例如氧化物、二氧化矽、硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、氟化矽酸鹽玻璃(fluorinated silicate glass,FSG)、SiOxCy、旋塗玻璃、旋塗聚合物、矽碳材料、其化合物、其複合物、其組合或類似物等低介電常數(low-K)介電材料或極低介電常數(extreme low-K,ELK)材料形成。ILD層及IMD層可包括而不限於任何合適數目的介電材料層。
在一些實施例中,在半導體基底110上形成內連線結構120,內連線結構120包括一個或多個介電層(例如,圖26A中所示介電層DI1)以及一個或多個金屬化圖案(例如,圖26A中所示金屬化圖案MP)。金屬化圖案可嵌入介電層(例如,IMD層)中,且金屬化圖案(例如,金屬線、金屬通孔、金屬墊、金屬跡線等)可由例如銅、金、鋁、類似物或其組合等導電材料形成。在一些實施例中,內連線結構120彼此電耦合到形成在半導體基底110中和/或半導體基底110上的半導體元件,且電耦合到外部元件(例如,多個測試墊、多個接合導體等)。舉例來說,介電層中的金屬化圖案在半導體基底110的半導體元件之間路由電訊號。半導體元件與金屬化圖案進行內連以執行包括記憶體結構(例如,記憶體胞元)、處理結構、輸入/輸出電路系統或類似物在內的一種或多種功能。內連線結構120的最外層可為由例如氧化矽、氮化矽、低k介電質、聚醯亞胺、這些的組合或類似物等一種或多種合適的介電材料製成的鈍化層(例如,圖26A中所示鈍化層PL)。在一些實施例中,半導體晶粒10A’中的每一者包括設置在內連線結構120的頂部金屬化圖案之上且電耦合到頂部金屬化圖案的導電墊(例如,圖26A中所示導電墊AP),且內連線結構120的鈍化層可具有開口,所述開口暴露出導電墊的至少部分,以用於測試或用於進一步的電連接。
在一些實施例中,導通孔130形成為延伸到半導體基底110中。導通孔130可與內連線結構120的金屬化圖案物理接觸及電接觸。舉例來說,當最初形成導通孔130時,導通孔130嵌入半導體基底110中且可不延伸到半導體基底110的底表面110b’。也就是說,對於半導體晶圓W1,導通孔130不被半導體基底110以可觸及的方式顯露出。
舉例來說,導通孔130中的每一者可包含阻障材料(例如,TiN、Ta、TaN、Ti或類似物;未示出)及導電材料(例如,銅、鎢、鋁、銀、其組合或類似物;未示出)。舉例來說,阻障材料可形成在導電材料與半導體基底110之間。
在替代實施例中,可進一步可選地在導通孔130的阻障材料與半導體基底110之間形成介電襯墊(未示出)(例如,氮化矽、氧化物、聚合物、其組合等)。在一些實施例中,導通孔130是透過以下方式形成:在半導體基底110中形成凹陷且分別在凹陷中沉積介電襯墊、阻障材料及導電材料,移除半導體基底110上的過量材料。舉例來說,半導體基底110的多個凹陷內襯有介電襯墊,以便側向分離(laterally separate)半導體基底110和導通孔130。導通孔130可透過使用通孔優先(via-first)的方式來形成。舉例來說,導通孔130是在內連線結構120的形成期間形成。作為另外一種選擇,導通孔130可使用通孔最末(via-last)的方式來形成,且可在內連線結構120形成之後形成。本公開不限於此。
在一些實施例中,在內連線結構120上形成介電層140。舉例來說,介電層140包括由介電材料(例如,氮化矽、氧化矽、高密度等離子體(high-density plasma,HDP)氧化物、四乙基正矽酸鹽(tetra-ethyl-ortho-silicate,TEOS)、未經摻雜矽酸鹽玻璃(undoped silicate glass,USG)、類似物或其組合)形成的一個或多個層(例如,圖26A中所示介電層DI2、DI3、DI4)。在一些實施例中,隨後使用側向覆蓋接合導體150的介電層140來進行接合。應理解,視製程要求而定,介電層140可包括夾置在介電材料層之間的蝕刻終止材料層(未示出)。舉例來說,蝕刻終止材料層不同於上覆的或下伏的介電材料層。蝕刻終止材料層可由相對於上覆的或下伏的介電材料層具有高蝕刻選擇性的材料形成,以便用於終止對介電材料層的蝕刻。稍後將結合圖式詳細闡述介電層140的結構。
在一些實施例中,在內連線結構120之上形成例如多個接合通孔(bond via)(例如,圖26A中所示接合通孔150b)和/或多個接合墊(例如,圖26A中所示接合墊150a)等的接合導體150,以提供與電路系統及半導體元件的外部電連接。在本公開中,接合導體150各自具有接合墊,所述接合墊上設置有兩個或多於兩個接合通孔。接合導體150可由例如銅、金、鋁、類似物或其組合等導電材料形成。接合導體150可透過內連線結構120電耦合到半導體基底110的半導體元件。接合導體150可與介電層140實質上齊平,以用於接合。以上實例是出於例示目的而提供,其他實施例可利用更少或附加的元件(例如,導電墊),且稍後將結合放大圖來闡述半導體晶粒的細節。換句話說,舉例來說,也可說半導體晶圓W1包括半導體基底110、內連線結構120、導通孔130、介電層140及接合導體150,如圖1中所示。
參照圖2,在一些實施例中,透過臨時接合層TB1將半導體晶圓W1放置在臨時載體TC1上。臨時載體TC1的材料可包括玻璃、金屬、陶瓷、矽、塑膠、其組合、其多層或可在後續處理中為半導體晶圓W1提供結構性支撐的其他合適的材料。在一些實施例中,臨時載體TC1由玻璃製成,且用於將半導體晶圓W1粘合到臨時載體TC1的臨時接合層TB1包括聚合物粘合劑層(例如,晶粒貼合膜(die attach film,DAF))、例如光-熱轉換(light-to-heat conversion,LTHC)釋放塗層、紫外(ultra-violet,UV)膠等在暴露於輻射源(例如,UV光或雷射)時會降低或喪失其粘合性的紫外(UV)固化層。可使用其他合適的臨時粘合劑。在一些實施例中,臨時載體TC1是矽晶圓,且臨時接合層TB1包含含矽介電材料(例如,氧化矽、氮化矽等)或用於接合的其他合適的介電材料。舉例來說,所述接合包括氧化物對氧化物接合(oxide-to-oxide bonding),且半導體晶圓W1的介電層140接合到臨時接合層TB1。作為另外一種選擇,可省略臨時接合層TB1。
在一些實施例中,如圖2中所示,將半導體晶圓W1的前側FS(例如,半導體晶粒10A’的前表面S1)貼合到臨時載體TC1,且半導體晶圓W1的背側BS(例如,半導體晶粒10A’的底表面110b’)面朝上以用於後續處理。舉例來說,前側FS沿半導體基底110及內連線結構120的堆疊方向Z與背側BS相對。
參照圖2及圖3,在一些實施例中,透過例如蝕刻、研磨、化學機械拋光(chemical mechanical polishing,CMP)製程、其組合或其他合適的薄化技術,對半導體晶圓W1進行薄化以形成經薄化的半導體晶圓W1’。舉例來說,在半導體晶圓W1的背側BS(例如,半導體晶粒10A’的底表面110b’)上執行薄化製程,以獲得具有經減小的厚度T2的經薄化的半導體晶圓W1’。也就是說,經薄化的半導體晶圓W1’的減小的厚度T2小於半導體晶圓W1的厚度T1。在一些實施例中,經減小的厚度T2介於約40 μm到約200 μm範圍內。如圖3中所示,在薄化製程之後,導通孔130尚未透過經薄化的半導體晶圓W1’的背側BS(例如,半導體晶粒10A’’的底表面110b’’)以可觸及的方式顯露出。換句話說,對於每一半導體晶粒10A’’,導通孔130的底表面130b不被半導體晶粒10A’’的底表面110b’’以可觸及的方式暴露出。
繼續參照圖4,在一些實施例中,在晶圓背側薄化製程之後,將經薄化的半導體晶圓W1’安裝在膠帶框架TP1上。舉例來說,將圖3中所示結構翻轉(例如,沿堆疊方向Z上下顛倒),從而使得經薄化的半導體晶圓W1’的背側BS(例如,半導體晶粒10A’’的(半導體基底110的)底表面110b’’)設置在膠帶框架TP1上。接下來,可在臨時載體TC1上執行剝離製程(de-bonding process),以從經薄化的半導體晶圓W1’釋放。舉例來說,在臨時接合層TB1上施加外部能量(例如,UV光或雷射)。作為另外一種選擇,臨時載體TC1的移除製程可包括機械剝落製程、研磨製程、蝕刻製程或類似製程。在一些實施例中,使用合適的溶劑、清潔化學品或其他清潔技術執行清潔製程以從經薄化的半導體晶圓W1’移除臨時接合層TB1的殘留物。隨後,在經薄化的半導體晶圓W1’上執行單體化製程(singulation process),以獲得多個分離的各別半導體晶粒10A’’。如圖4中所示,舉例來說,所述分離的各別半導體晶粒10A’’各自具有前表面S1及底表面110b’’。
舉例來說,在單體化製程期間,膠帶框架TP1將經薄化的半導體晶圓W1’保持置位,且可使用切割工具(例如,鋸)沿切割道(scribe line)(未示出)切穿經薄化的半導體晶圓W1’。在其他實施例中,在安裝在膠帶框架TP1上之前執行單體化製程。在一些實施例中,在切割/單體化之前,透過探測(probing)來測試包括在經薄化的半導體晶圓W1’中的半導體晶粒10A’’的功能及性能,且從被測試的半導體晶粒10A’’中僅選擇已知良好晶粒(KGD)並用於後續處理。
在一些實施例中,圖2及圖3中所示臨時載體TC1可由膠帶框架TP1替代。舉例來說,將半導體晶圓W1安裝在第一膠帶框架上,使半導體晶圓W1的前側FS面朝第一膠帶框架,且接著在半導體晶圓W1的背側BS上執行薄化製程。隨後,轉移經薄化的半導體晶圓W1’以安裝在第二膠帶框架上,使經薄化的半導體晶圓W1’的背側BS(例如,半導體晶粒10A’’的底表面110b’’)面朝第二膠帶框架,且接著執行單體化製程,且第二膠帶框架在單體化製程期間將經薄化的半導體晶圓W1’保持置位。應注意,以上實例是出於例示目的而提供,半導體晶粒10A’’的形成可以不受本公開限制的任何邏輯次序來形成。
圖5至圖24是示出根據本公開一些實施例的半導體結構製造方法中各種階段的示意性橫截面圖,且是沿圖25中所繪示橫截面線I-I所截取。圖25是示出根據本公開一些實施例的半導體結構的半導體晶粒、載體晶粒及絕緣包封體之間的相對位置的示意性俯視圖。圖26A是示出根據本公開一些實施例的在圖11中所勾勒的虛線區域A中的半導體晶粒與位於半導體晶粒之下的載體晶粒之間的接合介面的放大示意性橫截面圖。圖27A是示出根據本公開一些實施例的在圖17中所勾勒的虛線區域B中的晶粒堆疊的相鄰層級之間的接合介面的放大示意性橫截面圖。圖28A是示出根據本公開一些實施例的在圖19中所勾勒的虛線區域C中的晶粒堆疊的相鄰層級之間的接合介面的放大示意性橫截面圖。圖29A是示出根據本公開一些實施例的在圖19中所勾勒的虛線區域D中的晶粒堆疊的最外部層級的配置的放大示意性橫截面圖。半導體結構的製造方法包括將晶粒堆疊(例如,100)接合到載體晶粒(例如,10B),其中形成晶粒堆疊涉及經堆疊的多個半導體晶粒(例如,10A及10A’)。為易於理解,相同的元件用相同的參考編號指定,且為簡單起見,本文中不再對其予以贅述。
參照圖5,在一些實施例中,提供至少一個半導體晶粒10B’。舉例來說,以如圖1至圖4中所述的方式處理半導體晶圓(未示出),以產生各別的多個半導體晶粒10B’,且因此為簡單起見,此處不再對半導體晶粒10B’的形成予以贅述。半導體晶粒10B’各自可包括與半導體晶粒10A’’相似的結構。舉例來說,半導體晶粒10B’中的每一者具有前表面S3及與前表面S3相對的底表面210b’,且包括半導體基底210、內連線結構220及多個導通孔230,半導體基底210中形成有多個半導體元件,內連線結構220形成在半導體基底210之上且包括與前表面S3接近的多個介電層及多個金屬化圖案,導通孔230形成在半導體基底210中且延伸到內連線結構220的介電層內以與內連線結構220的金屬化圖案物理接觸及電接觸。半導體晶粒10B’中的每一者的導通孔230可電耦合到半導體元件及內連線結構220的金屬化圖案。
出於例示目的,圖5中僅示出兩個半導體晶粒10B’;然而,半導體晶粒10B’的數目不限於本公開。基於設計佈局及需求,半導體晶粒10B’的數目可為一個或多於一個。
應注意,圖中省略了半導體晶粒10B’中的每一者的各種層及特徵。舉例來說,內連線結構220包括形成在內連線結構220的頂部金屬化圖案之上的鈍化層(未示出),以便為下伏結構提供一定程度的保護。鈍化層可由例如氧化矽、氮化矽、低k介電質、聚醯亞胺、這些的組合或類似物等一種或多種合適的介電材料製成。此時可透過鈍化層覆蓋導電墊來進行保護。
據理解,從不同半導體晶圓切割的半導體晶粒可具有不同的性質及功能。在一些實施例中,半導體晶粒10B’及半導體晶粒10A’’是從不同的半導體晶圓單體化,可在功能及性質上有所不同。舉例來說,圖5中所繪示的半導體晶粒10B’是邏輯晶粒(例如,系統晶片(system-on-a-chip,SoC)、中央處理器(central processing unit,CPU)、圖形處理單元(graphics processing unit,GPU)等)。另一方面,圖4中所闡述的半導體晶粒10A’’是例如記憶體器晶粒(例如,動態隨機存取記憶體器(dynamic random access memory,DRAM)晶粒、靜態隨機存取記憶體器(static random access memory,SRAM)晶粒、同步動態隨機存取記憶體器(synchronous dynamic random access memory,SDRAM)、與非(NAND)快閃記憶體等)。如圖5中所示,舉例來說,出於例示目的示出兩個半導體晶粒10B’;然而,半導體晶粒10B’的數目不限於本公開中所繪示的數目,且可基於需求及設計佈局來選擇及指定。
參照圖6及圖7,在一些實施例中,透過臨時接合層TB2將半導體晶粒10B’設置在臨時載體TC2上。舉例來說,在單體化之前探測及測試包括半導體晶粒10B’的半導體晶圓。在執行單體化製程之後,僅將已知良好的半導體晶粒10B’拾取及放置在臨時載體TC2上。在一些實施例中,在臨時載體TC2上沉積臨時接合層TB2,且透過臨時接合層TB2將半導體晶粒10B’中的每一者的前表面S3貼合到臨時載體TC2。在替代實施例中,可省略臨時接合層TB2。臨時接合層TB2及臨時載體TC2的形成和/或材料相似於圖2中所繪述的臨時接合層TB1及臨時載體TC1的形成和/或材料,因此本文中不再對其予以贅述。如圖6中所示,舉例來說,半導體晶粒10B’的底表面210b’面朝上以用於後續處理。
此後,對於半導體晶粒10B’中的每一者,在半導體基底210的底表面210b’上執行薄化製程(例如,蝕刻、研磨、CMP製程或類似製程),直到導通孔230被半導體基底210的底表面210b’’暴露出為止,以便形成經薄化的半導體晶粒10B’’。舉例來說,在將半導體晶粒10B’貼合到臨時接合層TB2之後,對半導體晶粒10B’進行薄化以形成經薄化的半導體晶粒10B’’,經薄化的半導體晶粒10B’’各自具有範圍近似介於5 μm到100 μm的厚度T3。在一些實施例中,厚度T3小於厚度T2。如圖7中所示,在一些實施例中,在經薄化的半導體晶粒10B’’中的每一者中,導通孔230的底表面230b被半導體基底210的底表面210b’’以可觸及的方式暴露出。舉例來說,在每一經薄化的半導體晶粒10B’’中,導通孔230的底表面230b與半導體基底210的底表面210b’’實質上齊平且共面。在經薄化的半導體晶粒10B’’中的每一者中,當半導體基底210是矽基底時,穿透半導體基底210的導通孔230被稱為半導體穿孔(through semiconductor via,TSV)或矽穿孔。
參照圖8,在一些實施例中,使經薄化的半導體晶粒10B’’凹陷,從而使得導通孔230從半導體基底210突出。換句話說,部分地移除經薄化的半導體晶粒10B’’中的每一者的半導體基底210以獲得底表面210b,且導通孔230中的每一者的部分從半導體基底210的底表面210b突出出來。在所述凹陷之後,在圖8中所示的橫截面中,形成多個凹陷R1,其中凹陷R1中的每一者形成在底表面210b上以及兩個相鄰導通孔230的突出部分之間。舉例來說,凹陷R1各自具有範圍近似介於0.5 μm到1.5 μm的深度D1(如沿堆疊方向Z測量)。
在凹陷製程期間,可透過蝕刻來部分地移除經薄化的半導體晶粒10B’’中的每一者的半導體基底210。舉例來說,相對于導通孔230的材料及臨時接合層TB2的材料,蝕刻製程對半導體基底210的材料具有高蝕刻速率選擇性(etch-rate selectivity)。舉例來說,可透過調整蝕刻時間來控制半導體基底210的移除量。在一些實施例中,導通孔230及臨時接合層TB2可在凹陷期間保持完整。蝕刻製程可包括乾蝕刻、濕蝕刻或其組合。在一些實施例中,使用合適的溶劑、清潔化學品或其他清潔技術執行清潔製程以移除蝕刻製程的殘留物。
參照圖9,在一些實施例中,在臨時載體TC2之上及經薄化的半導體晶粒10B’’上形成隔離層50。在一些實施例中,隔離層50包括第一側向部分50a、第二側向部分50b及連接部分50c。舉例來說,如圖9中所示,第一側向部分50a設置在臨時接合層TB2上且在臨時接合層TB2之上延伸,第二側向部分50b設置在半導體基底210的底表面210b以及導通孔230的底表面230b及側壁230s上且在半導體基底210的底表面210b以及導通孔230的底表面230b及側壁230s之上延伸,且連接部分50c設置在第一側向部分50a上且延伸成與第二側向部分50b接觸。在一些實施例中,隔離層50具有範圍近似介於0.5 μm到1.6 μm的厚度T50,其中厚度T50是以隔離層50的相對兩側之間的最小距離來測量。如圖9中所示,第二側向部分50b填充凹陷R1。在一個實施例中,厚度T50大於深度D1,然而本公開不限於此。在替代實施例中,厚度T50實質上等於深度D1。換句話說,隔離層50足夠厚以覆蓋導通孔230的突出部分。
在一些實施例中,第一側向部分50a及第二側向部分50b例如沿圖9中所繪示的方向X和/或方向Y側向延伸。舉例來說,方向X不同於方向Y,且方向X及方向Y獨立地垂直於堆疊方向Z。在一些實施例中,連接部分50c垂直延伸以連接第一側向部分50a與第二側向部分50b。舉例來說,連接部分50c可以如圖9中所示的直線形式朝上延伸。然而,連接部分50c可以臺階形式朝上延伸(例如,圖15中的連接部分51c)。
在一些實施例中,隔離層50可透過例如旋塗、化學氣相沉積(chemical vapor deposition,CVD)製程或類似製程共形地形成在臨時載體TC2之上。在一些實施例中,隔離層50的材料可包括氮化物(例如氮化矽)、氧化物(例如氧化矽)或類似物(例如氮氧化矽、碳化矽、聚合物、類似物)。作為另外一種選擇,在形成隔離層50之前,可在經薄化的半導體晶粒10B’’中的每一者的半導體基底210的底表面210b上形成天然氧化物。如圖9中所示,舉例來說,導通孔230的從半導體基底210的底表面210b突出的部分被隔離層50的第二側向部分50b包繞。
一起參照圖9及圖10,在一些實施例中,部分地移除隔離層50以暴露出導通孔230。在此種實施例中,透過平坦化製程對隔離層50進行圖案化,其中一個第一側向部分50a及與所述一個第一側向部分50a連接的經平坦化的連接部分50c’一起構成一個隔離結構50(0),且隔離層50的第二側向部分50b被平坦化以形成設置在底表面210b上的隔離層240。平坦化製程可包括例如CMP製程或類似製程。至此,製造出載體晶粒10B。在一些實施例中,載體晶粒10B的厚度T10B各自的範圍近似介於3 μm到90 μm。在一些實施例中,厚度T10B小於或實質上等於厚度T3。在本公開中,在半導體結構P1a中,載體晶粒10B各自被稱為一個晶粒堆疊的基礎層級10B(0)。應注意,圖中省略了半導體晶粒的各種層及特徵,且載體晶粒10B可包括形成在其中的更多元件,以執行不同的功能。
在一些實施例中,對於如圖10所示的每一載體晶粒10B,隔離層240以可觸及的方式顯露出導通孔230,以用於進一步的電連接。在一些實施例中,載體晶粒10B的隔離層240的厚度T4的範圍近似介於0.3 μm到1 μm。在平坦化製程之後,舉例來說,可以可選地執行清潔製程,以清潔及移除平坦化製程所產生的殘留物。然而,本公開不限於此,且可透過任何其他合適的方法來執行平坦化製程。在一些實施例中,在對隔離層50進行平坦化期間,導通孔230也可被平坦化。在一些實施例中,隔離層50的厚度T50大於或實質上等於凹陷R1的深度D1,且隔離層240的厚度T4小於或實質上等於凹陷R1的深度D1。
在一些實施例中,隔離層240的底表面240b與導通孔230的底表面230b實質上齊平。也就是說,隔離層240的底表面240b與導通孔230的底表面230b實質上共面。在一些實施例中,如圖10中所示,導通孔230中的每一者的從半導體基底210的底表面210b突出的部分被隔離層240側向覆蓋,且導通孔230的底表面230b被隔離層240以可觸及的方式暴露出。在一些實施例中,利用此種平坦化,載體晶粒10B透過隔離結構50(0)而形成為彼此分離。在本公開中,舉例來說,如圖10中所示,載體晶粒10B各自具有前表面S3及背表面S4(例如,底表面240b),背表面S4與前表面S3相對。
參照圖11,在一些實施例中,提供第一組的多個半導體晶粒10A’’,其中這些半導體晶粒10A’’堆疊在半導體晶粒10B上。舉例來說,如結合圖1至圖4及圖5至圖10所分別闡述般單獨地製作半導體晶粒10A’’及載體晶粒10B。在一些實施例中,可使用例如拾取及放置製程或其他合適的貼合技術從膠帶框架TP1(圖4中所示)移除半導體晶粒10A’’,以將半導體晶粒10A’’安裝在載體晶粒10B上。可在接合之前測試半導體晶粒10A’’,以使得僅已知良好晶粒(KGD)被使用來進行貼合。在本公開中,半導體晶粒10A’’與載體晶粒10B以面對背配置(face-to-back configuration)接合在一起。如圖11中所示,舉例來說,半導體晶粒10A’’的前表面S1分別面朝載體晶粒10B的背表面S4。
出於例示目的,圖11中示出一個載體晶粒10B上設置有僅一個半導體晶粒10A’’;然而,設置在一個載體晶粒10B上的半導體晶粒10A’’的數目不限於本公開。基於設計佈局及需求,半導體晶粒10A’’的數目可為一個或多於一個。舉例來說,在替代實施例中,一個載體晶粒10B上設置有多個半導體晶粒10A’’(參見圖45)。
在一些實施例中,執行接合製程以將半導體晶粒10A’’接合到載體晶粒10B。舉例來說,如圖11及圖26A(示出由圖11所示虛線框(或虛線區域)A所指示的放大橫截面圖)中所示,一個半導體晶粒10A’’與相應的下伏載體晶粒10B之間的接合介面IF1包括金屬對金屬接合(metal-to-metal bonding)(例如,銅對銅接合)及介電質對介電質接合(dielectric-to-dielectric bonding)(例如,氧化物對氧化物接合、氧化物對氮化物接合或氮化物對氮化物接合)。也就是說,接合製程包括混合接合製程。舉例來說,半導體晶粒10A’’的接合導體150與載體晶粒10B的導通孔230透過銅對銅接合(稱為直接金屬對金屬接合(direct metal-to-metal bonding))接合在一起,且半導體晶粒10A’’的介電層140(例如,介電層DI4)與載體晶粒10B的隔離層240透過氧化物對氮化物接合(稱為直接介電質對介電質接合合(direct dielectric-to-dielectric bonding))接合在一起。在本公開中,接合介面IF1可被稱為混合接合介面(hybrid bonding interface)。
應注意,上述接合方法僅為實例,且不旨在進行限制。在一些實施例中,如圖26A中所示,接合導體150的側壁150SW與位於接合導體150之下的導通孔230的側壁230SW之間存在偏移。換句話說,由於接合導體150具有比導通孔230大的接合表面,因此即使發生未對準,仍可實現直接金屬對金屬接合,從而表現出更好的可靠性。在接合導體150的尺寸小於對應導通孔230的尺寸的一些實施例中,緊鄰接合導體150的半導體晶粒10A’’的介電層140可接合到載體晶粒10B的導通孔230的部分。
在一些實施例中,導通孔130可從內連線結構120到底表面110b’’逐漸變小(例如,錐化)。作為另外一種選擇,舉例來說,如圖11及圖26A中所示,導通孔130具有實質上垂直(豎直)的側壁。在沿堆疊方向Z的橫截面圖中,導通孔130的形狀可視設計要求而定,且不旨在於本公開中進行限制。另一方面,在X-Y平面上的俯視(平面)圖中,導通孔130的形狀可視設計要求而定,且可為圓形形狀、橢圓形形狀、矩形形狀、多邊形形狀或其組合;本公開不限於此。相似的幾何規格也可應用於載體晶粒的導通孔230,且因此其中不再予以贅述。
舉例來說,如圖11及圖26A中所示,分佈在前表面S1處的一個半導體晶粒10A’’的每一接合導體150與位於接合導體150之下的半導體晶粒10B的所述相應一個導通孔230物理接觸及電接觸。在一些實施例中,如圖26A中所示,此種接合導體150與上覆在接合導體150上的相應金屬化圖案MP物理接觸及電接觸。然而,本公開不限於此;在替代實施例中,如圖26B中所示,此種接合導體150可與上覆在接合導體150上的相應導電墊AP物理接觸及電接觸。
參照圖12,在一些實施例中,從臨時載體TC2移除隔離結構50(0)。舉例來說,可透過蝕刻或類似製程移除隔離結構50(0);本公開不限於此。舉例來說,蝕刻製程可包括乾蝕刻、濕蝕刻或其組合。在一個實施例中,如圖12中所示,在隔離結構50(0)的移除期間,被上覆的半導體晶粒10A’’暴露出的每一載體晶粒10B的隔離層240餘留下來。然而,在替代實施例中,在隔離結構50(0)的移除期間,可同時移除被上覆的半導體晶粒10A’’暴露出的每一載體晶粒10B的隔離層240。
一起參照圖12及圖13,在一些實施例中,可在半導體晶粒10A’’的底表面110b’’上執行薄化製程(例如,研磨、CMP或類似製程),以形成經薄化的半導體晶粒10A’’’。在一些實施例中,導通孔130被經薄化的半導體晶粒10A’’’的底表面110b’’’暴露出。也就是說,在將半導體晶粒10A’’接合到載體晶粒10B之後,對半導體晶粒10A’’進行薄化以形成經薄化的半導體晶粒10A’’’,經薄化的半導體晶粒10A’’’具有範圍近似介於40 μm到200 μm的厚度T5。如圖13中所示,舉例來說,在經薄化的半導體晶粒10A’’’中的每一者中,導通孔130的底表面130b被半導體基底110的底表面110b’’’以可觸及的方式暴露出。舉例來說,在每一經薄化的半導體晶粒10A’’’中,導通孔130的底表面130b與半導體基底110的底表面110b’’’實質上齊平且共面。在經薄化的半導體晶粒10A’’’中的每一者中,由於導通孔130延伸穿過半導體基底110,因此當半導體基底110是矽基底時,導通孔130被稱為半導體穿孔(TSV)或矽穿孔。
參照圖14,在一些實施例中,使經薄化的半導體晶粒10A’’’凹陷,從而使得導通孔130從半導體基底110突出。換句話說,部分地移除經薄化的半導體晶粒10A’’’中的每一者的半導體基底110以獲得底表面110b,且導通孔130中的每一者的部分從半導體基底110的底表面110b突出出來。在進行凹陷之後,在圖14中所示橫截面中,形成多個凹陷R2,其中凹陷R2中的每一者形成在底表面110b上及兩個相鄰導通孔130的突出部分之間。舉例來說,凹陷R2各自具有範圍近似介於0.5 μm到1.5 μm的深度D2(如沿堆疊方向Z測量)。凹陷製程相似於結合圖8闡述的製程,且因此本文中不再對其予以贅述。
參照圖15,在一些實施例中,在臨時載體TC2之上形成隔離層51。在一些實施例中,隔離層51包括第一側向部分51a、第二側向部分51b及連接部分51c。舉例來說,如圖15中所示,第一側向部分51a設置在臨時接合層TB2上且在臨時接合層TB2之上延伸,第二側向部分51b設置在半導體基底110的底表面110b以及導通孔130的底表面130b及側壁130s上且在半導體基底110的底表面110b以及導通孔130的底表面130b及側壁130s之上延伸,且連接部分51c設置在第一側向部分51a上且延伸成與第二側向部分51b接觸。在一些實施例中,隔離層51具有範圍近似介於0.5 μm到1.6 μm的厚度T51,其中厚度T51是以隔離層51的相對兩側之間的最小距離來測量。如圖15中所示,第二側向部分51b填充凹陷R2。在一個實施例中,厚度T51大於深度D2,然而本公開不限於此。在替代實施例中,厚度T51實質上等於深度D2。換句話說,隔離層51足夠厚以覆蓋導通孔130中的每一者的突出部分。如圖15中所示,舉例來說,從半導體基底110的底表面110b突出的導通孔130的部分被隔離層51的第二側向部分51b包繞。
在一些實施例中,如圖15中所示,第一側向部分51a及第二側向部分51b側向延伸(例如沿方向X和/或方向Y延伸),且連接部分51c可以臺階形式朝上延伸(例如除沿方向X和/或方向Y以外也沿堆疊方向Z延伸)。隔離層51的形成及材料可與如圖9中所闡述的隔離層50的形成製程相同,且因此本文中不再對其予以贅述。在一個實施例中,隔離層51的厚度T51可與隔離層50的厚度T50相同。在替代實施例中,隔離層51的厚度T51可不同於隔離層50的厚度T50。
一起參照圖15及圖16,在一些實施例中,部分地移除隔離層51以暴露出導通孔130。在此種實施例中,對隔離層51進行平坦化,其中一個第一側向部分51a及與所述一個第一側向部分51a連接的經平坦化的連接部分51c’一起構成一個隔離結構50(1),且對隔離層51的第二側向部分51b進行平坦化以形成設置在底表面110b上的隔離層160。平坦化製程可包括透過例如CMP製程或類似製程來進行。至此,製造出半導體晶粒10A。在一些實施例中,半導體晶粒10A的厚度T10A的範圍近似介於3 μm到50 μm。在一些實施例中,厚度T10A小於或實質上等於厚度T5。在本公開中,在半導體結構P1a中,此處的半導體晶粒10A被稱為晶粒堆疊的第一層級10A(1)。應注意,圖中省略了半導體晶粒的各種層及特徵,且半導體晶粒10A可包括形成在其中的更多元件以執行不同的功能。
在一些實施例中,如圖16中所示,隔離層160以可觸及的方式顯露出導通孔130,以用於進一步的電連接。在一些實施例中,隔離層160的厚度T6的範圍近似介於0.3 μm到1 μm。在平坦化製程之後,舉例來說,可以可選地執行清潔製程,以清潔及移除平坦化製程所產生的殘留物。然而,本公開不限於此,且可透過任何其他合適的方法來執行平坦化製程。在一些實施例中,在對隔離層51進行平坦化期間,導通孔130也可被平坦化。在一些實施例中,隔離層51的厚度T51大於或實質上等於凹陷R2的深度D2,且隔離層160的厚度T6小於或實質上等於凹陷R2的深度D2。
在一些實施例中,隔離層160的底表面160b與導通孔130的底表面130b實質上齊平。也就是說,隔離層160的底表面160b與導通孔130的底表面130b實質上共面。在一些實施例中,如圖16中所示,導通孔130中的每一者的從半導體基底110的底表面110b突出的部分被隔離層160側向覆蓋,且導通孔130的底表面130b被隔離層160以可觸及的方式暴露出。在一些實施例中,利用此種平坦化,半導體晶粒10A透過隔離結構50(1)而形成為彼此分離。在本公開中,舉例來說,如圖16中所示,半導體晶粒10A各自具有前表面S1及背表面S2(例如,底表面160b),背表面S2與前表面S1相對。也就是說,舉例來說,半導體晶粒10A(例如,晶粒堆疊的第一層級10A(1))的前表面S1分別面朝且接合到載體晶粒10B(例如,晶粒堆疊的基礎層級10B(0))的背表面S4。
參照圖17,在一些實施例中,提供第二組的多個半導體晶粒10A’’,且這些半導體晶粒10A’’分別堆疊在第一層級10A(1)的半導體晶粒10A上。在本公開中,每一半導體晶粒10A’’(來自第二組)以面對背配置設置在第一層級10A(1)的半導體晶粒10A中的一者上,以用於形成晶粒堆疊的第二層級(例如,圖18中所繪示的10A(2))。舉例來說,半導體晶粒10A’’(來自第二組)的前表面S1分別面朝第一層級10A(1)的半導體晶粒10A的背表面S2。與如圖11中所闡述的製程相似,在半導體晶粒10A’’從膠帶框架TP1(圖4中所示)移除以安裝在第一層級10A(1)的半導體晶粒10A上之前與在接合之前,可以測試半導體晶粒10A’’,以使得僅已知良好晶粒(KGD)被使用來進行貼合。
在一些實施例中,透過混合接合來執行接合製程,以將半導體晶粒10A’’接合到半導體晶粒10A。舉例來說,如圖17及圖27A(示出由圖17所示虛線框(或虛線區域)B所指示的放大剖視圖)中所示,一個半導體晶粒10A’’與相應的下伏半導體晶粒10A之間的接合介面IF2包括金屬對金屬接合(例如,銅對銅接合)及介電質對介電質接合(例如,氧化物對氧化物接合、氧化物對氮化物接合或氮化物對氮化物接合)。舉例來說,半導體晶粒10A’’的接合導體150與半導體晶粒10A的導通孔130透過銅對銅接合(稱為直接金屬對金屬接合)接合在一起,且半導體晶粒10A’’的介電層140(例如,介電層DI4)與半導體晶粒10A的隔離層160透過氧化物對氮化物接合(稱為直接介電質對介電質接合)接合在一起。在本公開中,接合介面IF2可被稱為混合接合介面。
應注意,上述接合方法僅為實例,且不旨在進行限制。如圖27A中所示,舉例來說,接合導體150的側壁150SW與位於接合導體150之下的導通孔130的側壁130SW之間存在偏移。換句話說,由於接合導體150具有比導通孔130大的接合表面,因此即使發生未對準,仍可實現直接金屬對金屬接合,從而表現出更好的可靠性。在接合導體150的尺寸小於對應導通孔130的尺寸的一些實施例中,緊鄰接合導體150的半導體晶粒10A’’的介電層140可進一步接合到半導體晶粒10A的導通孔130的部分,例如金屬到介電質接合。
如圖17及圖27A中所示,舉例來說,分佈在前表面S1處的一個半導體晶粒10A’’的每一接合導體150與位於接合導體150之下的半導體晶粒10A的所述相應一個導通孔130物理接觸及電接觸。在一些實施例中,如圖27A中所示,此種接合導體150與上覆在接合導體150上的相應金屬化圖案MP物理接觸及電接觸。然而,本公開不限於此;在替代實施例中,參見圖27B,此種接合導體150可與上覆在接合導體150上的相應導電墊AP物理接觸及電接觸。
參照圖18,在一些實施例中,重複進行圖12至圖17中所闡述的步驟,以在基礎層級10B(0)中的多個載體晶粒10B之上形成多個晶粒堆疊100。如圖18中所示,在一個載體晶粒10B上設置有一個晶粒堆疊100。在一些實施例中,晶粒堆疊100各自包括一個最頂部層級10A(T),其中最頂部層級10A(T)包括圖4中所繪示的半導體晶粒10A’’。應理解,符號T指示每一晶粒堆疊100的層級數目,且分別設置在基礎層級10B(0)上的晶粒堆疊100各自可包括任意數目的層級。舉例來說,T是大於1的整數。舉例來說,如圖18中所示,半導體晶粒10A’’具有未被顯露出的導通孔130。在一些實施例中,在晶粒堆疊100中的每一者中,最頂部層級10A(T)中的半導體晶粒10A’’比內部層級(例如,10A(1)到10A(T-1))的下伏半導體晶粒10A中的任一者厚。舉例來說,最頂部層級10A(T)的半導體晶粒10A’’的厚度T2大於內部層級(例如,10A(1)到10A(T-1))中由其他半導體晶粒10A構成的一個層級的厚度T10A。
舉例來說,在每一晶粒堆疊100中,透過在圖17中所繪示的結構上執行結合圖13至圖16所闡述的方法來製作第二層級10A(2)處的半導體晶粒10A,且因此第一層級10A(1)及第二層級10A(2)處的半導體晶粒10A在配置、功能及性質上可相似或相同。也就是說,由於使用相似的形成步驟,因此每一晶粒堆疊100的第一層級10A(1)至第(T-1)層級10A(T-1)處的半導體晶粒10A在配置、功能及性質上可相似或相同。舉例來說,晶粒堆疊100各自具有帶有平整的表面的側壁100S。在一些實施例中,沿方向X,每一載體晶粒10B的寬度W10B大於每一晶粒堆疊100(的每一半導體晶粒10A/10A’’)的寬度W100。如圖18中所示,在一些實施例中,一個晶粒堆疊100的側壁100S與位於所述一個晶粒堆疊100之下的載體晶粒10B的側壁S5(見圖19)之間存在偏移。
在一些實施例中,可在接合之前測試層級(例如,比如內部層級10A(1)/10A(2)..10A(T-1)及最頂部層級10A(T)等)中的半導體晶粒(例如,10A及10A’’),以使得僅已知良好晶粒(KGD)被使用來形成晶粒堆疊100,從而增加製造良率。在半導體晶粒(例如,10A及10A’’)是記憶體器晶粒的一些實施例中,由於半導體晶粒垂直堆疊及接合,因此晶粒堆疊100在操作期間可實現較快的記憶體器間通訊,這又可提高資料頻寬且能夠使得進行較快的資料存取及資料記憶體。在一些實施例中,在操作期間,第一層級10A(1)處的半導體晶粒可幫助管理堆疊在所述半導體晶粒上的其他層級(例如,10A(2)到10A(T-1)及10A(T))處的相應半導體晶粒和/或基礎層級10B(0)處的載體晶粒10B之間的資料記憶體及資料格式互通性。
在一些實施例中,透過混合接合對晶粒堆疊100的半導體晶粒(例如,10A及10A’’)進行垂直堆疊及接合。舉例來說,對於一個晶粒堆疊100的每兩個相鄰層級,上部層級以面對背配置接合到下部層級。在一些實施例中,如圖18中所示,第二層級10A(2)的前表面S1接合到第一層級10A(1)的背表面S2。透過此種接合(不使用任何其他外部連接件),兩個相鄰堆疊層級處的晶粒之間不存在間隙,因此實現了具有更好形狀因數且在元件中具有更高密度的晶粒堆疊的半導體結構P1a。如圖18中所示,舉例來說,晶粒堆疊100透過隔離結構50(T-1)彼此分離及隔離,且載體晶粒10B也透過隔離結構50(T-1)彼此分離及隔離。
參照圖19,在一些實施例中,從臨時載體TC2移除隔離結構50(T-1)。舉例來說,可透過蝕刻或類似製程移除隔離結構50(T-1);本公開不限於此。蝕刻製程相似於圖12中所闡述的步驟,且因此本文中不再對其予以贅述。也就是說,舉例來說,晶粒堆疊100透過間隙彼此分離及隔離,且載體晶粒10B也透過間隙彼此分離及隔離。
如圖19及圖28A(示出由圖19所示虛線框(或虛線區域)C指示的放大橫截面圖)中所示,內部層級(例如,10A(1)到10A(T-1))中的半導體晶粒10A的半導體基底110中的至少一者可具有修圓邊緣(rounded edge)RE。舉例來說,對於半導體晶粒10A中的每一者,修圓邊緣RE連接到半導體基底110的底表面110b及側壁110s。在一些實施例中,第二層級10A(2)處的半導體晶粒10A的介電層140是實質上平的表面,以使得在第一層級10A(1)處的半導體晶粒10A的修圓邊緣RE與第二層級10A(2)處的半導體晶粒10A的介電層140之間形成間隙。對於此種實施例,在連續的步驟中,可透過例如介電層、導電層或具有至少一個介電層及至少一個導電層的層等稍後形成的層/元件來填充間隙。也就是說,修圓邊緣RE可被稍後形成的層/元件覆蓋。本公開不限於此。在替代實施例中,間隙可不被填充,且修圓邊緣RE可不被任何層/元件覆蓋。在一些實施例中,在背側薄化製程(例如,結合圖13所闡述的步驟)期間形成修圓邊緣RE。舉例來說,與半導體晶粒的邊緣接觸的研磨墊(grinding pad)將半導體晶粒的邊緣修圓。透過修圓邊緣RE的形成,半導體晶粒10A可分散由機械/熱應力及接合引起的邊緣/隅角區域中的應力,從而防止開裂(cracking)。
在其他實施例中,如圖28B中所示,修圓邊緣RE可由斜面邊緣(bevel edge)BE替代,其中斜面邊緣BE連接到半導體基底110的底表面110b及側壁110s。在一些實施例中,第二層級10A(2)處的半導體晶粒10A的介電層140是實質上平的表面,以使得在第一層級10A(1)處的半導體晶粒10A的斜面邊緣BE與第二層級10A(2)處的半導體晶粒10A的介電層140之間形成間隙。對於此種實施例,在連續的步驟中,可透過例如介電層、導電層或具有至少一個介電層及至少一個導電層的層等稍後形成的層/元件來填充間隙。也就是說,斜面邊緣BE可被稍後形成的層/元件覆蓋。然而,在替代實施例中,間隙可不被填充,且斜面邊緣BE可不被任何層/元件覆蓋。在一些實施例中,斜面邊緣BE是透過在切割道處形成的用於單體化製程(例如,結合圖4闡述的步驟)的單體化標記而形成。舉例來說,用於指示半導體晶粒的邊界的單體化標記使半導體晶粒的邊緣傾斜。透過斜面邊緣BE的形成,半導體晶粒10A可分散由機械/熱應力及接合引起的邊緣/隅角區域中的應力,從而防止開裂。
在又一些其他實施例中,如圖28C中所示,半導體基底110的底表面110b與側壁110s可在尖銳邊緣(sharp edge)SE處直接連接。在此種實施例中,第一層級10A(1)處的半導體晶粒10A的尖銳邊緣SE與第二層級10A(2)處的半導體晶粒10A的介電層140之間不形成間隙。在一些實施例中,尖銳邊緣SE可被或可不被任何層/元件覆蓋。
如圖19及圖29A(示出由圖19所示虛線框(或虛線區域)D所指示的放大橫截面圖)中所示,最頂部層級10A(T)處的半導體晶粒10A’’的半導體基底110可具有斜面邊緣BE。舉例來說,對於半導體晶粒10A’’,斜面邊緣BE連接到半導體基底110的底表面110b’’及側壁110s。對於此種實施例,在連續的步驟中,可透過例如介電層、導電層或具有至少一個介電層及至少一個導電層的層等稍後形成的層/元件覆蓋斜面邊緣BE。本公開不限於此。在替代實施例中,斜面邊緣BE可不被任何層/元件覆蓋。
然而,本公開不限於此。在其他實施例中,如圖29B中所示,半導體基底110的底表面110b’’與側壁110s可在尖銳邊緣SE處直接連接。在一些實施例中,尖銳邊緣SE可被或可不被任何層/元件覆蓋。
參照圖20,在一些實施例中,在臨時載體TC2之上設置電磁幹擾遮罩材料(electromagnetic interference shielding material)60A,電磁幹擾遮罩材料60A設置在晶粒堆疊100以及基礎層級10B(0)的載體晶粒10B上。在一些實施例中,電磁幹擾遮罩材料60A以共形方式覆蓋晶粒堆疊100以及基礎層級10B(0)的載體晶粒10B。在一些實施例中,電磁幹擾遮罩材料60A具有範圍近似介於500Å到5000Å的厚度T60,其中厚度T60是以電磁幹擾遮罩材料60A的相對兩側之間的最小距離來測量。舉例來說,電磁幹擾遮罩材料60A至少覆蓋晶粒堆疊100的側壁100S及底表面100b,且進一步覆蓋基礎層級10B(0)的載體晶粒10B的側壁S5以及背表面S4的部分。
在一些實施例中,電磁幹擾遮罩材料60A可由導電材料製成。用於電磁幹擾遮罩材料60A的材料可包括銅、鎳、鎳與鐵的合金、銅與鎳的合金、銀等,但不限於此。在一些實施例中,電磁幹擾遮罩材料60A可使用電解鍍覆、化學鍍覆、濺鍍、物理氣相沉積(physical vapor deposition,PVD)、化學氣相沉積或其他合適的金屬沉積製程來製作。如果需要,則可以可選地執行圖案化製程以暴露出臨時接合層TB2的部分。圖案化製程可包括例如乾蝕刻、濕蝕刻或其組合等蝕刻製程。
參照圖21,在一些實施例中,在形成電磁幹擾遮罩材料60A之後,在臨時載體TC2之上形成絕緣材料20’,以包封電磁幹擾遮罩材料60A、晶粒堆疊100及載體晶粒10B。舉例來說,絕緣材料20’可為模制化合物、環氧樹脂、類似材料或其他合適的電絕緣材料,且可透過壓縮模制(compression molding)、轉移模制(transfer molding)或類似製程施加。在一些實施例中,對電磁幹擾遮罩材料60A、晶粒堆疊100及載體晶粒10B進行包覆模制,且接著使用例如研磨、化學機械拋光(CMP)、其組合或其他合適的薄化製程對絕緣材料20’進行薄化以減小結構的總厚度。舉例來說,晶粒堆疊100的底表面100b(例如,半導體晶粒10A’’的底表面110b’’)在薄化之後被絕緣材料20’暴露出。
在一些實施例中,在對絕緣材料20’進行薄化期間,也對電磁幹擾遮罩材料60A進行圖案化以形成電磁幹擾遮罩層60。在某些實施例中,可例如在包覆模制的絕緣材料20’上執行薄化步驟,以使絕緣材料20’的表面20b、晶粒堆疊100的底表面100b(例如,半導體晶粒10A’’的底表面110b”)及電磁幹擾遮罩層60的表面S60齊平。舉例來說,絕緣材料20’的表面20b、晶粒堆疊100的底表面100b(例如,半導體晶粒10A’’的底表面110b’’)及電磁幹擾遮罩層60的表面S60彼此實質上齊平。換句話說,絕緣材料20’的表面20b與晶粒堆疊100的底表面100b(例如,半導體晶粒10A’’的底表面110b’’)及電磁幹擾遮罩層60的表面S60實質上共面。如圖21中所示,舉例來說,電磁幹擾遮罩層60不在晶粒堆疊100的底表面100b(例如,半導體晶粒10A’’的底表面110b’’)上延伸,且載體晶粒10B及設置在載體晶粒10B上的晶粒堆疊100透過電磁幹擾遮罩層60與絕緣材料20’分離。
絕緣材料20’可包括低的吸濕率(moisture absorption rate),且在固化後可為剛性的,以用於保護電磁幹擾遮罩層60、晶粒堆疊100及載體晶粒10B。透過利用由導電材料或磁性材料製成的阻障阻擋空間中的電磁場,電磁幹擾遮罩層60被用於來減少或抑制所述電磁場。在一些實施例中,電磁幹擾遮罩層60可減少例如無線電波、電磁場及靜電場的耦合。在一些實施例中,電磁幹擾遮罩層60可與接地(未示出)電接觸,以呈電接地。
在一些實施例中,在對絕緣材料20’進行薄化期間,也可對半導體晶粒10A’’的半導體基底110進行圖案化,本公開不限於此。在其他實施例中,可省略薄化製程,且透過絕緣材料20’掩埋或覆蓋電磁幹擾遮罩材料60A、晶粒堆疊100及載體晶粒10B。在此種實施例中,電磁幹擾遮罩材料60A用作電磁幹擾遮罩層,且與接地(未示出)電接觸,以呈電接地。
參照圖22,在一些實施例中,將另一臨時載體TC3可選地與臨時載體TC2相對的貼合到絕緣材料20’。在絕緣材料20’被薄化成暴露出最頂部層級10A(T)處的半導體晶粒10A’’的一些實施例中,臨時載體TC3透過臨時接合層TB3接合到絕緣材料20’(例如,表面20b)及晶粒堆疊100的底表面100b(例如,半導體晶粒10A’’的底表面110b’’)。可以執行剝離製程,其中從基礎層級10B(0)處的載體晶粒10B釋放臨時載體TC2及臨時接合層TB2,從而使得載體晶粒10B的前表面S3及絕緣材料20’的表面20a暴露出來。舉例來說,沿堆疊方向Z,絕緣材料20’的表面20a與絕緣材料20’的表面20b相對。在一些實施例中,在剝離臨時載體TC2之後清潔載體晶粒10B的前表面S3,以用於進一步處理。在圖4中已闡述剝離製程,且因此為簡單起見,本文中不再對其予以贅述。
參照圖23,在一些實施例中,在移除臨時載體TC2及臨時接合層TB2之後,隨後在被暴露出的載體晶粒10B的前表面S3處形成多個導電端子30。導電端子30可使用例如濺鍍、印刷、鍍覆、沉積或類似製程來形成。導電端子30可由包括銅、鋁、金、鎳、銀、鈀、錫、焊料、金屬合金、類似材料或其組合在內的導電材料形成。舉例來說,導電端子30中的每一者包括凸塊31。凸塊31可為微凸塊、金屬柱、無電鍍鎳鈀浸金(electroless nickel-electroless palladium-immersion gold,ENEPIG)形成的凸塊、受控塌陷晶片連接(controlled collapse chip connection,C4)凸塊、球柵陣列(ball grid array,BGA)凸塊或類似物。在凸塊31是微凸塊的實施例中,兩個相鄰凸塊31之間的凸塊節距的範圍介於約35 μm到約55 μm。凸塊31可為無焊料的,且可具有實質上垂直(豎直)的側壁。在一些實施例中,導電端子30中的每一者包括透過例如鍍覆、印刷或類似製程形成在凸塊31的頂部上的金屬頂蓋32。舉例來說,金屬頂蓋32的材料包括鎳、錫、錫鉛、金、銀、鈀、鎳鈀金、鎳金、類似物或這些的任意組合。
在一些實施例中,在形成導電端子30之前,如圖23中所示,在晶粒堆疊100的基礎層級10B(0)處形成保護層70。在一些實施例中,保護層70設置在載體晶粒10B及絕緣材料20’上,且延伸以覆蓋載體晶粒10B的前表面S3及絕緣材料20’的表面20a。換句話說,保護層70與絕緣材料20’及載體晶粒10B接觸。舉例來說,保護層70包含例如氧化矽、氮化矽、未經摻雜的矽酸鹽玻璃、聚醯亞胺或用於保護下伏結構的其他合適的絕緣材料等鈍化材料。在一些實施例中,保護層70包括多個開口OP,所述多個開口OP暴露出載體晶粒10B中的每一者的內連線結構220中的下伏導電特徵(未示出)的至少部分,以用於進一步的電連接。舉例來說,如圖23中所示,導電端子30被形成為與透過在保護層70中形成的開口OP暴露出的載體晶粒10B的內連線結構220中的導電特徵物理接觸及電接觸。
作為另外一種選擇,可省略保護層70,本公開不限於此。在此種實施例中,導電端子30直接形成在載體晶粒10B上,以與載體晶粒10B的內連線結構220中的導電特徵物理接觸及電接觸。
作為另外一種選擇,可用重佈線路結構(未示出)代替保護層70,重佈線路結構包括交替佈置的一個或多於一個介電層及一個或多於一個金屬化層。在此種實施例中,導電端子30形成在重佈線路結構上,以透過重佈線路結構中的金屬化層與載體晶粒10B的內連線結構220中的導電特徵電接觸。
參照圖24,在一些實施例中,透過剝離製程從絕緣材料20’及晶粒堆疊100移除臨時載體TC3及臨時接合層TB3。舉例來說,剝離製程包括向臨時接合層施加能量、機械剝落、蝕刻或其他合適的移除技術。隨後,執行單體化製程以形成多個分離的各別半導體結構P1a。可透過例如鋸切、雷射切學或類似製程沿切割道(未示出)執行單體化。可切穿絕緣材料20’以形成絕緣包封體20。絕緣包封體20暴露出透過電磁幹擾遮罩層60暴露出的晶粒堆疊100的底表面100b,且設置在被電磁幹擾遮罩層60覆蓋的晶粒堆疊100的側壁100S以及載體晶粒10C的側壁S5及背表面S4的部分處。
在一些實施例中,如圖24中所示,半導體結構P1a具有載體晶粒10B、設置在載體晶粒10B上的晶粒堆疊100、形成在載體晶粒10B及晶粒堆疊100上的絕緣包封體20、夾在絕緣包封體20與載體晶粒10B之間以及絕緣包封體20與晶粒堆疊100之間的電磁幹擾遮罩層60、設置在載體晶粒10B及絕緣包封體20上的保護層70以及設置在絕緣包封體20上的導電端子30。在一些實施例中,載體晶粒10B是例如被配置成執行讀取、程式化、抹除和/或其他操作的邏輯晶粒,且晶粒堆疊100是例如包括彼此堆疊且透過載體晶粒10B程式化的記憶體器晶粒的記憶體器堆疊。在某些實施例中,半導體結構P1a被稱為(半導體)元件封裝。舉例來說,半導體結構P1a的晶粒堆疊100中的半導體晶粒10A/10A’’可為高頻寬記憶體器(HBM)晶粒,且載體晶粒10B可為對這些記憶體器晶粒提供控制功能的邏輯晶粒。換句話說,晶粒堆疊100中的半導體晶粒10A/10A’’與載體晶粒10B透過混合接合接合在一起,且彼此電連接及電通訊。視產品要求而定,在半導體結構P1a中可採用其他類型的晶粒。在本公開中,晶粒堆疊100與載體晶粒10B一起被稱為堆疊結構SS1。
在一些實施例中,在單體化之後,透過絕緣包封體20來進一步覆蓋被電磁幹擾遮罩層60覆蓋的載體晶粒10B的側壁S5。舉例來說,絕緣包封體20的側壁20S可在單體化之後與保護層70的側壁70S實質上齊平。也就是說,絕緣包封體20的側壁20S與保護層70的側壁70S對準。在一些實施例中,如圖24中所示,晶粒堆疊100的側壁100S遠離絕緣包封體20的側壁20S,且載體晶粒10B的側壁S5也遠離絕緣包封體20的側壁20S。
如圖24及圖25(在X-Y平面上的俯視平面圖)中所示,對於半導體結構P1a,晶粒堆疊100的定位位置在載體晶粒10B的定位位置內且在絕緣包封體20的定位位置內,且載體晶粒10B的定位位置在絕緣包封體20的定位位置內。換句話說,晶粒堆疊100的周邊小於載體晶粒10B的周邊及絕緣包封體20的周邊,且載體晶粒10B的周邊小於絕緣包封體20的周邊。
然而,本公開不限於此。圖30至圖44是分別示出根據本公開一些實施例的半導體結構的示意性橫截面圖。為易於理解,相同的元件用相同的參考編號指定,且本文中不再對其予以贅述。
在替代實施例中,可包括附加的絕緣包封體。圖30中所繪示的半導體結構P1b相似於圖24中所繪示的半導體結構P1a,不同之處在於,半導體結構P1b進一步包括絕緣包封體40。舉例來說,如圖30中所示,絕緣包封體40至少位於保護層70與絕緣包封體20之間。在一些實施例中,絕緣包封體40是在形成絕緣包封體20之前形成,從而使得絕緣包封體40進一步覆蓋被電磁幹擾遮罩層60覆蓋的載體晶粒10B的側壁S5。舉例來說,絕緣包封體40不僅進一步覆蓋被晶粒堆疊100暴露出且被電磁幹擾遮罩層60覆蓋的載體晶粒10B的背表面S4的部分,而且覆蓋被電磁幹擾遮罩層60覆蓋的晶粒堆疊100的側壁100S的部分。也就是說,絕緣包封體40進一步部分地位於絕緣包封體20與載體晶粒10B之間。如圖30中所示,舉例來說,絕緣包封體20的側壁20S及保護層70的側壁70S與絕緣包封體40的側壁40S實質上共面且對準。
在一些實施例中,絕緣包封體40可透過例如旋塗、沉積或類似製程共形地形成。在一些實施例中,絕緣包封體40的材料可包括氮化物(例如氮化矽)、氧化物(例如氧化矽)或類似物(例如,氮氧化矽、碳化矽、聚合物、類似物)。本公開無具體限制。在本公開中,絕緣包封體40不同于絕緣包封體20。
在又一替代實施例中,參見圖31中所繪示的半導體結構P1c,絕緣包封體20被絕緣包封體40代替。圖31中所繪示的半導體結構P1c相似於圖24中所繪示的半導體結構P1a,不同之處在於,半導體結構P1c採用絕緣包封體40而不是絕緣包封體20。舉例來說,絕緣包封體40完全覆蓋電磁幹擾遮罩層60。如圖31中所示,舉例來說,保護層70的側壁70S與絕緣包封體40的側壁40S實質上共面且對準。
在其他替代實施例中,參見圖32中所繪示的半導體結構P1d,不存在絕緣包封體(例如,20、40)。圖32中所繪示的半導體結構P1d相似於圖24中所繪示的半導體結構P1a,不同之處在於,晶粒堆疊100及載體晶粒10B僅被電磁幹擾遮罩層60覆蓋。如圖32中所示,舉例來說,保護層70的側壁70S與位於載體晶粒10B的側壁S5上的部分電磁幹擾遮罩層60的的一側實質上共面且對準。
在一些實施例中,與半導體結構P1a到P1d相比,圖33至圖36中所繪示的半導體結構P2a到P2d中可分別包括隔離結構(例如,圖18中所繪示的50(T-1))。舉例來說,圖33中所繪示的半導體結構P2a相似於圖24中所繪示的半導體結構P1a,不同之處在於,在半導體結構P2a中,隔離結構50(T-1)餘留在載體晶粒10B及晶粒堆疊100上。如圖33中所示,隔離結構50(T-1)覆蓋晶粒堆疊100的側壁100S的部分、載體晶粒10B的側壁S5及被晶粒堆疊100暴露出的載體晶粒10B的背表面S4。舉例來說,隔離結構50(T-1)位於晶粒堆疊100/載體晶粒10B與絕緣包封體20之間。如圖33中所示,保護層70位於載體晶粒10B、隔離結構50(T-1)、電磁幹擾遮罩層60及絕緣包封體20上,且內部層級(例如,10A(1)到10A(T-1))的側壁被隔離結構50(T-1)覆蓋。相似地,如圖34至圖36中所示,隔離結構也可被引入半導體結構P1b、P1c及P1d,以分別形成半導體結構P2b、P2c及P2d。
另一方面,在一些實施例中,與半導體結構P2a到P2d相比,圖37至圖40中分別繪示的半導體結構P3a到P3d中可不包括電磁幹擾遮罩元件(例如,60或60A)。
在一些實施例中,與半導體結構P1a到P1d相比,圖41至圖44中分別繪示的半導體結構P4a到P4d中可不包括電磁幹擾遮罩元件(例如,60或60A)。圖41中所繪示的半導體結構P4a相似於圖24中所繪示的半導體結構P1a,不同之處在於,在半導體結構P4a中,電磁幹擾遮罩層60被從載體晶粒10B及晶粒堆疊100移除。如圖41中所示,晶粒堆疊100的側壁100S、載體晶粒10B的側壁S5及被晶粒堆疊100暴露出的載體晶粒10B的背表面S4與絕緣包封體20物理接觸。相似地,如圖41至圖44中所示,也可從半導體結構P1b、P1c及P1d移除電磁幹擾遮罩元件,以分別形成半導體結構P4b、P4c及P4d。
圖45是示出根據本公開一些實施例的半導體結構的示意性橫截面圖。圖46A及圖46B是分別示出根據本公開一些實施例的半導體結構的半導體晶粒、載體晶粒及絕緣包封體之間的相對位置的示意性俯視圖。為易於理解,相同的元件用相同的參考編號指定,且本文中不再對其予以贅述。舉例來說,圖45是沿圖46A中所繪示的橫截面線II-II截取的半導體結構P5的示意性橫截面圖。
圖41中所繪示的半導體結構P5相似於圖24中所繪示的半導體結構P1a,不同之處在於,在半導體結構P4a中,多個晶粒堆疊100設置在一個基礎層級10B(0)上。換句話說,在半導體結構P5中,多個半導體晶粒(例如,10A及10A’’)設置在一個載體晶粒10B上。在一些實施例中,透過混合接合,晶粒堆疊100以面對背配置接合到基礎層級10B(0)處的載體晶粒10B。在本公開中,晶粒堆疊100及載體晶粒10B一起被稱為堆疊結構SS2。
如圖45及圖46A中所示,舉例來說,晶粒堆疊100以矩陣形式佈置在載體晶粒10B上,例如N×N或N×M陣列(N、M >0,N可等於或可不等於M)。晶粒堆疊100的陣列大小可基於需求來指定及選擇,且不限於本公開。在一些實施例中,晶粒堆疊100佈置成圖46A中所繪示的1×3陣列。然而,本公開不限於此;在替代實施例中,晶粒堆疊100可佈置成圖46B中所繪示的2×2陣列。
在一些實施例中,對於半導體結構P5,晶粒堆疊100的定位位置在載體晶粒10B的定位位置內及絕緣包封體20的定位位置內,且載體晶粒10B的定位位置在絕緣包封體20的定位位置內。換句話說,載體晶粒10B及絕緣包封體20與並排佈置的多個晶粒堆疊100交疊。
圖47是示出根據本公開一些實施例的半導體結構的示意性橫截面圖。圖48A及圖48B是分別示出根據本公開一些實施例的半導體結構的半導體晶粒、載體晶粒及絕緣包封體之間的相對位置的示意性俯視圖。為易於理解,相同的元件用相同的參考編號指定,且本文中不再對其予以贅述。舉例來說,圖47是沿圖48A中所繪示的橫截面線III-III截取的半導體結構P6的示意性橫截面圖。
圖47中所繪示的半導體結構P6相似於圖24中所繪示的半導體結構P1a,不同之處在於,半導體結構P4a包括多個堆疊結構SS1(在圖24中繪示)。如圖47及圖48A中所示,舉例來說,堆疊結構SS1以矩陣形式並排佈置在例如N×N或N×M陣列中(N、M >0,N可等於或可不等於M)。堆疊結構SS1的陣列大小可基於需求來指定及選擇,且不限於本公開。在一些實施例中,堆疊結構SS1佈置成圖48A中所繪示的1×3陣列。然而,本公開不限於此;在替代實施例中,堆疊結構SS1可佈置成圖48B中所繪示的2×2陣列。
如圖47及圖48(在X-Y平面上的俯視平面圖)中所示,對於半導體結構P6,每一晶粒堆疊100的定位位置在每一載體晶粒10B的定位位置內且在絕緣包封體20的定位位置內,且每一載體晶粒10B的定位位置在絕緣包封體20的定位位置內。換句話說,每一晶粒堆疊100的周邊小於每一載體晶粒10B的周邊及絕緣包封體20的周邊,且每一載體晶粒10B的周邊小於絕緣包封體20的周邊。
另外,堆疊結構SS1可部分或全部由圖45中所繪示的堆疊結構SS2替代。本公開不限於此。
圖49至圖56是示出根據本公開一些實施例的半導體結構製造方法中各種階段的示意性橫截面圖。圖57是示出根據本公開一些實施例的半導體結構的半導體晶粒、載體晶粒及絕緣包封體之間的相對位置的示意性俯視圖。舉例來說,圖49是沿圖57中所繪示的橫截面線IV-IV截取的半導體結構P7的示意性橫截面圖。為易於理解,相同的元件用相同的參考編號指定,且本文中不再對其予以贅述。
參照圖49,在一些實施例中,提供半導體晶圓W2。在一些實施例中,半導體晶圓W2包括彼此連接的多個半導體晶粒10B’。圖5中已闡述半導體晶粒10B’的細節,因此為簡單起見,本文中不再對其予以贅述。舉例來說,半導體晶粒10B’中的每一者可包括積體電路元件(例如,邏輯晶粒、記憶體器晶粒、射頻晶粒、功率管理晶粒、微機電系統(MEMS)晶粒、類似物或這些的組合)。
參照圖50,在一些實施例中,透過臨時接合層TB4將半導體晶圓W2設置在臨時載體TC4上。在一些實施例中,在臨時載體TC4上沉積臨時接合層TB4,且透過將半導體晶粒10B’的前表面S3放置成與臨時接合層TB4接觸而透過臨時接合層TB4將半導體晶圓W2貼合到臨時載體TC4。作為另外一種選擇,可省略臨時接合層TB4。臨時接合層TB4及臨時載體TC4的形成和/或材料相似於圖2中所闡述的臨時接合層TB1及臨時載體TC1的形成和/或材料,因此本文中不再對其予以贅述。如圖50中所示,舉例來說,半導體晶粒10B’的底表面210b’面朝上以用於後續處理。
參照圖51,在一些實施例中,處理半導體晶圓W2以形成具有彼此連接的多個半導體晶粒10B的半導體晶圓W2’。在一些實施例中,半導體晶粒10B被稱為載體晶粒10B。舉例來說,透過圖7至圖10中所闡述的步驟來處理半導體晶圓W2,因此為簡潔起見,不再予以贅述。如圖51中所示,載體晶粒10B中的每一者包括半導體基底210、內連線結構220、隔離層240及多個導通孔230,半導體基底210中形成有多個半導體元件,內連線結構220形成在半導體基底210之上且包括接近前表面S3的多個介電層及多個金屬化圖案,隔離層240與內連線結構220相對地形成在半導體基底210之上,且導通孔230形成在半導體基底210中、延伸到內連線結構220的介電層內以與內連線結構220的金屬化圖案物理接觸及電接觸且穿透隔離層240。載體晶粒10B中的每一者的導通孔230可透過內連線結構220的金屬化圖案電耦合到半導體基底210中的半導體元件。在一些實施例中,半導體晶粒10B各自具有前表面S3及與前表面S3相對的背表面S4。在一些實施例中,載體晶粒10B中的每一者被稱為一個晶粒堆疊100的一個基礎層級10B(0)。
參照圖52,在一些實施例中,提供第一組的多個半導體晶粒10A’’,其中這些半導體晶粒10A’’堆疊在載體晶粒10B上。舉例來說,如結合圖1至圖4所闡述般製作半導體晶粒10A’’。在一些實施例中,透過混合接合製程將半導體晶粒10A’’以面對背配置接合到載體晶粒10B。結合圖26A及圖26B(示出由虛線框(或虛線區域)A指示的放大橫截面圖),在圖11中闡述了所述接合製程及接合關係/配置,且因此本文中不再對其予以贅述。舉例來說,如圖52中所示,半導體晶粒10A’’的前表面S1分別接合到載體晶粒10B的背表面S4。
參照圖53,在一些實施例中,對第一組的半導體晶粒10A’’進行處理,以在晶粒堆疊100中形成第一層級10A(1)的多個半導體晶粒10A。舉例來說,透過圖12至圖16中所闡述的步驟來處理半導體晶粒10A’’,因此為簡潔起見,不再予以贅述。如圖53中所示,半導體晶粒10A中的每一者包括半導體基底110、內連線結構120、多個導通孔130、介電層140、多個接合導體150及隔離層160,半導體基底110中形成有多個半導體元件(未示出),內連線結構120形成在半導體基底110上,所述多個導通孔130形成在半導體基底110中且延伸到內連線結構120內,介電層140形成在內連線結構120上且與半導體基底110相對,所述多個接合導體150形成在內連線結構120之上且被介電層140側向覆蓋,隔離層160與內連線結構120相對地設置在半導體基底110上,且導通孔130穿透隔離層160。在一些實施例中,半導體晶粒10A各自具有前表面S1及與前表面S1相對的背表面S2。舉例來說,如圖53中所示,半導體晶粒10A透過隔離結構50(1)彼此分離,其中隔離結構50(1)位於半導體晶圓W2’之上。
參照圖54,在一些實施例中,提供第二組的多個半導體晶粒10A’’,且這些半導體晶粒10A’’分別堆疊在第一層級10A(1)的半導體晶粒10A上。在本公開中,每一半導體晶粒10A’’(來自第二組)以面對背配置設置在第一層級10A(1)的半導體晶粒10A中的一者上,以用於形成晶粒堆疊100的第二層級(例如,圖55中所繪示的10A(2))。在一些實施例中,透過混合接合製程將半導體晶粒10A’’(來自第二組)接合到第一層級10A(1)的半導體晶粒10A。結合圖27A及圖27B(示出由虛線框(或虛線區域)B指示的放大橫截面圖),在圖17中闡述了所述接合製程及接合關係/配置,且因此本文中不再對其予以贅述。舉例來說,如圖54中所示,半導體晶粒10A’’(來自第二組)的前表面S1分別接合到第一層級10A(1)的半導體晶粒10A的背表面S2。舉例來說,導通孔130尚未被半導體基底110以可觸及的方式顯露出。
參照圖55,在一些實施例中,在載體晶粒10B之上形成晶粒堆疊100。舉例來說,晶粒堆疊100中的每一者包括至少一個內部層級(例如10A(1)到10A(T-1))及最頂部層級10A(T)。在一些實施例中,對圖54中所繪示的結構進行處理以形成晶粒堆疊100。舉例來說,在每一晶粒堆疊100中,可透過與圖53中所闡述的形成第一層級10A(1)相同的步驟來製作每一內部層級(例如,10A(2)到10A(T-1)),且可透過圖54中所闡述的製程來製作最頂部層級10A(T),且因此為簡潔起見,不再予以贅述。也就是說,可透過重複如圖12至圖16所述的步驟來各別地製作內部層級(例如,10A(1)到10A(T-1))處的半導體晶粒10A,且可透過重複如圖17所述的步驟來製作最頂部層級10A(T)。如此,由於使用了相似的形成步驟,因此每一晶粒堆疊100的第一層級10A(1)到第(T-1)層級10A(T-1)處的半導體晶粒10A在配置、功能及性質上可相似或相同。在一些實施例中,在形成晶粒堆疊100之後,從半導體晶圓W2’移除隔離結構。舉例來說,對於每一晶粒堆疊100的每兩個相鄰層級,上部層級以面對背配置接合到下部層級。透過此種接合(不使用任何其他外部連接件),實現了具有更好形狀因數且在元件中具有更高密度的晶粒堆疊的半導體結構P7。
參照圖56,在一些實施例中,透過用圖20至圖24中闡述的步驟處理圖55中所繪示的結構來製造半導體結構P7,因此為簡潔起見,不再予以贅述。在一些實施例中,半導體結構P7具有載體晶粒10B、晶粒堆疊100、絕緣包封體20、電磁幹擾遮罩層60、保護層70及導電端子30,晶粒堆疊100設置在載體晶粒10B上,絕緣包封體20形成在載體晶粒10B及晶粒堆疊100上,電磁幹擾遮罩層60夾在絕緣包封體20與載體晶粒10B之間以及絕緣包封體20與晶粒堆疊100之間,保護層70設置在載體晶粒10上,導電端子30設置在絕緣包封體20上。如圖56中所示,舉例來說,絕緣包封體20的側壁20S與載體晶粒10B的側壁S5、電磁幹擾遮罩層60的側壁60S及保護層70的側壁70S對準。也就是說,絕緣包封體20的側壁20S可與載體晶粒10B的側壁S5、電磁幹擾遮罩層60的側壁60S及保護層70的側壁70S實質上齊平且共面。
如圖56及圖57(在X-Y平面上的俯視平面圖)中所示,對於半導體結構P7,晶粒堆疊100的定位位置在載體晶粒10B的定位位置內及絕緣包封體20的定位位置內,其中載體晶粒10B的邊緣與絕緣包封體20的邊緣交疊。換句話說,晶粒堆疊100的周邊小於載體晶粒10B的周邊及絕緣包封體20的周邊,且載體晶粒10B的周邊實質上等於絕緣包封體20的周邊。
另外,對半導體結構P1a的修改也可被半導體結構P5、P6及P7採用。由於在圖30至圖44中闡述了對半導體結構P1a的修改的細節,因此為簡潔起見,不再予以贅述。
圖58是示出根據本公開一些實施例的半導體結構的應用的示意性橫截面圖。為易於理解,相同的元件用相同的參考編號指定,且本文中不再對其予以贅述。參照圖58,提供包括第一元件C1及設置在第一元件C1之上的第二元件C2的元件總成SC。第一組件C1可為或可包括中間體、封裝基底、印刷電路板(printed circuit board,PCB)、印刷線路板和/或能夠承載積體電路的其他載體。在一些實施例中,安裝在第一元件C1上的第二元件C2相似于上文所描述的半導體結構P1a-P1d、P2a-P2d、P3a-P3d、P4a-P4d、P5、P6及P7中的一者。舉例來說,一個或多個半導體結構(例如,P1a-P1d、P2a-P2d、P3a-P3d、P4a-P4d、P5、P6及P7)可透過多個端子CT電耦合到第一組件C1。端子CT可為導電端子30。
在一些實施例中,在第一元件C1與第二元件C2的間隙之間形成底膠層UF,以至少側向覆蓋端子CT。作為另外一種選擇,省略底膠層UF。在一個實施例中,可透過底膠層分配(underfill dispensing)或任何其他合適的方法形成底膠層UF。在一些實施例中,底膠層UF的材料可與絕緣包封體20、40的材料相同或不同,本公開不限於此。由於底膠層UF,第一組分C1與第二組分C2之間的接合強度得到增強。
在一些其他實施例中,安裝在第一元件C1上的第二元件C2可為積體扇出型(integrated fan-out,InFO)封裝,InFO封裝包括封裝在其中的至少一個半導體結構(例如,上文結合圖24、圖31至圖44、圖45、圖47及圖56闡述的P1a-P1d、P2a-P2d、P3a-P3d、P4a-P4d、P5、P6及P7)。舉例來說,第二元件C2包括並排設置且被封裝包封體(未示出;例如,模制化合物)環繞的多個半導體結構(例如,半導體結構P1a-P1d、P2a-P2d、P3a-P3d、P4a-P4d、P5、P6及P7的任意組合)。第二元件C2可進一步包括形成在封裝包封體與被封裝包封體側向包封的這些半導體結構上的扇出型重佈線結構(未示出),且扇出型重佈線結構可電耦合到這些半導體結構。在此種實施例中,端子CT可為受控塌陷晶片連接(C4)凸塊、球柵陣列(BGA)凸塊、尺寸大於半導體結構的導電端子的其他合適的端子和/或類似物。舉例來說,端子CT形成在扇出型重佈線結構上以電耦合到第一元件C1,且這些半導體結構透過扇出型重佈線結構電耦合到端子CT。
可使用其他封裝技術來形成元件總成SC,此在本公開中不受限制。舉例來說,使用晶圓級封裝(wafer level packaging,WLP)、基底上晶圓上有晶片(chip-on-wafer-on-substrate,CoWoS)製程、基底上晶片上有晶片(chip-on-chip-on-substrate,CoCoS)製程等形成組件總成SC。元件總成SC可為用於例如電腦(例如,高性能電腦)、與人工智慧系統結合使用的計算元件、無線通訊元件、電腦相關週邊元件、娛樂元件等電子系統的部分。包括本文中所論述的半導體結構的元件總成SC可提供高頻寬資料通訊。應注意,其他電子應用也是可能的。作為另外一種選擇,附加端子可和端子CT相對地與第一元件C1物理接觸及電接觸,以用於與任何其他外部元件電連接。
根據一些實施例,一種半導體結構包括堆疊結構。所述堆疊結構包括第一半導體晶粒及第二半導體晶粒。所述第一半導體晶粒包括具有第一主動表面及與所述第一主動表面相對的第一背表面的第一半導體基底。所述第二半導體晶粒位於所述第一半導體晶粒之上,且包括具有第二主動表面及與所述第二主動表面相對的第二背表面的第二半導體基底。所述第二半導體晶粒透過沿垂直方向在第一混合接合介面處將所述第二主動表面結合到所述第一背表面而接合到所述第一半導體晶粒。沿側向方向,所述第一半導體晶粒的第一尺寸大於所述第二半導體晶粒的第二尺寸。
根據一些實施例,在所述的半導體結構中,其中所述第一半導體晶粒進一步包括穿透所述第一半導體基底的多個第一導通孔,且所述第二半導體晶粒進一步包括穿透所述第二半導體基底的多個第二導通孔,且其中所述多個第一導通孔在所述第一混合接合介面處分別結合到所述多個第二導通孔,且所述第一半導體晶粒透過所述多個第一導通孔及所述多個第二導通孔與所述第二半導體晶粒電連接及電通訊。根據一些實施例,在所述的半導體結構中,其中所述第二半導體晶粒包括具有多個第二半導體晶粒的第一堆疊,其中具有所述多個第二半導體晶粒的所述第一堆疊中的兩個相鄰第二半導體晶粒透過另一混合接合介面彼此接合,且所述兩個相鄰第二半導體晶粒中的一者的第二主動表面在所述另一混合接合介面處結合到所述兩個相鄰第二半導體晶粒中的另一者的第二背表面,其中所述第一堆疊中的所述多個第二半導體晶粒透過所述多個第二導通孔彼此電連接及電通訊,且透過所述多個第一導通孔及所述多個第二導通孔與所述第一半導體晶粒電連接及電通訊。根據一些實施例,在所述的半導體結構中,其中所述堆疊結構進一步包括:第三半導體晶粒,包括第三半導體基底及嵌入所述第三半導體基底中的多個第三導通孔,且具有第三主動表面及與所述第三主動表面相對的第三背表面,其中:所述第三半導體晶粒透過沿所述垂直方向在第二混合接合介面處將所述第三主動表面結合到所述第一堆疊中與所述第一半導體晶粒相對的最外部第二半導體晶粒的第二背表面而接合到所述最外部第二半導體晶粒,沿所述側向方向,所述第三半導體晶粒的第三尺寸實質上等於每一第二半導體晶粒的所述第二尺寸,且所述多個第三導通孔在所述第二混合接合介面處分別結合到所述最外部第二半導體晶粒的多個第二導通孔,且所述第三半導體晶粒與所述第一半導體晶粒及具有所述多個第二半導體晶粒的所述第一堆疊電連接及電通訊。根據一些實施例,在所述的半導體結構中,其中所述第二半導體晶粒進一步包括位於所述第一半導體晶粒上的具有多個第二半導體晶粒的至少一個第二堆疊,且具有所述多個第二半導體晶粒的所述至少一個第二堆疊與具有所述多個第二半導體晶粒的所述第一堆疊沿所述側向方向並排佈置,其中具有所述多個第二半導體晶粒的所述至少一個第二堆疊中的兩個相鄰第二半導體晶粒透過另一混合接合介面彼此接合,且所述兩個相鄰第二半導體晶粒中的一者的第二主動表面在所述另一混合接合介面處結合到所述兩個相鄰第二半導體晶粒中的另一者的第二背表面,其中所述至少一個第二堆疊中的所述多個第二半導體晶粒透過所述多個第二導通孔彼此電連接及電通訊,且透過所述多個第一導通孔及所述多個第二導通孔與所述第一半導體晶粒電連接及電通訊。根據一些實施例,在所述的半導體結構中,其中所述堆疊結構進一步包括:第三半導體晶粒,包括第三半導體基底及嵌入所述第三半導體基底中的多個第三導通孔,且具有第三主動表面及與所述第三主動表面相對的第三背表面,其中:所述第三半導體晶粒透過沿所述垂直方向在第二混合接合介面處將所述第三主動表面結合到所述第一堆疊中與所述第一半導體晶粒相對的最外部第二半導體晶粒的第二背表面而接合到所述第一堆疊中的所述最外部第二半導體晶粒,沿所述側向方向,所述第三半導體晶粒的第三尺寸實質上等於所述第一堆疊中的每一第二半導體晶粒的所述第二尺寸,且所述多個第三導通孔在所述第二混合接合介面處分別結合到所述第一堆疊中的所述最外部第二半導體晶粒的多個第二導通孔,且所述第三半導體晶粒與所述第一半導體晶粒及具有所述多個第二半導體晶粒的所述第一堆疊電連接及電通訊;以及至少一個第四半導體晶粒,包括第四半導體基底及嵌入所述第四半導體基底中的多個第四導通孔,且具有第四主動表面及與所述第四主動表面相對的第四背表面,其中:所述至少一個第四半導體晶粒透過沿所述垂直方向在第三混合接合介面處將所述第四主動表面結合到所述至少一個第二堆疊中與所述第一半導體晶粒相對的最外部第二半導體晶粒的第二背表面而接合到所述至少一個第二堆疊中的所述最外部第二半導體晶粒,沿所述側向方向,所述至少一個第四半導體晶粒的第四尺寸實質上等於所述至少一個第二堆疊中的每一第二半導體晶粒的所述第二尺寸,且所述多個第四導通孔在所述第三混合接合介面處分別結合到所述至少一個第二堆疊中的所述最外部第二半導體晶粒的多個第二導通孔,且所述至少一個第四半導體晶粒與所述第一半導體晶粒及具有所述多個第二半導體晶粒的所述至少一個第二堆疊電連接及電通訊。根據一些實施例,在所述的半導體結構中,其中所述第二半導體基底進一步包括側壁及修圓邊緣,所述修圓邊緣連接所述第二背表面與所述側壁;或者側壁及斜面邊緣,所述斜面邊緣連接所述第二背表面與所述側壁。根據一些實施例,所述的半導體結構進一步包括:多個導電端子,位於所述第一半導體晶粒的所述第一主動表面上,且電連接到所述第一半導體晶粒。根據一些實施例,在所述的半導體結構中,其中所述堆疊結構包括兩個或多於兩個堆疊結構。
根據一些實施例,一種半導體結構包括半導體元件、多個導電端子及連接結構。所述半導體元件包括基礎層級及晶粒堆疊。所述基礎層級包括第一晶粒。所述晶粒堆疊接合到所述基礎層級,且包括佈置到至少一個內部層級及最外部層級中的多個第二晶粒。所述晶粒堆疊與所述基礎層級透過第一混合接合介面接合。所述至少一個內部層級與所述最外部層級透過第二混合接合介面接合。所述基礎層級的側壁與所述晶粒堆疊的側壁之間存在偏移,其中所述第一晶粒與所述多個第二晶粒彼此電通訊。所述多個導電端子位於所述半導體元件之上且電連接到所述半導體元件。所述連接結構位於所述半導體元件與所述多個導電端子之間,其中所述基礎層級位於所述連接結構與所述晶粒堆疊之間,且所述至少一個內部層級位於所述基礎層級與所述最外部層級之間。
根據一些實施例,所述的半導體結構進一步包括:絕緣包封體,覆蓋所述半導體元件,其中所述基礎層級位於所述絕緣包封體與所述連接結構之間以及所述晶粒堆疊與所述連接結構之間,其中所述絕緣包封體的側壁與所述連接結構的側壁實質上共面,且所述連接結構包括保護層或重佈線路結構。根據一些實施例,在所述的半導體結構中,其中所述絕緣包封體的所述側壁進一步與所述基礎層級的所述側壁實質上共面。根據一些實施例,所述的半導體結構進一步包括:第一絕緣包封體,位於所述半導體元件之上且覆蓋所述基礎層級;以及第二絕緣包封體,位於所述第一絕緣包封體之上且覆蓋所述晶粒堆疊,其中所述第一絕緣包封體的側壁及所述第二絕緣包封體的側壁與所述連接結構的側壁實質上共面,且所述連接結構包括保護層或重佈線路結構。根據一些實施例,在所述的半導體結構中,其中所述第一絕緣包封體的所述側壁及所述第二絕緣包封體的所述側壁進一步與所述基礎層級的所述側壁實質上共面。根據一些實施例,所述的半導體結構進一步包括:隔離元件,至少部分地覆蓋所述半導體元件的側壁,其中所述隔離元件從所述基礎層級朝所述晶粒堆疊延伸,其中所述隔離元件的材料包括導電層或介電層。根據一些實施例,在所述的半導體結構中,其中所述晶粒堆疊包括兩個或多於兩個晶粒堆疊。根據一些實施例,在所述的半導體結構中,其中所述半導體元件包括兩個或多於兩個半導體元件。
根據一些實施例,一種製造半導體結構的方法包括以下步驟:形成至少一個堆疊結構,包括:提供包括第一半導體晶粒的基礎層級,以及透過混合接合在所述基礎層級上形成包括多個第二半導體晶粒的晶粒堆疊,其中沿側向方向,所述基礎層級的第一尺寸大於所述晶粒堆疊的第二尺寸,且所述第一半導體晶粒電連接到所述多個第二半導體晶粒;在所述至少一個堆疊結構之上形成連接結構,所述基礎層級位於所述連接結構與所述晶粒堆疊之間;以及在所述至少一個堆疊結構之上設置多個導電端子,且將所述多個導電端子電連接到所述第一半導體晶粒,所述連接結構位於所述多個導電端子與所述基礎層級之間。
根據一些實施例,在所述的方法中,其中所述晶粒堆疊包括最底部層級、最頂部層級及位於所述最底部層級與所述最頂部層級之間的至少一個內部層級,且所述最底部層級、所述至少一個內部層級及所述最頂部層級各自包括所述多個第二半導體晶粒中的一者或多於一者,其中透過混合接合在所述基礎層級上形成所述晶粒堆疊包括:將所述最底部層級的前表面混合接合到所述基礎層級的背表面,以將所述第一半導體晶粒與所述最底部層級的所述多個第二半導體晶粒中的所述一者或多於一者電連接;將所述至少一個內部層級的前表面混合接合到所述最底部層級的背表面,以將所述至少一個內部層級的所述多個第二半導體晶粒中的所述一者或多於一者與所述最底部層級的所述多個第二半導體晶粒中的所述一者或多於一者電連接;以及將所述最頂部層級的前表面混合接合到所述至少一個內部層級的背表面,以將所述至少一個內部層級的所述多個第二半導體晶粒中的所述一者或多於一者與所述最頂部層級的所述多個第二半導體晶粒中的所述一者或多於一者電連接。根據一些實施例,在所述的方法中,其中透過混合接合在所述基礎層級上形成所述晶粒堆疊包括透過混合接合在所述基礎層級上形成多個晶粒堆疊。
雖然本發明實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明實施例的精神和範圍內,當可作些許的更動與潤飾,故本發明的保護範圍當視後附的申請專利範圍所界定者為準。
10A、10A’、10A’’、10B’:半導體晶粒 10A’’’、10B’’:經薄化的半導體晶粒 10A(1):第一層級/內部層級 10A(2):第二層級/內部層級 10A(T):最頂部層級 10A(T-1):第(T-1)層級/內部層級 10B:載體晶粒/半導體晶粒 10B(0):基礎層級 20、40:絕緣包封體 20’:絕緣材料 20a、20b、S60:表面 20S、40S、60S、70S、100S、110s、130s、130SW、150SW、230s、230SW、S5:側壁 30:導電端子 31:凸塊 32:金屬頂蓋 50、51、160、240:隔離層 50(0)、50(1)、50(T-1):隔離結構 50a、51a:第一側向部分 50b、51b:第二側向部分 50c、51c:連接部分 50c’、51c’:經平坦化的連接部分 60:電磁幹擾遮罩層 60A:電磁幹擾遮罩材料 70:保護層 100:晶粒堆疊 100b、110b、110b’、110b’’、110b’’’、130b、160b、210b、210b’、210b’’、230b、240b:底表面 110、210:半導體基底 120、220:內連線結構 130、230:導通孔 140、DI1、DI2、DI3、DI4:介電層 150:接合導體 150a:接合墊 150b:接合通孔 A、B、C、D:虛線區域/虛線框 AP:導電墊 BE:斜面邊緣 BS:背側 C1:第一組件 C2:第二元件 CT:端子 D1、D2:深度 FS:前側 I-I、II-II、III-III、IV-IV:橫截面線 IF1、IF2:接合介面 MP:金屬化圖案 OP:開口 P1a、P1b、P1c、P1d、P2a、P2b、P2c、P2d、P3a、P3b、P3c、P3d、P4a、P4b、P4c、P4d、P5、P6、P7:半導體結構 PL:鈍化層 R1、R2:凹陷 RE:修圓邊緣 S1、S3:前表面 S2、S4:背表面 SC:組件總成 SE:尖銳邊緣 SS1、SS2:堆疊結構 T1、T2、T3、T4、T5、T6、T10A、T10B、T50、T51、T60:厚度 TB1、TB2、TB3、TB4:臨時接合層 TC1、TC2、TC3、TC4:臨時載體 TP1:膠帶框架 UF:底膠層 W1、W2、W2’:半導體晶圓 W1’:經薄化的半導體晶圓 W10B、W100:寬度 X、Y:方向 Z:堆疊方向
根據以下的詳細說明並配合所附圖式以了解本發明實施例。應注意的是,根據本產業的一般作業,各種特徵並非按比例繪製。事實上,為論述清晰起見,可任意增大或減小各種特徵的尺寸。 圖1至圖4是示出根據本公開一些實施例的半導體晶粒製造方法中各種階段的示意性橫截面圖。 圖5至圖24是示出根據本公開一些實施例的半導體結構製造方法中各種階段的示意性橫截面圖。 圖25是示出根據本公開一些實施例的半導體結構的半導體晶粒、載體晶粒及絕緣包封體之間的相對位置的示意性俯視圖。 圖26A是示出根據本公開一些實施例的在圖11中所勾勒的虛線區域A中的半導體晶粒與位於半導體晶粒之下的載體晶粒之間的接合介面的放大示意性橫截面圖。 圖26B是示出根據本公開一些實施例的半導體晶粒與位於半導體晶粒之下的載體晶粒之間的接合介面的放大示意性橫截面圖。 圖27A是示出根據本公開一些實施例的在圖17中所勾勒的虛線區域B中的晶粒堆疊的相鄰層級之間的接合介面的放大示意性橫截面圖。 圖27B是示出根據本公開一些實施例的晶粒堆疊的相鄰層級之間的接合介面的放大示意性橫截面圖。 圖28A是示出根據本公開一些實施例的在圖19中所勾勒的虛線區域C中的晶粒堆疊的相鄰層級之間的接合介面的放大示意性橫截面圖。 圖28B是示出根據本公開一些實施例的晶粒堆疊的相鄰層級之間的接合介面的放大示意性橫截面圖。 圖28C是示出根據本公開一些實施例的晶粒堆疊的相鄰層級之間的接合介面的放大示意性橫截面圖。 圖29A是示出根據本公開一些實施例的在圖19中所勾勒的虛線區域D中的晶粒堆疊的最外部層級的配置的放大示意性橫截面圖。 圖29B是示出根據本公開一些實施例的晶粒堆疊的最外部層級的配置的放大示意性橫截面圖。 圖30至圖44是分別示出根據本公開一些實施例的半導體結構的示意性橫截面圖。 圖45是示出根據本公開一些實施例的半導體結構的示意性橫截面圖。 圖46A及圖46B是分別示出根據本公開一些實施例的半導體結構的半導體晶粒、載體晶粒及絕緣包封體之間的相對位置的示意性俯視圖。 圖47是示出根據本公開一些實施例的半導體結構的示意性橫截面圖。 圖48A及圖48B是分別示出根據本公開一些實施例的半導體結構的半導體晶粒、載體晶粒及絕緣包封體之間的相對位置的示意性俯視圖。 圖49至圖56是示出根據本公開一些實施例的半導體結構製造方法中各種階段的示意性橫截面圖。 圖57是示出根據本公開一些實施例的半導體結構的半導體晶粒、載體晶粒及絕緣包封體之間的相對位置的示意性俯視圖。 圖58是示出根據本公開一些實施例的半導體結構的應用的示意性橫截面圖。
10A(1):第一層級/內部層級
10A(2):第二層級/內部層級
10A(T):最頂部層級
10A(T-1):第(T-1)層級/內部層級
10B(0):基礎層級
20:絕緣包封體
20S、70S、100S、S5:側壁
30:導電端子
31:凸塊
32:金屬頂蓋
60:電磁幹擾遮罩層
70:保護層
100:晶粒堆疊
100b、110b”:底表面
P1a:半導體結構
S3:前表面
S4:背表面
SS1:堆疊結構
X、Y:方向
Z:堆疊方向

Claims (1)

  1. 一種半導體結構,包括: 堆疊結構,包括: 第一半導體晶粒,包括第一半導體基底,所述第一半導體基底具有第一主動表面及與所述第一主動表面相對的第一背表面;以及 第二半導體晶粒,位於所述第一半導體晶粒之上,包括第二半導體基底,所述第二半導體基底具有第二主動表面及與所述第二主動表面相對的第二背表面,且透過沿垂直方向在第一混合接合介面處將所述第二主動表面結合到所述第一背表面而接合到所述第一半導體晶粒, 其中沿側向方向,所述第一半導體晶粒的第一尺寸大於所述第二半導體晶粒的第二尺寸。
TW109115387A 2019-06-20 2020-05-08 半導體結構及其製造方法 TWI831969B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962864446P 2019-06-20 2019-06-20
US62/864,446 2019-06-20
US16/737,869 US11164848B2 (en) 2019-06-20 2020-01-08 Semiconductor structure and method manufacturing the same
US16/737,869 2020-01-08

Publications (2)

Publication Number Publication Date
TW202101728A true TW202101728A (zh) 2021-01-01
TWI831969B TWI831969B (zh) 2024-02-11

Family

ID=74038097

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109115387A TWI831969B (zh) 2019-06-20 2020-05-08 半導體結構及其製造方法

Country Status (2)

Country Link
US (1) US11164848B2 (zh)
TW (1) TWI831969B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI779617B (zh) * 2021-05-19 2022-10-01 邱志威 半導體超薄堆疊結構的製造方法

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102018124695A1 (de) * 2017-11-15 2019-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. Integrieren von Passivvorrichtungen in Package-Strukturen
US11164848B2 (en) * 2019-06-20 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method manufacturing the same
US11114360B1 (en) * 2019-09-24 2021-09-07 Xilinx, Inc. Multi-die device structures and methods
US11276670B2 (en) * 2020-04-17 2022-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method of semiconductor device
KR20220014364A (ko) * 2020-07-23 2022-02-07 삼성전자주식회사 반도체 패키지
US11704271B2 (en) * 2020-08-20 2023-07-18 Alibaba Group Holding Limited Scalable system-in-package architectures
KR20220028741A (ko) * 2020-08-31 2022-03-08 에스케이하이닉스 주식회사 적층 반도체 칩을 포함하는 반도체 패키지
KR20220109936A (ko) * 2021-01-29 2022-08-05 삼성전자주식회사 반도체 패키지
US11587895B2 (en) * 2021-04-21 2023-02-21 Micron Technology, Inc. Semiconductor interconnect structures with vertically offset bonding surfaces, and associated systems and methods
US11715696B2 (en) * 2021-04-22 2023-08-01 Micron Technology, Inc. Semiconductor devices with recessed pads for die stack interconnections

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4964541B2 (ja) * 2006-09-11 2012-07-04 オリンパス株式会社 撮像装置、画像処理装置、撮像システム及び画像処理プログラム
US20090152683A1 (en) * 2007-12-18 2009-06-18 National Semiconductor Corporation Rounded die configuration for stress minimization and enhanced thermo-mechanical reliability
US8293578B2 (en) * 2010-10-26 2012-10-23 International Business Machines Corporation Hybrid bonding techniques for multi-layer semiconductor stacks
US8797057B2 (en) 2011-02-11 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Testing of semiconductor chips with microbumps
US9443783B2 (en) 2012-06-27 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC stacking device and method of manufacture
US9299649B2 (en) 2013-02-08 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. 3D packages and methods for forming the same
US8993380B2 (en) 2013-03-08 2015-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for 3D IC package
US9281254B2 (en) 2014-02-13 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming integrated circuit package
US9425126B2 (en) 2014-05-29 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy structure for chip-on-wafer-on-substrate
US9496189B2 (en) 2014-06-13 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked semiconductor devices and methods of forming same
US9666502B2 (en) * 2015-04-17 2017-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Discrete polymer in fan-out packages
US9461018B1 (en) 2015-04-17 2016-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out PoP structure with inconsecutive polymer layer
US9735131B2 (en) * 2015-11-10 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-stack package-on-package structures
US10128193B2 (en) * 2016-11-29 2018-11-13 Taiwan Semiconductor Manufacturing Co., Ltd. Package structure and method for forming the same
CN110914982A (zh) * 2017-02-10 2020-03-24 微芯片技术股份有限公司 背面偏置式半导体管芯的接地技术以及相关的设备、系统和方法
US10727205B2 (en) * 2018-08-15 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid bonding technology for stacking integrated circuits
US11164848B2 (en) * 2019-06-20 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method manufacturing the same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI779617B (zh) * 2021-05-19 2022-10-01 邱志威 半導體超薄堆疊結構的製造方法

Also Published As

Publication number Publication date
US11164848B2 (en) 2021-11-02
US20200402960A1 (en) 2020-12-24
TWI831969B (zh) 2024-02-11

Similar Documents

Publication Publication Date Title
TWI831969B (zh) 半導體結構及其製造方法
US11721598B2 (en) Method of forming semiconductor device package having testing pads on an upper die
US11916012B2 (en) Manufacturing method of semiconductor structure
TW202004926A (zh) 半導體結構及積體電路封裝的形成方法
US11380653B2 (en) Die stack structure and manufacturing method thereof
US11495573B2 (en) Package structure and manufacturing method thereof
CN112242383A (zh) 芯片结构
TW202046464A (zh) 積體電路封裝及其形成方法
TW202243150A (zh) 半導體封裝及製造半導體封裝的方法
US20230141447A1 (en) Semiconductor package, and method of manufacturing the same
TWI790702B (zh) 半導體封裝及製造半導體封裝的方法
US20230065941A1 (en) Semiconductor package and method of manufacturing the same
US20240194588A1 (en) Semiconductor structure and manufacturing method thereof
US11664315B2 (en) Structure with interconnection die and method of making same
CN112117263A (zh) 半导体结构及其制造方法
US11823980B2 (en) Package structure and manufacturing method thereof
US20220367419A1 (en) Semiconductor package and method of manufacturing the same
KR20230165146A (ko) 반도체 패키지 및 그 형성 방법