TW202027276A - 半導體結構 - Google Patents

半導體結構 Download PDF

Info

Publication number
TW202027276A
TW202027276A TW108134993A TW108134993A TW202027276A TW 202027276 A TW202027276 A TW 202027276A TW 108134993 A TW108134993 A TW 108134993A TW 108134993 A TW108134993 A TW 108134993A TW 202027276 A TW202027276 A TW 202027276A
Authority
TW
Taiwan
Prior art keywords
fin
layer
hard mask
gate
source
Prior art date
Application number
TW108134993A
Other languages
English (en)
Inventor
楊哲育
楊凱傑
蔡慶威
程冠倫
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202027276A publication Critical patent/TW202027276A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

半導體裝置與其製造方法在此被揭露。一種示例的半導體裝置包含半導體鰭設置在基材上,其中半導體鰭包含通道區域與源極/汲極區域;閘極結構設置在半導體鰭的通道區域上,其中閘極結構包含閘極間隔與閘極堆疊;源極/汲極結構設置在半導體鰭的源極/汲極區域上;以及鰭頂硬遮罩垂直插入閘極間隔與半導體鰭之間,其中鰭頂硬遮罩包含介電層,其中鰭頂硬遮罩的側壁與閘極堆疊直接接觸,鰭頂硬遮罩的另一側壁與源極/汲極結構直接接觸。

Description

半導體結構
本發明實施例係有關於一種半導體裝置的形成方法,且特別關於一種具有鰭式場效電晶體結構的形成方法。
積體電路產業經歷指數性的成長。積體電路材料和設計的技術進步已經產生積體電路的數個世代,其中每一世代都具有比上一世代更小和更複雜的電路。在積體電路演變過程中,功能密度(即每晶片面積的互連裝置的數量)增加,而幾何尺寸(即可利用製造製程產生的最小元件(或線))減小。這種微縮化製程通常藉由提高生產效率和降低相關成本來提供益處。
此種微縮化也增加處理和製造積體電路的複雜性,並且為了實現這些進步,需要積體電路處理和製造中類似的發展。例如,在鰭式場效電晶體(fin-like field effect transistor, FinFET)的製程中,其已被觀察到在鰭片側壁的回蝕製程或虛置閘極去除的製程中,鰭片頂部可能會被破壞。從而需要改進。
本發明實施例提供一種半導體結構,其包含半導體鰭片,設置在基材上,其中半導體鰭片包含通道區域與源極/汲極區域;閘極結構,設置在半導體鰭片的通道區域上,其中閘極結構包含閘極間隔物與閘極堆疊;源極/汲極結構,設置在半導體鰭片的源極/汲極區域上;及鰭頂硬遮罩,垂直夾設於閘極間隔物與半導體鰭片之間,其中鰭頂硬遮罩包含介電層,其中鰭頂硬遮罩的一側壁與閘極堆疊直接接觸,鰭頂硬遮罩的另一側壁與源極/汲極結構直接接觸。
本發明實施例提供一種半導體結構,其包含半導體鰭片,設置在基材上;閘極結構,設置在基材與半導體鰭片上,其中閘極結構包含閘極堆疊與沿著閘極堆疊的側壁設置的間隔物,其中閘極結構設置在半導體鰭片上,並定義在閘極堆疊下的通道區域與未被閘極堆疊覆蓋的源極/汲極區域;鰭頂硬遮罩,設置在間隔物下及半導體鰭片上,其中鰭頂硬遮罩包含介電材料,鰭頂硬遮罩的寬度與間隔物的寬度相同;及被覆源極/汲極結構,設置在半導體鰭片的源極/汲極區域上,其中被覆源極/汲極結構與閘極堆疊接觸鰭頂硬遮罩的側壁。
本發明實施例提供一種半導體裝置的形成方法,其包含形成硬遮罩於基材上;形成鰭片於基材上,其中鰭片包含通道區域與源極/汲極區域,其中硬遮罩在鰭片的頂部表面上,並包含具有與鰭片材料不同蝕刻選擇性的材料;形成虛置閘極堆疊於鰭片的通道區域上方的硬遮罩之上;順應性地形成間隔物層於虛置閘極堆疊、硬遮罩與鰭片上;非等向性沿著鰭片的側壁去除間隔物層;去除在鰭片的源極/汲極區域中的硬遮罩;磊晶生長源極/汲極結構於鰭片的源極/汲極區域之中;去除虛置閘極堆疊以形成閘極溝槽,閘極溝槽在鰭片的通道區域上暴露硬遮罩;從閘極溝槽去除硬遮罩以暴露鰭片;及於閘極溝槽中形成金屬閘極堆疊於鰭片上。
本揭露涉及場效電晶體(field-effect transistors, FETs),例如鰭式場效電晶體(fin-like field effect transistors, FinFETs)及其製造方法。詳細而言,本揭露的實施例提供具有減小的源極/汲極(source/drain, S/D)接觸點與金屬閘極之間寄生電容的FinFETs。
以下內容提供了許多不同實施例或範例,以實現本發明實施例的不同部件(feature)。以下描述組件和配置方式的具體範例,以簡化本發明實施例。當然,這些僅僅是範例,而非意圖限制本發明實施例。舉例而言,在以下描述中提及於第二部件上方或其上形成第一部件,其可以包含第一部件和第二部件以直接接觸的方式形成的實施例,並且也可以包含在第一部件和第二部件之間形成額外的部件,使得第一部件和第二部件可以不直接接觸的實施例。
此外,本發明實施例可在各個範例中重複參考標號及/或字母。此重複是為了簡化和清楚之目的,其本身並非用於指定所討論的各個實施例及/或配置之間的關係。另外,在本發明實施例中,形成一部件在另一部件上、連接和/或耦接到另一部件,可以包含其中的部件直接接觸形成的實施例,並且還可以包含形成額外部件於這些部件之間的實施例,使得這些部件可以不直接接觸。此外,為了容易描述本發明實施例之圖式中繪示說明的一個部件與另一個部件之間的關係,在此可以使用空間相關用語,例如“下”、“上”、“水平”、“垂直”、“上方”、“之上”、“下方”、“底下”、“向上”、“向下”、“頂”、“底”等和其衍生的空間相關用語(例如“水平地”、“向下地”、“向上地”等)。這些空間相關用語意欲涵蓋包含這些部件的裝置之不同方向。另外,當用“約”、“近似”等類似用語描述數字或數字範圍時,該用語意欲涵蓋的數值是在合理範圍內包含所描述的數字,例如在所描述的數字之+/- 10%之內,或本發明所屬技術領域中具有通常知識者理解的其他數值。例如,用語“約5nm”涵蓋從4.5nm至5.5nm的尺寸範圍。
在許多實施例中,本揭露形成FinFETs的方法藉由在鰭片的頂部表面上形成硬遮罩(硬遮罩因此被稱為鰭頂硬遮罩(fin top hard mask, FTHM))來避免、減少或最小化在鰭片側壁回蝕製程及/或虛置閘極去除製程期間的鰭片頂部損失。
在本揭露的一些實施例中,在鰭片頂部表面上的FTHM對於鰭片有較高的蝕刻選擇性,從而在鰭側壁回蝕或虛置閘極去除製程時提供蝕刻抵抗力。在一些實施例中,除了通常在鰭片上形成的氧化物及/或氮化矽硬遮罩之外,還形成FTHM。在一些實施例中,FTHM取代這些硬遮罩。在一些實施例中,FTHM包含具有蝕刻選擇性比鰭片還高的高介電常數介電材料,以避免鰭片在後續的蝕刻製程中損失或破壞鰭片。在一些實施例中,FTHM可能也包含非晶矽(amorphous silicon, a-Si)以避免鰭片氧化。在進一步的實施例中,FTHM可能被配置以調整在鰭片上形成多個材料層所經歷的應力。
本揭露實施例的細節於附圖中描述。
第1圖繪示根據本揭露一些實施例中形成半導體裝置200(之後簡稱“裝置200”)的方法100的流程圖。方法100僅僅是示例,並不用於限制本揭露超出權利要求中明確記載的內容。可以在方法100之前、期間與之後執行附加操作,並且可以替換、消除或移動所描述的一些操作以用於其方法的另外實施例。以下結合其他附圖描述方法100,附圖繪示方法100於中間階段裝置200的多個三維、平面俯視圖與截面圖。詳細而言,第2圖繪示裝置200的三維視圖。第3圖與第4圖根據本揭露一些實施例繪示裝置200在方法100的中間階段的三維透視圖。第5A至14A圖根據本揭露一些實施例繪示在方法100的中間階段,沿A-A'線(沿著Y方向)截取第2圖的裝置200的截面圖。第5B至14B圖根據本揭露一些實施例繪示在方法100的中間階段,沿B-B'線(沿著Y方向)截取第2圖的裝置200的截面圖。第5C至14C圖根據本揭露一些實施例繪示在方法100的中間階段,沿C-C'線(沿著X方向)截取第2圖的裝置200的截面圖。
裝置200可能是在積體電路或其一部分製程期間製造的中間裝置,其可能包含靜態隨機存取記憶體(static random-access memory, SRAM)與/或其他邏輯電路、被動元件例如電阻、電容與電感,以及主動元件例如p型FETs(PFETs)、n型FETs(NFETs)、鰭式FETs(FinFETs)、閘極圍繞FETs(gate-all-around FETs, GAA FETs)、金屬氧化物半導體場效電晶體(metal-oxide semiconductor field effect transistors, MOSFETs)、互補金屬氧化物半導體(complementary metal-oxide, CMOS)電晶體、雙極(bipolar)電晶體、高壓電晶體、高頻電晶體與/或其他記憶體元件。裝置200可以是積體電路核心區域(通常稱為邏輯區域)、記憶體區域(例如SRAM區域)、模擬區域、周邊區域(通常稱為輸入/輸出(input/output, I/O)區域)、虛設區域、其他合適的區域或其組合的一部份。在一些實施例中,裝置200可以是積體電路晶片、系統單晶片(system on chip, SoC)一部分。本揭露不限於任何特定數量的裝置或裝置區域,或者限於任何特定的裝置配置。例如,雖然繪示的裝置200是三維FET裝置(例如FinFET),但是本揭露還是可以提供用於製造平面FET裝置的實施例。
參考第2圖,半導體裝置200包含從基材202突出並由絕緣結構220隔開的一或多個鰭片218,以及設置在基材202和鰭片218上的一或多個閘極結構。閘極結構285定義鰭片218的通道區域、源極區域與汲極區域。閘極結構285可能包含閘極堆疊280(覆蓋鰭片218的溝道區域)和沿閘極堆疊280側壁設置的閘極間隔物224。閘極堆疊280可能包含元件例如一或多個設置在絕緣結構220與基材202上的閘極介電層284、阻障層(未示出)、黏著層(未示出)、設置在閘極介電層284上的功函數層286、設置在功函數層286上的金屬填充層282、其他合適的層或其組合。多個閘極硬遮罩層(未示出)可能設置在金屬填充層282上。裝置200也包含源極/汲極結構250磊晶生長在鰭片218的源極/汲極區域上。裝置200可能也包含層間介電層270(以虛線表示)設置在基材202、絕緣結構220、鰭片218與源極/汲極結構250上。第1圖的方法100討論裝置200的形成,伴隨第3、4、5A-5C至13A-13C圖中繪示方法100在中間階段的裝置200的不同視圖。
參考第1與第3圖,步驟105提供基材202。在第3圖繪示的實施例中,裝置200包含基材(晶圓)202。在繪示的實施例中,基材202為包含矽的塊狀基材。替代或額外地,塊狀基材包含另一元素半導體,例如鍺;化合物半導體,例如碳化矽、磷化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、銻化銦、氧化鋅、硒化鋅、硫化鋅、碲化鋅、硒化鎘、硫化鎘與/或碲化鎘;合金半導體,例如SiGe、SiPC、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP與/或GaInAsP;其他III-V族材料;其他II-IV族材料;或上述之組合。替代地,基材202為絕緣體上覆半導體(semiconductor-on-insulator)基材,例如絕緣體上覆矽(silicon-on-insulator, SOI)基材、絕緣體上覆矽鍺(silicon germanium-on-insulator, SGOI)基材,或絕緣體上覆鍺(germanium-on-insulator, GOI)基材。絕緣體上覆半導體基材可藉由注氧隔離(separation by implantation of oxygen, SIMOX)、晶圓接合(wafer bonding)與/或其他合適的方法製造。 基材202可能包含多個摻雜區域。在一些實例中,基材202包含摻雜含有n型摻雜物,例如磷(例如31 P)、砷、其他n型摻雜物或其組合的n型摻雜區域。在繪示的實施例中,基材202包含摻雜含有p型摻雜物,例如硼(例如11 B、BF2 )、銦、其他p型摻雜物或其組合的p型摻雜區域。在一些實施例中,基材202包括由p型摻雜物和n型摻雜物組合形成的摻雜區域。多個摻雜區域可以形成在基材202上與/或中,例如提供p井結構、n井結構、雙井結構、凸起結構或其組合。可以執行離子佈植製程、擴散製程與/或其他合適的摻雜製程以形成多個摻雜區域。
在一些實施例中,基材202可能包含形成在基材202的頂部部分的圖案化層204。圖案化層204包含與基材202材料不同的材料,以在隨後的蝕刻工製程期間達成蝕刻選擇性。在繪示的實施例中,圖案化層204有多層結構。例如,圖案化層204包含形成在基材202的頂部表面上的SiO2 層206、沉積在SiO2 層206上方的非晶矽(amorphous Silicon, a-Si)層208,以及形成在a-Si層208上方的墊氧化物(pad oxide)層210。在一些實施例中,圖案化層204可以包含其他層,例如矽、氮、碳、其他合適的圖案化層成分,或其組合。在一些實施例中,圖案化層204可能包含阻抗層(也稱為光阻層),其包含合適的阻抗材料。圖案化層204可藉由任何合適的沉積製程形成。例如,圖案化層204可能藉由氧化、熱氧化、化學氣相沉積(chemical vapor deposition, CVD)、物理氣相沉積(physical vapor deposition PVD)、原子層沉積(atomic layer deposition, ALD)、高密度電漿CVD(high density plasma CVD, HDPCVD)、金屬有機CVD(metal organic CVD, MOCVD)、遠程電漿CVD(remote plasma CVD, RPCVD)、電漿增強CVD(plasma enhanced CVD, PECVD)、低壓CVD(low-pressure CVD, LPCVD)、原子層CVD(atomic layer CVD, ALCVD)、常壓CVD(atmosphere pressure CVD, APCVD)、電鍍、其他合適的方法或其組合形成在基材202上。
參考第1與第4圖,步驟110,鰭頂硬遮罩212形成在基材202上。鰭頂硬遮罩212包含具有與基材202材料(包含圖案化層204的材料)不同蝕刻選擇性的材料,以在隨後的蝕刻製程期間保護後來形成的鰭片218,例如,鰭片側壁回拉(pullback)製程與/或閘極回蝕製程。在一些實施例中,鰭頂硬遮罩212的材料具有高介電常數和較低的灰化損傷,使得鰭頂硬遮罩212可用作蝕刻停止層以避免或最小化在隨後的蝕刻製程期間的鰭頂損失/損壞。在繪示實施例中,鰭頂硬遮罩212包含SiCN以形成SiCN層214。在一些實施例中,鰭頂硬遮罩212包含SiCON。在一些實施例中,SiCN層214中的碳濃度為約5%至20%,SiCN層214中的氮化物的濃度為約40%至55%,並且SiCN層214的介電常數約為8。因此,SiCN層214有助於保護後來形成的鰭片218在隨後的蝕刻製程中免於損失/損壞。在一些實施例中,鰭頂硬遮罩212還可以包含a-Si層216。a-Si層216可以作為預防層以防止基材202(包含後面形成的鰭片218)被氧化。在第3圖繪示的實施例中,鰭頂硬遮罩212有沿著Z方向的厚度T1。在一些實施例中,鰭頂硬遮罩212的厚度T1不能太薄以致不能保護鰭片免於損壞,厚度不能太厚以免增加後續製程中去除鰭頂硬遮罩的負擔。例如,鰭頂硬遮罩212的厚度T1約為3.5奈米至4奈米。鰭頂硬遮罩212可藉由任何合適的沉積製程形成。例如,可以藉由ALD、CVD、PECVD、PVD、電鍍、其他合適的方法或其組合在基材202上形成鰭頂硬遮罩212。可以執行化學機械平坦化(chemical mechanical planarization, CMP)製程以平坦化鰭頂硬遮罩212的頂部表面。
鰭頂硬遮罩212形成後,可選的遮罩層(未示出)可能形成在基材202與鰭頂硬遮罩212上。遮罩層可能包含以達到期望蝕刻選擇性的材料(例如,在遮罩層和基材202之間),例如包括氮化矽、氧化矽或其組合的介電質材料。遮罩層可以藉由任何合適的沉積製程沉積,例如,CVD、PVD、ALD、其他合適的方法或其組合。在一些實施例中,鰭頂硬遮罩212用於替代可選的(optional)遮罩層。在一些實施例中,可選的遮罩層額外形成於鰭頂硬遮罩212上。
參考第1與第5A-5C圖,步驟115,半導體鰭片218形成在裝置200中。每個鰭片218可能適於提供n型FET或p型FET。在一些實施例中,如此處繪示的鰭片218可適於提供相似類型的FETs,即兩者都是n型或兩者都是p型。替代地,它們可能適於提供相反類型的FETs,即一個n型與一個p型。鰭片218的方向大抵上彼此平行。每個鰭片218至少有一個沿x方向定義其長度的通道區域、源極區域與汲極區域,其中,至少一個通道區域被閘極結構覆蓋,並設置在源極區域和汲極區域之間。
在一些實施例中,鰭片218是基材202的一部分(例如基材202的材料層的一部分)。鰭頂硬遮罩212保持在鰭片218的頂部部分上。例如,在繪示的實施例中,其中基材202包含矽,鰭片218包含矽。在鰭片218的頂部部分上的鰭頂硬遮罩212包含SiCN、a-Si或其組合。替代地,在一些實施例中,鰭片218定義在覆蓋基材202的材料層中,例如一或多個半導體材料層。例如,鰭片218可以包含具有設置在基材202上的多個半導體層(例如異質結構)的半導體層堆疊。半導體層可包含任何合適的半導體材料,例如矽、鍺、矽鍺、其他合適的半導體材料或其組合。根據裝置200的設計,半導體層可包含相同或不同的材料、蝕刻速率、組成原子百分比、組成重量百分比、厚度與/或配置。
鰭片218可藉由任何合適的製程,包含多個沉積、微影與/或蝕刻製程形成。範例的微影製程包含形成光阻覆蓋基材202(例如在矽層上)、曝光光阻成圖案、執行曝光後烘烤製程與顯影光阻以形成包含光阻的遮罩元件。之後使用遮罩元件將鰭片結構蝕刻到基材202中。使用反應離子蝕刻(reactive ion etching, RIE)製程與/或其他合適的製程蝕刻未被遮罩元件保護的區域。在一些實施例中,如繪示在本揭露中,鰭片218藉由圖案化與蝕刻矽基材202的部分形成。在一些實施例中,藉由圖案化和蝕刻沉積在絕緣層上的矽層(例如,SOI基材的矽-絕緣體-矽堆疊的上矽層)來形成鰭片218。作為傳統微影的替代,可以藉由雙重圖案化微影(double-patterning  photolithography, DPL)製程形成鰭片218。DPL為藉由將圖案劃分為兩個交錯圖案在基板上構建圖案的方法。DPL可以提升部件(例如鰭片)密度。多個DPL方法包括雙重曝光(例如,使用兩個遮罩組)、形成鄰近部件的間隔物並去除部件以提供間隔物的圖案、光阻凍結(resist freezing)與/或其他合適的製程。可以理解的是,多個平行鰭片218可由相似的方法形成。
參考第1與第6A-6C圖,步驟120,絕緣結構220形成在基材202上。鰭片218的底部部分被絕緣結構220分離。絕緣結構220將裝置200的主動區域與/或被動區域電性隔離。絕緣結構220可以被配置為不同的結構,例如淺溝槽絕緣(shallow trench isolation, STI)結構、深溝槽絕緣(deep trench isolation, DTI)結構、矽局部氧化(local oxidation of silicon, LOCOS)結構或其組合。絕緣結構220包括絕緣材料,例如氧化矽、氮化矽、氮氧化矽、其他合適的隔離材料(例如,包括矽、氧、氮、碳與/或其他合適的絕緣成分)或其組合。在一些實施例中,絕緣結構220包括多層結構,例如設置在襯(liner)介電層上的塊(bulk)介電層,其中塊介電層和襯介電層包含取決於設計要求的材料。在一些實施例中,絕緣結構220包含設置在摻雜襯層上的介電層(包含,例如,硼矽酸鹽玻璃(boron silicate glass, BSG)與/或磷矽酸鹽玻璃(phosphosilicate glass, PSG))。絕緣結構220藉由CVD、PVD、ALD、HDPCVD、MOCVD、RPCVD、PECVD、LPCVD、ALCVD、APCVD、其他合適的沉積製程或其組合沉積。可以在絕緣結構220上執行平坦化製程,例如化學機械拋光(chemical mechanical polishing, CMP)。在繪示的實施例中,每個鰭片218在z方向有高度FH。鰭片高度FH是介於STI結構220的頂表面與鰭頂硬遮罩212的底表面之間的高度,如第6A圖所示。在一些實施例中,每個鰭片218的高度FH為約40奈米至70奈米。鰭頂硬遮罩212的厚度T1不能太薄以至不能保護鰭片免於受損壞,或太厚以防止在後續製程中增加去除鰭頂硬遮罩的負擔。在一些實施例中,鰭頂硬遮罩212的厚度T1與鰭片高度FH的比例約為5%至10%。在一些進一步的實施例中,鰭頂硬遮罩212的厚度T1與鰭片218高度FT的高度的比例約為7%。
參考第1與第7A-7C圖,步驟125,多個虛置閘極結構230形成在鰭片218上。虛置閘極結構通常是指裝置200的非功能性閘極結構。在一些實施例中,虛置閘極結構模仿主動閘極結構的物理特性,例如主動閘極結構的物理尺寸,但是不可操作(換句話說,不能使電流流動)。虛置閘極結構230沿y方向延伸並穿過各別的鰭片218。虛置閘極結構230接合鰭片218各別的通道區域,使電流在操作期間可以在鰭片218各別的S/D區域間流動。每個虛置閘極結構230可包含虛置閘極堆疊222和沿著虛置閘極堆疊222的側壁沉積的間隔物224。在一些實施例中,每個虛置閘極堆疊222包含例如界面層(例如包括氧化矽)和虛置閘極電極(例如包括多晶矽)。在一些實施例中,虛置閘極堆疊222可能包含設置在虛置閘極電極和界面層之間的虛置閘極介電質。虛置閘極介電質包括介電材料,例如氧化矽、高介電常數介電材料、其他合適的介電材料或其組合。高介電常數介電材料的實例包含HfO2 、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、氧化鋯、氧化鋁、HfO2 -Al2 O3 、其他合適的高介電常數介電材料或其組合。高介電常數介電材料通常是指具有高介電常數的介電材料,例如,大於氧化矽的介電常數(介電常數≈3.9)。虛置閘極堆疊222可包含許多其他層,例如,蓋層、界面層、擴散層、阻障層、硬遮罩層或其組合。虛置閘極堆疊222藉由沉積製程、微影製程、蝕刻製程、其他合適製程或其組合形成。例如,執行沉積製程以在基材202、鰭片218和絕緣結構220上形成虛置閘極電極層。在一些實施例中,在形成虛置閘極電極層之前,執行沉積製程以形成虛置閘極介電層,其虛置閘極電極層形成在虛置閘極介電層上方。沉積製程包含CVD、PVD、ALD、HDPCVD、MOCVD、RPCVD、PECVD、LPCVD、ALCVD、APCVD、電鍍、其他合適的方法或其組合。之後執行微影圖案化和蝕刻製程以圖案化虛置閘極電極層(並且在一些實施例中,虛置閘極介電質層)以形成虛置閘極堆疊222,使虛置閘極結構230包覆鰭片218,如圖所示。微影圖案化製程包含光阻塗佈(例如,旋轉塗佈)、軟烘烤、遮罩對準、曝光、曝光後烘烤、顯影其光阻、沖洗、乾燥(例如,硬烘烤)、其他合適的製程或其組合。替代地,微影曝光製程由其他方法輔助、實施或替代,例如無遮罩微影、電子束寫入(electron-beam writing)或離子束寫入(ion-beam writing)。在其他替代方案中,微影圖案化製程實施奈米壓模(nanoimprint)技術。 蝕刻製程包含乾蝕刻製程、濕蝕刻製程、其他蝕刻方法或其組合。
每個虛置閘極結構230可能包含沿著虛置閘極堆疊222的側壁沉積的間隔物224。在一些實施例中,間隔物224包含一層介電材料。在其他實施例中,間隔物224可能包括包含不同蝕刻選擇性的不同介電材料的多層結構。在一些實施例中,間隔物224包含與虛置閘極堆疊222鄰近形成一組以上的間隔物,例如密封間隔物、偏位(offset)間隔物、犧牲間隔物、虛置間隔物與/或主間隔物。在其實施例中,多組間隔物可包含具有不同蝕刻速率的材料。介電材料可包含矽、氧、碳、氮、其他合適的材料或其組合(例如,氧化矽、氮化矽、氮氧化矽、碳化矽或碳氮化矽)。在繪示的實施例中,間隔物224包括包含SiCN的第一間隔物層226和包含SiN的第二間隔物層228。儘管第一間隔物層226和鰭頂硬遮罩212都包含矽、碳和氮化物,但第一間隔物層226和鰭頂硬遮罩212的半導體材料中包含的碳濃度是不同的,使得不僅第一間隔物層226和第二間隔物層228具有不同的蝕刻選擇性,第一間隔物層226和鰭頂硬遮罩212在隨後的蝕刻製程中也具有不同的蝕刻選擇性。在一些實施例中,第一間隔物層226中的碳濃度大於約22%。間隔物224藉由任何合適的製程形成。例如,在繪示的實施例中,第一間隔物層226,例如SiCN層,可能順應性地沉積在基材202、絕緣結構220、虛置閘極堆疊222、鰭片218與鰭頂硬遮罩212上。隨後,非等向性蝕刻第一間隔物層226以形成與虛置閘極堆疊222鄰近的第一間隔物組。第二間隔物層228,例如SiN層,可以順應性地沉積在第一間隔物層226、基材202、絕緣結構220、虛置閘極堆疊222、鰭片218與鰭頂硬遮罩212上。隨後,非等向性蝕刻第二間隔物層228以形成與第一間隔物組鄰近的第二間隔物組。沿著虛置閘極堆疊222的側壁的第一和第二間隔物組合稱為間隔物224。第一間隔物層226與第二間隔物層228順應性沉積在鰭片218與鰭頂硬遮罩212的源極/汲極區域,並指為鰭片側壁。在繪示的實施例中,在非等向性蝕刻期間,可保留鰭片218與鰭頂硬遮罩212的源極/汲極區域上鰭片側壁的頂部部分以形成間隔物224。在形成間隔物224之前與/或之後,可以執行佈植、擴散與/或退火製程,以在鰭片218的源極/汲極區域中形成輕摻雜源極/汲極(lightly doped source and drain, LDD)部件與/或重摻雜(heavily doped source and drain, HDD)源極/汲極部件。
參考第1與第8A-8C圖,步驟130,鰭片側壁被回拉直到鰭頂硬遮罩212暴露。為了限制回拉製程僅應用於鰭片側壁,而非沿著虛置閘極堆疊222的間隔物224與基材202上的其他裝置,包含虛置閘極堆疊222、包含虛置閘極堆疊222與間隔物224的虛置閘極結構230會在回拉製程之前被遮罩240覆蓋。遮罩240被圖案化以僅暴露鰭片218的源極/汲極區域以回拉鰭片側壁。在一些實施例中,遮罩240為光阻遮罩。進一步的實施例中,遮罩240為硬遮罩。示例的硬遮罩材料包含氧化物材料,例如氧化矽;含氮材料,如氮化矽或氮氧化矽、非晶碳材料;碳化矽;四乙基正矽酸鹽(tetraethylorthosilicate, TEOS);其他合適的材料;或其組合。圖案化遮罩240可能包含藉由例如微影製程將遮罩層暴露於圖案、執行曝光後烘烤製程以及顯影遮罩層。圖案化也可以被其他合適的方法實施或替換,例如無遮罩微影、電子束寫入、離子束寫入與分子壓模。
接著藉由遮罩240的最後圖案將鰭片側壁回拉。鰭片側壁回拉製程可能包含一或多個蝕刻製程,包含濕式蝕刻、乾式蝕刻、反應離子蝕刻與/或其他合適的技術。在一些實施例中,蝕刻製程為選擇性乾式蝕刻。乾式蝕刻製程可能包含非等向性蝕刻、等向性蝕刻或其組合。蝕刻製程可能被停止,直到暴露出鰭片218的源極/汲極區域上的鰭頂硬遮罩212。因為鰭頂硬遮罩212包含具有與鰭片側壁的半導體材料(包括第一間隔物層226(例如,SiCN,但具有與鰭頂硬遮罩212不同的碳濃度)與第二間隔物層228(例如,SiN))不同的蝕刻選擇性的半導體材料(例如,SiCN),蝕刻製程僅回拉鰭片側壁,同時使鰭頂硬遮罩212和鰭片218大抵不受影響。如第7B圖中繪示的實施例,回拉製程選擇性蝕刻鰭片218的源極/汲極區域中的間隔物224(包括第二間隔物層228和第一間隔物層226)。在一些實施例中,間隔物224被完全去除。在一些其他實施例中,絕緣結構220上第一間隔物層226的薄部分可能被保留。鰭頂硬遮罩212大抵不受鰭片側壁回拉製程的影響。因此,在鰭片側壁回拉製程中,鰭片218受到鰭頂硬遮罩212的保護,並且在鰭片側壁回拉製程中避免鰭片頂部損失。
參考第1與第9A-9C圖,步驟135,去除鰭片218的源極/汲極區域上的鰭頂硬遮罩212以暴露鰭片218的源極/汲極區域。去除製程可包含乾蝕刻製程、濕蝕刻製程與/或其組合。去除製程可包含選擇性蝕刻製程。因為鰭頂硬遮罩212和鰭218具有不同蝕刻選擇性(不同的碳及/或氮組分)的不同材料,藉由步驟135僅去除鰭片218的源極/汲極區域上的鰭頂硬遮罩212。在源極/汲極區域上去除鰭頂硬遮罩212之後,鰭片218大抵不受影響。選擇性蝕刻製程具有可調整的蝕刻參數,例如使用的蝕刻劑、蝕刻溫度、蝕刻溶液濃度、蝕刻壓力、源功率、RF偏壓、RF偏功率、蝕刻劑流速與其他合適的參數。例如,乾式蝕刻製程可能包含反應離子蝕刻(reactive ion etching, RIE)。在繪示的實施例中,當在鰭片218的源極/汲極區域上去除鰭頂硬遮罩212時,在側壁回拉製程後保留在絕緣結構220上的第一間隔物層226的薄部分也可能一起被去除。去除鰭頂硬遮罩212後,鰭片218的源極/汲極區域暴露如第9B圖所示。
參考第1與第10A-10C圖,步驟140,源極/汲極結構250磊晶生長在鰭片218的源極/汲極區域中。在一些實施例中,每個源極/汲極結構250為被覆(cladding)源極/汲極部件,並可能包含磊晶源極/汲極(epitaxial source/drain, EPI S/D)部件252和矽化物層254。例如,半導體材料磊晶生長在鰭片218上,形成EPI S/D部件252。在一些實施例中,在鰭片218的源極/汲極區域上執行鰭片凹蝕製程(例如,回蝕刻製程),使EPI S/D部件252從下鰭片主動區生長。在一些其他實施例中,鰭片218的源極/汲極區域不經鰭片凹蝕製程,使EPI S/D部件252從上鰭片主動區的一部分生長並包覆。隨後,可以在EPI S/D部件252周圍沉積矽化物層254,以形成被覆源極/汲極結構250。在一實施例中,磊晶源極/汲極結構250沿y方向橫向延伸(生長)(大抵垂直鰭片218),使源極/汲極結構250與跨越多於一個鰭片的源極/汲極結構合併。在一些實施例中,源極/汲極結構250包含部分合併的部分(在從鄰近鰭片磊晶生長的材料之間具有中斷(或間隙))與/或完全合併的部分(在從鄰近鰭片磊晶生長的材料之間沒有中斷(或間隙))。
在多個實施例中,EPI S/D部件252可能包含Ge、Si、GaAs、AlGaAs、SiGe、GaAsP、SiP或其他合適材料。在一些實施例中,EPI S/D部件252摻有n型摻質與/或p型摻質。例如,在p型FinFET區域中,EPI S/D部件252可能包含磊晶層包括矽與/或鍺,其含矽鍺的磊晶層摻雜有硼、碳、其他p型摻質或其組合(例如,形成Si:Ge:B磊晶層或Si:Ge:C磊晶層)。在進一步的範例中,在n型FinFET區域中,EPI S/D部件252可能包含磊晶層包括矽與/或碳,其含矽磊晶層或含矽碳磊晶層摻雜有磷、砷、其他n型摻質或其組合(例如,形成Si:P磊晶層、Si:C磊晶層或Si:C:P磊晶層)。在一些實施例中,EPI S/D部件252包含在通道區域中達到期望拉伸應力與/或壓縮應力的材料與/或摻質。
磊晶製程可以實施CVD沉積技術(例如,氣相磊晶(vapor-phase epitaxy, VPE)、超高真空CVD(ultra-high vacuum CVD, UHV-CVD)、LPCVD與/或PECVD)、分子束磊晶、其他合適的SEG製程或其組合。磊晶製程可以使用氣態與/或液態前驅物,其與鰭片218的組成相互作用。EPI S/D部件252可能摻雜有n型摻質和/或p型摻質。在一些實施例中,在沉積期間藉由向磊晶製程的來源材料添加雜質來摻雜EPI S/D部件252。在一些實施例中,在沉積製程後,通過離子佈植製程摻雜EPI S/D部件252。在一些實施例中,執行退火製程以活化EPI S/D部件252中的摻質與/或裝置200的其他源極/汲極部件,例如HDD區域與/或LDD區域。
在一些實施例中,藉由在EPI S/D部件252上沉積金屬層來形成矽化物層254。金屬層包含任何合適於促進矽化物形成的材料,例如鎳、鉑、鈀、釩、鈦、鈷、鉭、鐿、鋯、其他合適的金屬或其組合。之後加熱裝置200(例如,進行退火製程)以使EPI S/D部件252的組成物(例如,矽與/或鍺)與金屬反應。因此,矽化物層254包含金屬和EPI S/D部件252的組成物(例如,矽與/或鍺)。在一些實施例中,矽化物層254包含矽化鎳、矽化鈦或矽化鈷。任何未反應的金屬,例如金屬層的剩餘部分,藉由任何合適的製程,例如蝕刻製程選擇性地去除。
矽化物層254和EPI S/D部件252一起形成被覆源極/汲極結構250。源極/汲極區域中的鰭片218由被覆源極/汲極結構250保護。通道區域中的鰭片218由鰭頂硬遮罩212保護。因此,可以在接續的蝕刻製程中避免鰭片頂部的損失。由於矽化物層254和EPI S/D部件252之間的接觸面積增加,藉由被覆源極/汲極結構250也可以減小源極/汲極接觸電阻。
參考第1與第11A-11C圖,步驟145,執行金屬閘極替換製程。首先,在基材202上方,特別是在源極/汲極結構250、虛置閘極結構230與鰭218上形成層間介電(interlayer dielectric, ILD)層270(以虛線示出)。在一些實施例中,ILD層270是多層互連(multilayer interconnect MLI)部件的一部分,其電性耦合裝置200的各種裝置(例如,二極體、電阻、電容與/或電感)與/或組件(例如,閘極結構與/或源極/汲極部件),使多個裝置與/或組件可以如裝置200的設計所操作。ILD層270包含介電材料,例如氧化矽、氮化矽、氮氧化矽、TEOS形成的氧化物、PSG、BPSG、低介電常數介電材料、其他合適的介電材料或其組合。示例性的低介電常數介電材料包含FSG、碳摻雜的氧化矽、Black Diamond®(Applied Materials of Santa Clara, California)、乾凝膠(Xerogel)、氣凝膠(Aerogel)、非晶體氟化碳、聚對二甲苯(Parylene)、BCB、SiLK(Dow Chemical, Midland, Michigan)、聚醯亞胺、其他低介電常數介電材料或其組合。在一些實施例中,ILD層270為具有多個介電材料的多層結構。在一些實施例中,接觸蝕刻停止層(contact etch stop layer, CESL)設置在ILD層270與源極/汲極結構250、虛置閘極結構230與/或鰭片218之間。CESL包含與ILD層270不同的材料,例如與ILD層270的介電材料不同的介電材料。在繪示的實施例中,ILD層270包含低介電常數介電材料,CESL包含矽和氮(例如,氮化矽或氮氧化矽)。ILD層270與/或CESL形成在基材202上,例如,藉由沉積製程(例如CVD、FCVD、PVD、ALD、HDPCVD、MOCVD、RPCVD、PECVD、LPCVD、ALCVD、APCVD、電鍍、其他合適的方法或其組合)。在沉積ILD層270與/或CESL之後,執行化學機械平坦化製程與/或其他平坦化製程,直到到達(暴露)虛置閘極結構230的頂部表面。
繼續參考步驟145,去除虛置閘極結構230的虛置閘極堆疊222以形成閘極溝槽(閘極開口)260。閘極溝槽260暴露上部的鰭片主動區。例如,去除虛置閘極結構230的虛置閘極堆疊222暴露出鰭片218的通道區域,如第11A與11C圖所示。在繪示的實施例中,去除虛置閘極堆疊222暴露鰭片218通道區域上的鰭頂硬遮罩 212。因此,鰭片218受到鰭頂硬遮罩212的保護,並且在虛置閘極堆疊222去除製程期間可以避免或最小化鰭片頂部損耗/損壞,如第11C圖所示。去除製程可能是蝕刻製程,其可以包含乾式蝕刻製程、濕式蝕刻製程或其組合。在一些實施例中,蝕刻製程選擇性移除虛置閘極堆疊222而不(或最小地)移除ILD層270、閘極間隔物224、絕緣結構220與/或裝置200的其他部件。在一些實施例中,閘極結構230中至少一個虛置閘極結構230的虛置閘極堆疊222替換為金屬閘極,而至少一個虛置閘極結構230的虛置閘堆疊222保留(換句話說,未被替換),使溝槽可以不在所有虛置閘極結構230中形成。
參考第1與第12A-12C圖,步驟150,去除在閘極溝槽260中暴露的鰭頂硬遮罩212的部分,使鰭片218的通道區域的頂部表面暴露在閘極溝槽260中。去除製程可以包含乾式蝕刻製程、濕式蝕刻製程與/或其組合。由於鰭頂硬遮罩212和鰭片218具有不同蝕刻選擇性(不同的碳及/或氮成分)的材料,所以去除製程可以是選擇性蝕刻製程,使得僅在鰭片218的通道區域上方的部分鰭頂硬遮罩212(暴露在閘極溝槽260中)被移除。當執行蝕刻製程時,間隔物224(包括層226和228)可以作為蝕刻遮罩。在去除鰭頂硬遮罩 212之後,鰭片218大抵不受影響。在一些實施例中,選擇性蝕刻製程可包含反應離子蝕刻(reactive ion etching, RIE)。在繪示的實施例中,在步驟150之後,僅留下間隔物224下方部分的鰭頂硬遮罩212。每個鰭頂硬遮罩212的頂部表面直接接觸間隔物224的底部表面,並且每個鰭頂硬遮罩212的底部表面直接接觸鰭片218頂部表面的一部分。每個遠離閘極溝槽260的鰭頂硬遮罩212側壁直接接觸源極/汲極結構250,並且每個鰭頂硬遮罩212相反邊的側壁暴露在閘極溝槽260中。換句話說,間隔物224未延伸至源極/汲極結構250與閘極堆疊280下方。閘極溝槽260是由間隔物224與鰭頂硬遮212的側壁以及鰭片218通道區域的頂部表面所定義。如圖12C所示,鰭頂硬遮罩212在x方向上的寬度W大抵等於間隔物224的寬度。
參考第1與第13A-13C圖,步驟155,金屬閘極堆疊280形成在閘極溝槽260中。金屬閘極堆疊280與閘極間隔物224一同形成金屬閘極結構285。藉由適當的程序,例如後閘極(gate-last)製程或後高介電常數(high-k-last)製程在閘極溝槽260中形成金屬閘極堆疊280。金屬閘極堆疊280形成在覆蓋鰭片218的通道區域的基材202上。金屬閘極堆疊280可包含閘極介電層284、設置在閘極介電層284上方的功函數層286、以及設置在功函數層286上的金屬填充層282。
參考第13A圖,閘極介電層284順應性地沉積在鰭片218和絕緣結構220上,使閘極介電層284具有大抵均勻的厚度。在一些實施例中,閘極介電層284的厚度為約1.5奈米至2奈米。閘極介電層284包括介電材料,例如氧化矽、高介電常數介電材料、其他合適的介電材料或其組合。在繪示的實施例中,閘極介電層284包括一或多個高介電常數介電層,包含例如鉿、鋁、鋯、鑭、鉭、鈦、釔、氧、氮、其他合適的組成物或其組合。在一些實施例中,一或多個高介電常數介電層包含HfO2 、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、ZrO2 、Al2 O3 、HfO2 -Al2 O3 、TiO2 、Ta2 O5 、La2 O3 、Y2 O3 、其他合適的高介電常數介電材料或其組合。在一些實施例中,高介電常數介電材料的介電常數約大於或等於5(介電常數≥5)。在一些實施例中,閘極介電層284更包含設置在高介電常數介電層284和鰭片218與/或絕緣結構220之間的界面層(包含介電材料,例如氧化矽)。在一些實施例中,閘極介電層284包含氮摻雜的含氧介電層和設置在氮摻雜的含氧介電層上的高介電常數介電層。閘極介電層284藉由多個製程形成,例如ALD、CVD、PVD與/或其他合適製程,例如本文中所述。
繼續參考第13A圖,功函數層286順應性地沉積在閘極介電層284上。功函數層286包括具有適當功函數的金屬或金屬合金導電層,使相應的FET得以提升裝置性能。功函數層286的組成不同於p型FET與n型FET,分別稱為p型功函數(work function, WF)金屬和n型WF金屬。詳細而言,n型WF金屬是具有第一功函數的金屬,使相關的n型FET的臨界電壓降低。n型WF金屬接近矽導帶能量(Ec)或更低的功函數,使電子容易逃脫。例如,n型WF金屬具有約4.2eV或更低的功函數。p型WF金屬是具有第二功函數的金屬,使相關的p型FET的臨界電壓降低。p型WF金屬接近矽價帶能量(Ev)或更高的功函數,原子核對電子有強束縛能。例如,p型WF金屬具有約5.2eV或更高的功函數。在一些實施例中,n型WF金屬包含Ta。在其他實施例中,n型WF金屬包含TiAl、TiAlN或其組合。在一些其他實施例中,n型WF金屬包含Ta、TiAln、WN或其組合。在一些實施例中,p型WF金屬包含TiN或TaN。在其他實施例中,p-金屬包含TiN、TaN、WN、TiAl或其組合。n型WF金屬或p型WF金屬可能包含多個金屬基膜作為堆疊,以最佳化裝置的性能和製程相容性。功函數金屬藉由合適的製程(例如ALD、CVD、PVD與/或其他合適的製程)順應性地沉積在閘極介電層284上,使功函數層286有大抵均勻的厚度。在一些實施例中,功函數層286的厚度為約1.5奈米至3奈米。
此外,金屬閘極堆疊280也包含沉積在功函數層286上的金屬填充層282。在多個實施例中,金屬填充層282包含鋁、鎢、銅或其他合適的金屬。藉由合適的技術,例如PVD或電鍍,沉積金屬填充層282。
金屬閘極堆疊280還可以包含其他層,例如蓋層、膠/阻障層與硬遮罩層。蓋層可包含防止或消除閘極介電層284與金屬閘極堆疊280的其他層(特別是包含金屬的閘極層)之間組成物擴散與/或反應的材料。在一些實施例中,蓋層包含金屬與氮,例如TiN、TaN、W2 N、TiSiN、TaSiN或其組合。黏著/阻障層可以包含促進鄰近層,例如功函數層286和金屬填充層282之間黏合的材料,與/或阻擋與/或減少閘極層之間擴散的材料,例如功函數層286與金屬填充層282。例如,黏著/阻障層包括金屬(例如、W、Al、Ta、Ti、Ni、Cu、Co、其他合適的金屬或其組合),金屬氧化物、金屬氮化物(例如,TiN)或其組合。在一些實施例中,硬遮罩層(包含,例如,氮化矽或碳化矽)設置在金屬閘極堆疊280的至少一部分上。金屬閘極疊層280藉由多個沉積製程形成,例如ALD、CVD、PVD與/或其他合適的製程,例如本文中所述。
可以執行化學機械平坦化製程以去除閘極介電層284、功函數層286與金屬填充層282任何的多餘材料,平坦化金屬閘極結構285。
參考第1與第13A-13C圖,步驟160,移除金屬閘極堆疊280的頂部部分以準備裝置200進一步的製程(例如,形成自對準閘極接點)。去除金屬閘極堆疊280可能包含多個製程。在一些實施例中,藉由蝕刻製程選擇性去除金屬閘極疊層280,包括乾式蝕刻製程、濕式蝕刻製程,其他適當的蝕刻製程或其組合。
參考第1圖,步驟165,裝置200可以進行進一步製程。在一些實施例中,可以在基材202上形成多層互連(multilayer interconnection, MLI)結構,以連接多個FET和其他裝置到電路中。包含接點、導孔與金屬線的MLI結構可以藉由合適的製程形成。例如,MLI結構包含藉由自對準製程形成在閘極堆疊280頂部上的閘極接點。在銅互連中,導電部件包括銅,並且還可能包含阻障層。銅互連結構由鑲嵌製程形成。鑲嵌製程包括沉積ILD層;圖案化ILD層以形成溝槽;沉積各種材料(例如阻障層與銅);並執行化學機械平坦化製程。鑲嵌製程可以是單鑲嵌製程或雙鑲嵌製程。銅的沉積可以包含PVD以形成晶種層,並電鍍以在銅晶種層上形成塊狀銅。其他金屬,例如釕、鈷、鎢或鋁可用於形成互連結構。在一些實施例中,在將導電材料填充在接觸孔中之前,可以在源極/汲極區域上形成矽化物以進一步降低接觸電阻。矽化物包含矽和金屬,例如矽化鈦、矽化鉭、矽化鎳或矽化鈷。矽化物可以藉由稱為自對準矽化物(或自對準矽化物)的製程形成。製程包含金屬沉積、退火以使金屬與矽反應,以及蝕刻以去除未反應的金屬。在一些其他實施例中,一些其他金屬,例如釕或鈷,可用於接點與/或導孔。
儘管不旨在限制,但是本揭露的一或多個實施例為半導體裝置及其形成製程提供了許多益處。例如,本揭露的實施例形成包含鰭頂硬遮罩的半導體裝置。鰭頂硬遮罩可以在以下製造製程中保護鰭片免受損壞,例如,鰭片側壁回拉製程與虛置閘極去除製程。因此,改善具有鰭頂硬遮罩的半導體裝置的性能。本揭露提供許多不同實施例。此處揭露具有鰭頂硬遮罩的半導體裝置及其製造方法。示例的半導體結構包含半導體鰭片,設置在基材上,其中半導體鰭片包含通道區域與源極/汲極區域;閘極結構,設置在半導體鰭片的通道區域上,其中閘極結構包含閘極間隔物與閘極堆疊;源極/汲極結構,設置在半導體鰭片的源極/汲極區域上。半導體裝置更包含鰭頂硬遮罩,垂直夾設於閘極間隔物與半導體鰭片之間,其中鰭頂硬遮罩包含介電層,其中鰭頂硬遮罩的側壁與閘極堆疊直接接觸,鰭頂硬遮罩的另一側壁與源極/汲極結構直接接觸。
在一些實施例中,示例半導體結構的閘極堆疊,設置在溝槽中,溝槽係由閘極間隔物的側壁、鰭頂硬遮罩的側壁與通道區域中半導體鰭片的頂部表面所定義。
在一些實施例中,鰭頂部硬遮罩的材料具有與閘極間隔物的材料不同的蝕刻抵抗力。
在一些實施例中,閘極間隔物包括SiCN的第一層與SiN的第二層,鰭頂硬遮罩包含SiCN層,並且在鰭頂硬遮罩中SiCN中的碳濃度不同於在閘極間隔物的第一層中SiCN中的碳濃度。在一些其他實施例中,鰭頂硬遮罩包含一層SiCON。
在一些實施例中,鰭頂硬遮罩的寬度等於閘極間隔物的寬度。
在一些實施例中,鰭頂部遮罩包含與閘極間隔物的邊緣對齊的邊緣。
在一些實施例中,鰭頂硬遮罩的厚度與半導體鰭片的高度的比例約為5%至10%。
另一示例半導體結構包含半導體鰭片,設置在基材上;閘極結構,設置在基材與半導體鰭片上,其中閘極結構包含閘極堆疊與沿該閘極堆疊的側壁設置的間隔物,其中閘極結構設置在半導體鰭片上,並定義在閘極堆疊下的通道區域與未被閘極堆疊覆蓋的源極/汲極區域。另一示例半導體結構也包含鰭頂硬遮罩,設置在間隔物下及半導體鰭片上,其中鰭頂硬遮罩包含介電材料,鰭頂硬遮罩的寬度與間隔物的寬度相同;及被覆源極/汲極結構,設置在半導體鰭片的源極/汲極區域上,其中被覆源極/汲極結構與閘極堆疊接觸鰭頂硬遮罩的側壁。
在一些實施例中,鰭頂硬遮罩不與該半導體鰭片的一側壁接觸(free from a sidewall of the semiconductor fin),並且該鰭頂硬遮罩的邊緣與該間隔物的邊緣對齊。
在一些實施例中,被覆源極/汲極結構包含被覆半導體鰭片的源極/汲極區域的磊晶源極/汲極部件,與圍繞磊晶源極/汲極部件的矽化物層。
示例的方法包含形成硬遮罩於基材上;形成鰭片於基材上,其中鰭片包含通道區域與源極/汲極區域,其中硬遮罩在鰭片的頂部表面上,並包含材料,材料具有與鰭片的材料不同的蝕刻選擇性;形成虛置閘極堆疊於鰭片的通道區域上方的硬遮罩之上;順應性地形成間隔物層於虛置閘極堆疊、硬遮罩與鰭片上;非等向性沿著鰭片的側壁去除間隔物層;去除在鰭片的源極/汲極區域中的硬遮罩;磊晶生長源極/汲極結構於鰭片的源極/汲極區域之中;去除虛置閘極堆疊以形成閘極溝槽,閘極溝槽在鰭片的通道區域上暴露硬遮罩;從閘極溝槽去除硬遮罩以暴露鰭片;及於閘極溝槽中形成金屬閘極堆疊於鰭片上。
在一些實施例中,形成硬遮罩於基材上的步驟,包含形成介電層於基材上,介電層包含SiCN,其不同於間隔層的材料。
在一些實施例中,形成硬遮罩與基材上的步驟,更包含形成非晶矽層於介電層上。
在一些實施例中,形成硬遮罩於基材上的步驟,包含形成具有厚度約3.5至4奈米的硬遮罩於基材上。
在一些實施例中,形成金屬閘極堆疊的步驟包含:於閘極溝槽中形成介電層於硬遮罩上;於閘極溝槽中形成功函數層於介電層上;及於閘極溝槽中形成金屬填充層於功函數層上。
在一些實施例中,方法更包含:平坦化金屬閘極堆疊的頂部表面;及蝕刻金屬閘極堆疊的頂部部分。
在一些實施例中,去除鰭片的源極/汲極區域中的硬遮罩的步驟,包含利用虛置閘極結構作為蝕刻遮罩,執行選擇性乾式蝕刻製程。
在一些實施例中,選擇性乾式蝕刻製程為非等向乾式蝕刻,且蝕刻劑為氟(F)基氣體、溴(Br)基氣體、氯(Cl)基氣體、氦(He)、氬(Ar)或其組合。
在一些實施例中,選擇性乾式蝕刻製程為非等向乾式蝕刻,且蝕刻劑為磷酸。
在一些實施例中,從閘極溝槽去除硬遮罩以暴露鰭片的步驟,包含利用間隔物作為蝕刻遮罩,執行選擇性蝕刻製程。
以上概述數個實施例之部件,以便在本發明所屬技術領域中具有通常知識者可以更加理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應理解,他們能輕易地以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應理解,此類等效的結構並無悖離本發明的精神與範圍,且他們能在不違背本發明之精神和範圍下,做各式各樣的改變、取代和替換。因此,本發明之保護範圍當視後附之申請專利範圍所界定為準。
100:方法 105/110/115/120/125/130/135/140/145/150/155/160/165:步驟 200:半導體裝置 202:基材 204:圖案化層 206:SiO2層 208:非晶矽層 210:墊氧化物(pad oxide)層 212:鰭頂硬遮罩 218:鰭片 220:絕緣結構 222:虛置閘極堆疊 224:間隔物 226:第一間隔物層 228:第二間隔物層 230:虛置閘極結構 240:遮罩 250:源極/汲極結構 252:磊晶源極/汲極部件 254:矽化物層 260:閘極溝槽 270:層間介電層 280:閘極堆疊 282:金屬填充層 284:閘極介電層 285:閘極結構 286:功函數層 FH:高度 T1:厚度 W:寬度 A / A’ / B / B’ / C / C’:剖面 X / Y / Z:方向
以下將配合所附圖示詳述本揭露之各面向。應注意的是,依據在業界的標準做法,各種特徵並未按照比例繪製且僅用以說明例示。事實上,可能任意地放大或縮小元件的尺寸,以清楚地表現出本揭露的特徵。 第1圖係根據本揭露一些實施例繪示製造半導體裝置的範例方法的流程圖; 第2圖係根據本揭露一些實施例繪示範例半導體裝置的三維透視圖; 第3圖及第4圖係根據本揭露一些實施例繪示在第2圖的半導體裝置在第1圖的方法實施例的中間階段的三維透視圖; 第5A圖至第14A圖係根據本揭露一些實施例繪示在第1圖的方法的實施例的中間階段沿A-A'線截取第2圖的半導體裝置的截面圖; 第5B圖至第14B圖係根據本揭露一些實施例繪示在第1圖的方法的實施例的中間階段沿B-B'線截取第2圖的半導體裝置的截面圖; 第5C圖至第14C圖係根據本揭露一些實施例繪示在第1圖的方法的實施例的中間階段沿C-C'線截取第2圖的半導體裝置的截面圖;
200:半導體裝置
202:基材
218:鰭片
220:絕緣結構
224:間隔物
250:源極/汲極結構
270:層間介電層
280:閘極堆疊
282:金屬填充層
284:閘極介電層
285:閘極結構
286:功函數層
A/A’/B/B’/C/C’:剖面
X/Y/Z:方向

Claims (1)

  1. 一種半導體結構,包含: 一半導體鰭片,設置在一基材上,其中該半導體鰭片包含一通道 區域與一源極/汲極區域; 一閘極結構,設置在該半導體鰭片的該通道區域上,其中該閘極 結構包含一閘極間隔物與一閘極堆疊; 一源極/汲極結構,設置在該半導體鰭片的該源極/汲極區域上; 及 一鰭頂硬遮罩,垂直夾設於該閘極間隔物與該半導體鰭片之間, 其中該鰭頂硬遮罩包含一介電層,其中該鰭頂硬遮罩的一側壁與該閘極堆疊直接接觸,該鰭頂硬遮罩的另一側壁與該源極/汲極結構直接接觸。
TW108134993A 2018-09-27 2019-09-27 半導體結構 TW202027276A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862737204P 2018-09-27 2018-09-27
US62/737,204 2018-09-27
US16/525,832 2019-07-30
US16/525,832 US11374126B2 (en) 2018-09-27 2019-07-30 FinFET structure with fin top hard mask and method of forming the same

Publications (1)

Publication Number Publication Date
TW202027276A true TW202027276A (zh) 2020-07-16

Family

ID=69946596

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108134993A TW202027276A (zh) 2018-09-27 2019-09-27 半導體結構

Country Status (3)

Country Link
US (3) US11374126B2 (zh)
CN (1) CN110957355A (zh)
TW (1) TW202027276A (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11374126B2 (en) 2018-09-27 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET structure with fin top hard mask and method of forming the same
CN111508897A (zh) * 2019-01-31 2020-08-07 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
TW202143333A (zh) 2020-05-08 2021-11-16 台灣積體電路製造股份有限公司 半導體元件之製造方法
DE102020127451B4 (de) * 2020-05-08 2023-12-28 Taiwan Semiconductor Manufacturing Co. Ltd. Verfahren zur Bildung einer rückseitigen Langkanalstromschienenvorrichtung und zugehörige Halbleitervorrichtung
US11404322B2 (en) 2020-05-08 2022-08-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device
DE102020131611A1 (de) * 2020-05-28 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung mit luftspalten und verfahren zu deren herstellung
US11810919B2 (en) * 2021-06-17 2023-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure with conductive via structure and method for forming the same
CN115621315A (zh) * 2021-07-16 2023-01-17 联华电子股份有限公司 半导体元件及其制作方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100739653B1 (ko) * 2006-05-13 2007-07-13 삼성전자주식회사 핀 전계 효과 트랜지스터 및 그 제조 방법
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US8637931B2 (en) * 2011-12-27 2014-01-28 International Business Machines Corporation finFET with merged fins and vertical silicide
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9362386B2 (en) * 2013-02-27 2016-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. FETs and methods for forming the same
US9159576B2 (en) * 2013-03-05 2015-10-13 Qualcomm Incorporated Method of forming finFET having fins of different height
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
US10796924B2 (en) * 2016-02-18 2020-10-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof by forming thin uniform silicide on epitaxial source/drain structure
US9935195B1 (en) * 2017-01-12 2018-04-03 International Business Machines Corporation Reduced resistance source and drain extensions in vertical field effect transistors
CN109427680B (zh) * 2017-08-28 2021-07-30 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US11374126B2 (en) 2018-09-27 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET structure with fin top hard mask and method of forming the same

Also Published As

Publication number Publication date
US20200105938A1 (en) 2020-04-02
US11374126B2 (en) 2022-06-28
US20220328691A1 (en) 2022-10-13
US20240105850A1 (en) 2024-03-28
US11923457B2 (en) 2024-03-05
CN110957355A (zh) 2020-04-03

Similar Documents

Publication Publication Date Title
US10916546B2 (en) Enhanced channel strain to reduce contact resistance in NMOS FET devices
US11362004B2 (en) FinFET devices and methods of forming
US20230261114A1 (en) Gate-All-Around Structure and Methods of Forming the Same
TWI725459B (zh) 內連線結構及其形成方法
US11923457B2 (en) FinFET structure with fin top hard mask and method of forming the same
US9773786B2 (en) FETs and methods of forming FETs
TWI725332B (zh) 閘極切割方法與積體電路裝置
US9461110B1 (en) FETs and methods of forming FETs
US11152488B2 (en) Gate-all-around structure with dummy pattern top in channel region and methods of forming the same
US11145765B2 (en) Gate-all-around structure with self substrate isolation and methods of forming the same
US20230387253A1 (en) Self-aligned inner spacer on gate-all-around structure and methods of forming the same
US11488874B2 (en) Semiconductor device with funnel shape spacer and methods of forming the same
TW202119641A (zh) 半導體裝置
US20240096971A1 (en) Semiconductor device having contact feature and method of fabricating the same
US20230402546A1 (en) Semiconductor structure and method for forming the same
US20230068140A1 (en) Multigate device with stressor layers and method of fabricating thereof