TW202025298A - 半導體元件及其形成方法 - Google Patents

半導體元件及其形成方法 Download PDF

Info

Publication number
TW202025298A
TW202025298A TW108137998A TW108137998A TW202025298A TW 202025298 A TW202025298 A TW 202025298A TW 108137998 A TW108137998 A TW 108137998A TW 108137998 A TW108137998 A TW 108137998A TW 202025298 A TW202025298 A TW 202025298A
Authority
TW
Taiwan
Prior art keywords
dielectric layer
wire
forming
layer
semiconductor device
Prior art date
Application number
TW108137998A
Other languages
English (en)
Other versions
TWI791911B (zh
Inventor
陳建漢
邱建智
梁明中
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202025298A publication Critical patent/TW202025298A/zh
Application granted granted Critical
Publication of TWI791911B publication Critical patent/TWI791911B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Non-Volatile Memory (AREA)
  • Bipolar Transistors (AREA)

Abstract

一種形成半導體元件的方法包括形成導線於基底上,形成蝕刻停止層(etch stop layer, ESL)於導線上,蝕刻停止層沿著導線的上表面和相鄰導線的第一介電層的上表面連續地延伸,其中蝕刻停止層的第一下表面接觸導線的上表面,且蝕刻停止層的第二下表面接觸第一介電層的上表面,第一下表面比第二下表面更接近基底;形成第二介電層於蝕刻停止層上;形成一開口於第二介電層中,開口露出蝕刻停止層的第一部分;移除蝕刻停止層的第一部分以露出導線;以及將一導電材料填入開口以形成導孔。

Description

半導體元件及其形成方法
本發明實施例是關於半導體元件的形成方法,特別是關於自我對準的導孔。
半導體產業,由於各種電子元件(如電晶體、二極體、電阻、電容等)的整合密度的持續改善,經歷了快速的成長。在大部分的情況下,整合密度的改善來自於最小部件尺寸的重複減縮,進而允許更多元件得以被整合進入給定的面積中。隨著半導體元件的尺寸持續縮小,且整合密度持續擴大,在半導體的製造中面臨更多的挑戰。
一種半導體元件的形成方法,包括:形成導線於基底上;形成蝕刻停止層(etch stop layer, ESL)於導線上,蝕刻停止層沿著導線的上表面和相鄰導線的第一介電層的上表面連續地延伸,其中蝕刻停止層的第一下表面接觸導線的上表面,且蝕刻停止層的第二下表面接觸第一介電層的上表面,第一下表面比第二下表面更接近基底;形成第二介電層於蝕刻停止層上;形成開口於第二介電層中,開口露出蝕刻停止層的第一部分;移除蝕刻停止層的第一部分以露出導線;以及將導電材料填入開口以形成導孔。
一種半導體元件的形成方法,包括:在基底上的第一介電層中形成導線,在第一介電層的上表面露出導線的上表面;在第一介電層的上表面上選擇性地形成第二介電層,第二介電層的上表面比導線的上表面由基底延伸更遠;形成第三介電層於第二介電層和導線上;在第三介電層中形成開口,開口露出導線的上表面的第一部分和第二介電層的上表面的第二部分;以及在開口中形成導孔,導孔電性耦合至導線,導孔的下表面沿著導線的上表面的第一部分和第二介電層的上表面的第二部分延伸。
一種半導體元件,包括:第一介電層,於基底上;導線,在第一介電層中;第二介電層,於第一介電層和導線上;以及導孔,在第二介電層中,並電性耦合至導線,其中導孔具有相對側壁和介於相對側壁之間的底面,其中導孔的底面的第一部分沿著導線的上表面延伸,而導孔的底面的第二部分比第一部分由基底延伸更遠。
以下揭露提供了許多的實施例或範例,用於實施本發明的不同部件。組件和配置的具體範例描述如下,以簡化本發明實施例。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例來說,敘述中提及第一部件形成於第二部件之上,可包括形成第一和第二部件直接接觸的實施例,也可包括額外的部件形成於第一和第二部件之間,使得第一和第二部件不直接接觸的實施例。另外,本發明可在各種範例中重複元件符號及/或字母。除非另外指定,相似元件符號引用於相似元件上,以相同或相似材料,使用相同或相似方法來形成。
再者,此處可使用空間上相關的用語,如「在…之下」、「下方的」、「低於」、「在…上方」、「上方的」和類似用語可用於此,以便描述如圖所示一元件或部件和其他元件或部件之間的關係。這些空間用語企圖包括使用或操作中的裝置的不同方位。當裝置被轉至其他方位(旋轉90°或其他方位),則在此所使用的空間相對描述可同樣依旋轉後的方位來解讀。
在此揭露的各種實施例係關於在半導體元件中形成自我對準導孔(self-aligned via, SAV)。在一些實施例中,為了形成電性耦合至下方導線的自我對準導孔,首先形成非平面的蝕刻停止層(etch stop layer, ESL)於導線上和第一介電層上,其導線形成於第一介電層中,其中蝕刻停止層具有第一下表面和與第一下表面隔開(例如具有偏位(offset))的第二下表面。第一下表面接觸並沿著導線的上表面延伸,而第二下表面沿著第一介電層的上表面延伸,其中第二下表面比第一下表面離導線更遠。蝕刻停止層可具有複數個次層膜(如順應性次層膜),其依次地形成於導線上和於第一介電層上。之後,形成第二介電層於蝕刻停止層上,並於第二介電層中形成開口以露出蝕刻停止層的第一部分。接著,移除蝕刻停止層的第一部分使下方的導線露出,並藉由將導電材料填入開口,於開口中形成導孔。
各種用來形成具有第一下表面和第二下表面的蝕刻停止層的方法將被揭露。在一實施例中,在基底上的第一介電層中形成導線,接著,凹蝕(例如蝕刻)導線,使得導線的上表面比第一介電層的上表面更接近基底。然後在導線和在第一介電層上順應性地形成蝕刻停止層。在另一個實施例中,在基底上的第一介電層中形成導線,使得導線上表面和第一介電層的上表面齊平。接著,在第一介電層的上表面上選擇性地形成第三介電層,同時露出導線,並在導線上和在第三介電層上順應性地形成蝕刻停止層。之後,在蝕刻停止層上形成第二介電層。
第1-9圖是根據一實施例,於各種製造階段的半導體元件100的剖面示意圖。如第1圖所繪,半導體元件100包括基底101和在基底101中的元件區102。半導體元件100更包括蝕刻停止層103、介電層105、和在介電層105上的膜層堆疊104,其膜層堆疊104更包括複數個介電層,如介電層107、109、111、和143。
基底101可是半導體基底,如摻雜或未摻雜的矽,或絕緣層上半導體(semiconductor-on-insulator, SOI)基底的主動層。半導體基底可包括其他半導體材料,如鍺;化合物半導體包括碳化矽、砷化鎵、磷化鎵、氮化鎵、磷化銦、砷化銦、及/或銻化銦;合金半導體包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、及/或GaInAsP;或其組合。也可使用其他基底,如多層式或梯度基底。可在基底101的元件區102中形成元件,如電晶體、二極體、電容、電阻。元件區102更可包括導電部件如接觸結構(亦被稱作接觸插塞),例如閘極接觸和源極/汲極接觸,其分別電性耦合至下方電晶體的閘極和源極/汲極區。
蝕刻停止層103是用來保護下方的結構,並提供後續蝕刻製程蝕穿如介電層105的控制點(control point),且可藉由合適的方法(如化學氣相沉積(chemical vapor deposition, CVD)、物理氣相沉積(physical vapor deposition, PVD)、或其他類似方法),以合適的材料(如氧化鋁,AlOx )來形成蝕刻停止層103。可以一或多個合適材料(如氧化矽、氮化矽、低介電常數(low-k)介電質如碳摻雜氧化物、極低介電常數介電質如多孔狀碳摻雜二氧化矽、其組合、或其他類似材料)來製作介電層105。可透過如化學氣相沉積的製程來形成介電層105,儘管任何合適的製程皆可被使用。
在第1圖的範例中,膜層堆疊104包括依次地形成於介電層105上的介電層107、109、111、和143。可以合適的介電材料(如氧化矽、氮化矽、碳氮化矽、碳化矽、氮化鈦、四乙基正矽酸鹽(tetraethyl orthosilicate, TEOS)、或其他類似材料)來形成個別介電層107、109、111、和143。在一些實施例中,介電層107包括氧化物(例如氧化矽),其以四乙基正矽酸鹽(亦被稱作TEOS形成的氧化物)所形成,以碳化矽(例如SiC)形成介電層109,以氮化鈦(例如TiN)形成介電層111,並以TEOS形成的氧化物形成介電層143。可以合適的形成方法(如物理氣相沉積、化學氣相沉積、旋轉塗布法(spin-coating)、其組合、或其他類似方法)來形成膜層堆疊104的各層膜。在第1圖中所繪的膜層堆疊104的次層數量和材料是例示性的且非限制性的,並可藉由例如後續進行的光微影和蝕刻製程的因素所決定。膜層堆疊104的次層的其他數量和其他材料也可行,並完全意圖包括在本揭露的範圍中。
在形成膜層堆疊104之後,使用例如光微影和蝕刻方式,在膜層堆疊104中形成開口110。開口110蝕穿膜層堆疊104並露出介電層107。開口110的位置對應於後續形成的導線115(參照例如第3和4圖)的位置。在形成開口110之後,在一些實施例中,藉由如蝕刻的合適製程來移除介電層143(例如TEOS形成的氧化物)。
接著,在第2圖中,延伸開口110來形成開口112。開口112延伸穿過介電層107、介電層105、蝕刻停止層103,並露出在元件區102中的導電部件(例如閘極接觸及/或源極/汲極接觸)。在一實施例中,為了形成開口112,首先進行乾蝕刻製程(如電漿製程)來延伸開口110穿過介電層107和105,使蝕刻停止層103露出。接著,進行濕蝕刻製程來蝕刻穿過蝕刻停止層103並露出在下方元件區102中的導電部件。乾蝕刻製程可使用蝕刻氣體包括八氟環丁烷(octaflurocyclobutane,例如C4 F8 )、四氟甲烷(tetrafluoromethane,例如CF4 )、或其組合。濕蝕刻可使用如氫氧化銨(ammonium hydroxide,例如NH4 OH)的化學品。
接著,在第3圖中,在半導體元件100上(例如順應性地)形成阻障層113。如第3圖所繪,阻障層113內襯開口112的側壁和底部,並沿著介電層111上表面延伸。阻障層113可包括如氮化鈦的導電材料,儘管其他材料(如氮化鉭、鈦、鉭、或其他類似材料)皆可被替代地利用。可使用化學氣相沉積製程(如電漿促進化學氣相沉積)來形成阻障層113。然而,其他替代製程(如濺鍍法(sputtering)、金屬有機化學氣相沉積(metal organic chemical vapor deposition, MOCVD)、或原子層沉積(atomic layer deposition, ALD))皆可被替代地使用。
接著,在阻障層113上形成晶種層。晶種層可包括銅、鈦、鉭、氮化鈦、氮化鉭、其他類似材料、或其組合,並可藉由原子層沉積、濺鍍法、物理氣相沉積、或其他類似方法來沉積晶種層。在一些實施例中,晶種層為金屬層,其可為單層膜或複合層(包括複數個以不同材料形成的次層)。舉例來說,晶種層可包括鈦層和在鈦層上的銅層。
一旦形成了晶種層,在晶種層上形成導電材料115’。 導電材料115’可是如銅的合適導電材料,儘管其他合適材料(如鋁、銀、金、鎢、銠、鉬、鎳、鈷、鎘、鋅、其合金、其組合、或其他類似材料)皆可被替代地利用。可藉由電鍍導電材料115’於晶種層上、填充或過度填充開口112來形成導電材料115’。
接著,在第4圖中,進行平坦化製程,如化學機械平坦化(chemical and mechanical planarization, CMP)。在第4圖的範例中,控制平坦化製程來停止於介電層105露出時,或達到導電材料115’ 的剩餘部分的目標高度HL 。在一些實施例中,高度HL 介於約150Å和250Å之間。完成平坦化製程後,導電材料115’的剩餘部分形成導線115,其導線115具有上表面與介電層105上表面(或其剩餘部分)齊平。導線115電性耦合至在元件區102中的導電部件(例如下方電晶體的閘極接觸及/或源極/汲極接觸)。
接著參照第5圖,凹蝕導線115上表面,使得導線115上表面比介電層105上表面更接近基底101。在一些實施例中,進行如濕蝕刻的蝕刻製程來凹蝕導線115。濕蝕刻可使用化學品,其對導線115的材料具有選擇性(例如具有較高蝕刻率)。在所繪的實施例中,導線115為銅線,且在濕蝕刻使用的化學品為氫氧化銨(例如NH4 OH,也可被稱作銨溶液),其包括銨和去離子水。
在一些實施例中,控制濕蝕刻製程來達到介於介電層105上表面和導線115上表面之間的目標距離H。在所繪的實施例中,目標距離H介於約30Å和50Å之間。在一些實施例中,若目標距離H小於約30Å,則不能充足地達到本揭露的一些優勢,如減少漏電流和減少電性短路機率(參照於下所述以第8圖為參考)。另一方面,若目標距離H大於約50Å,則導線115的材料可能過度流失,且導線115的電阻可能過高。在一些實施例中,控制濕蝕刻的持續時間及/或銨溶液的酸鹼值來達到導線115的凹蝕目標量(也就是距離H)。舉例來說,可控制濕蝕刻的持續時間約2分鐘至3分鐘,且可控制銨溶液的酸鹼值至介於約9和11之間。在一些實施例中,以銅形成導線115,並以鉭、氮化鉭、及/或鈷來形成阻障層113,藉由控制酸鹼值至介於約9和11之間,則達到介於導線115和阻障層113之間的銨溶液的蝕刻選擇比。為了控制酸鹼值,可將電極浸入使用在濕蝕刻製程的銨溶液中,並施加電流於電極來調整銨溶液的酸鹼值。完成濕蝕刻製程後,在介電層105中(例如導線115上表面上)形成凹槽114。
接著,在第6圖中,在第5圖的半導體元件100上(例如順應性地)形成蝕刻停止層117,並在蝕刻停止層117上(例如順應性地)形成保護層119。蝕刻停止層117沿著介電層105的上表面延伸,並內襯凹槽114的側壁和底部。可以任何合適介電材料(如氮化矽、碳氮化矽、其組合、或其他類似材料)來形成蝕刻停止層117。在一實施例中,以氧化鋁(例如AlOx )來形成蝕刻停止層117,並以氧摻雜碳化物(oxygen doped carbide, ODC)來形成保護層119。在一些實施例中,由於氧摻雜碳化物為疏水性,保護層119防止或減少對於導線115造成的潮濕相關的傷害,如氧化或侵蝕。由於凹槽114的關係,蝕刻停止層117為非平面,並具有下表面117L1,其物理接觸且沿著介電層105上表面延伸。蝕刻停止層117也具有另一個下表面117L2,其物理接觸且沿著導線115上表面延伸。下表面117L2比下表面117L1更接近基底101。在所繪的範例中,下表面117L1與基底101上表面平行(例如在製造的限度內平行)。儘管導線115被繪示為具有平坦的上表面,取決於用來凹蝕導線115的濕蝕刻製程的各種因素(例如銨溶液的酸鹼值),導線115可具有非平坦的上表面,如內凹上表面。
在一些實施例中,蝕刻停止層117包括氧化鋁,藉由化學氣相沉積製程,使用包括氧(如O2 和CO2 )的前驅物和包括鋁自由基的前驅物來形成蝕刻停止層117。蝕刻停止層117的厚度介於約20Å和30Å之間。在一些實施例中,保護層119包括氧摻雜碳化物,並藉由物理氣相沉積製程來形成保護層119。保護層119的厚度介於約30Å和70Å之間,如50Å。
接著參照第7圖,在保護層119和蝕刻停止層117上形成介電層121,並在介電層121上方形成膜層堆疊124。介電層121可包括與介電層105相同或相似的材料,並可藉由相同或相似的方法來形成介電層121,故細節不在此重複。膜層堆疊124可包括介電層123(例如TEOS形成的氧化層)、介電層125(例如碳化矽層)、和介電層128(例如氮化鈦層),其藉由合適的形成方法(如化學氣相沉積、物理氣相沉積、旋轉塗布法、其組合、或其他類似方法)來形成膜層堆疊124。在第7圖中所繪的膜層堆疊124的次層數量和次層材料是例示性的且非限制性的,並可藉由如後續進行的光微影和蝕刻製程的因素所決定。膜層堆疊124的次層的其他數量和其他材料也可行,並完全意圖包括在本揭露的範圍中。
接著,使用例如光微影和蝕刻方式,圖案化膜層堆疊124、介電層121、和保護層119來形成開口126。可使用一或多個蝕刻製程來形成開口126,例如使用雙鑲嵌(dual-damascene)蝕刻製程,使得各個開口126具有上溝槽126U和一或多個下溝槽126L。如第7圖所繪,開口126延伸穿過膜層堆疊124、介電層121、和保護層119,並露出蝕刻停止層117。在所繪的實施例中,為了形成開口126的蝕刻製程,可對介電層121、膜層堆疊124、和保護層119的材料具有選擇性,所以在蝕刻製程完成後,蝕刻停止層117實質上保持未被蝕刻。在第7圖中的導線115的數量、開口126的數量、導線115的相對位置、和開口126的數量是例示性的且非限制性的,其他數量和其他相對位置也可行,並完全意圖包括在本揭露的範圍中。
在一些實施例中,進行電漿蝕刻製程來形成開口126。可在介於約20mTorr和80mTorr之間的壓力下,和在介於約0°C和50°C之間的溫度下,進行電漿蝕刻製程。電漿蝕刻製程可使用包括碳和氟的化合物的氣體,其化合物可被表示為Cx Fy (例如CF4 或C4 F8 )。在一實施例中,電漿蝕刻製程使用包括Cx Fy 、N2 、O2 、和Ar的氣體混合物。Cx Fy 的流速可介於約每分鐘20標準立方公分和每分鐘50標準立方公分之間,N2 的流速可介於約每分鐘10標準立方公分和每分鐘100標準立方公分之間,O2 的流速可介於約每分鐘5標準立方公分和每分鐘25標準立方公分之間,Ar的流速可介於約每分鐘600標準立方公分和每分鐘1200標準立方公分之間。另外,氣體混合物可選地包括H2 或CHx Fy 來控制在蝕刻期間的介電層121(例如氧化矽)的輪廓(例如被開口126暴露的介電層121的側壁輪廓)。舉例來說,可加入含氫氣體(例如H2 )於氣體混合物來控制後續形成的導孔(參照例如在第8圖中的129V)的深度負載(depth loading)和臨界尺寸(critical dimension, CD)。含氫氣體可助於收縮導孔的臨界尺寸(例如藉由收縮下溝槽126L的臨界尺寸)並控制在導孔不同臨界尺寸上的金屬深度負載。在使用H2 於氣體混合物的實施例中,H2 的流速介於約每分鐘0標準立方公分和每分鐘100標準立方公分之間。在使用CHx Fy 於氣體混合物的實施例中,CHx Fy 的流速介於約每分鐘0標準立方公分和每分鐘100標準立方公分之間。
為了活化氣體混合物成為電漿,使用射頻(radio frequency, RF)源,其射頻源可具有介於約0V和1000V之間的電壓供應,並可具有介於約200W和500W之間於不同頻率源的射頻電源。可為了開口126(例如上溝槽126U和下溝槽126L)的不同深寬比調整射頻電源來維持目標蝕刻能力。舉例來說,在電漿蝕刻製程的開始,射頻源可具有介於約200W和500W之間的射頻電源,隨著電漿蝕刻製程的進行,射頻電源可增加至介於約200W和1000W之間。
接著,在第8圖中,移除蝕刻停止層117被開口126(例如下溝槽126L)露出的部分來露出下方的導線115。由於開口126和個別的導線115之間的錯位(misalignment)的關係,也可藉由蝕刻停止層117的移除來露出介電層105的上表面。換句話說,藉由蝕刻停止層117的移除來露出導線115和介電層105的上表面,以延伸開口126。在一實施例中,進行使用含氟化學品的濕蝕刻製程來移除蝕刻停止層117的露出部分。在一些實施例中,在濕蝕刻製程期間,控制含氟化學品的酸鹼值至中性(例如酸鹼值為7),使得含氟化學品選擇性地移除蝕刻停止層117的露出部分,而不實質攻擊到下方的導線115。
接著,(例如順應性地)形成阻障層127內襯(延伸後)開口126的側壁和底部。阻障層127也物理接觸並沿著介電層105露出的上表面延伸。接著在阻障層127上形成晶種層,並在晶種層上形成導電材料129(如填充金屬)來填入開口126。導電材料129填入下溝槽126L的部分形成導孔129V。
隨著在半導體製造中的部件尺寸持續收縮,為了控制形成在不同層膜上的不同部件之間的對位變得更加困難。舉例來說,光微影和蝕刻方式使用遮罩(亦被稱作光罩)來控制曝光度,並在半導體元件的不同層膜中形成不同部件。在先進的製程節點中,遮罩之間可發生的微小位移(被稱作重疊位移(overlay shift)),將會造成形成在不同層膜中的部件與原本設計位置具有意外的偏位(例如位置改變)。
在第8圖的範例中,由於重疊位移的關係,導孔129V的中間軸129VC(也參照第9圖)與導孔129V下方的個別導線115的中間軸115C具有偏位∆。偏位∆所得的結果是,導孔129V的下表面(原本可被設計為實質上具有與導線115的上表面相同的寬度)沒有完美的與個別導線115的上表面對準。因此,導孔129V下表面的一部分延伸超過個別導線115的側壁。由於阻障層127是順應性的在導孔129V表面,導孔129V和下方導線115之間的錯位造成阻障層127具有第一部分127L覆蓋(例如物理接觸並延伸於)下方導線115的上表面,並具有第二部分127A接觸並沿著直接相鄰下方導線115的介電層105部分上表面延伸。如第8圖所繪,由於重疊位移的關係,位在導線115上表面上的蝕刻停止層117的一部分和保護層119的一部分未被電漿蝕刻製程移除,也因此,保留在導線115上表面上。此外,在第8圖中,在相鄰導線115之間的部分介電層105上表面具有被阻障層127覆蓋的第一部分(例如127A),並具有被阻障層117覆蓋的第二部分117A(例如阻障層117被標示的部分)。
在第8圖中,阻障層127的第二部分127A和在第二部分127A正上方的部分導孔129V向上延伸於導線115上表面之上。導孔129V的向上延伸有助於維持導孔129V和側向相鄰(例如直接相鄰)的導線115之間的最小距離D,其中最小距離D等於在所繪範例中相鄰導線115之間的距離。維持導孔129V和相鄰導線115之間的最小距離D的能力,藉由減少導孔129V和相鄰導線115之間的漏電流,並減少導孔129V和相鄰導線115之間的電性短路(例如短路電路)的機率來改善元件性能,其繪示出本揭露方法的優勢。
可藉由考慮一參考設計來更佳地理解上述優勢,其中導線115未被凹蝕,且在導線115和介電層105上形成的蝕刻停止層117為平面(平坦面)層,其中重疊位移會造成導孔129V往側向相鄰的導線115側向位移,其可導致短路電路及/或增加漏電流。如另一個繪示本揭露方法的優勢的範例,考慮一個情境,其要移除蝕刻停止層117露出(例如被第7圖中開口126露出的)部分的蝕刻製程過度蝕刻和移除位在蝕刻停止層117露出部分的下方的介電層105的部分。重疊位移和過度蝕刻會造成在上方提及的參考設計中所形成的凹槽直接相鄰導線115,當形成導電材料129時,這些凹槽會被填入,從而在介於相鄰導線115之間形成導電材料129的多餘部分,其可造成漏電流增加及/或電性短路。反之,本揭露方法,由於自介電層105上表面凹蝕導線115,提供了改善的過度蝕刻容許度,並仍可維持導孔129V和相鄰導線115之間的最小距離D,因而減少漏電流及/或電性短路發生率。
回想在第5圖的製程中,控制要凹蝕導線115的濕蝕刻製程來達到介於介電層105上表面和導線115上表面之間的距離H,其距離H介於約30Å和50Å之間。選擇距離H的下限(例如30Å)來提供對抗過度蝕刻的目標保護程度。舉例來說,藉由具有約30Å的最小距離H,在為了移除蝕刻停止層117露出部分的後續蝕刻製程中,可容許30Å的過度蝕刻而不造成導孔129V和相鄰導線115之間的最小距離D的減少。若距離H太小(例如小於約30Å),對抗過度蝕刻的目標保護程度可能不足,且過度蝕刻可導致最小距離D的減少,反而可導致增加漏電流及/或電性短路。另一方面,若距離H太大(例如大於約50Å),凹槽114(參照第5圖)的高寬比(例如高度除以寬度)可能過大,對於在凹槽114中形成順應性蝕刻停止層117可能有困難,並因此在蝕刻停止層117的形成中可發生不連續性(例如孔洞),其負面地影響蝕刻停止層117的功能,並可導致增加漏電流及/或短路電路。
現在參照第9圖,進行平坦化製程(如化學機械平坦化)來移除在介電層121上的層膜。平坦化製程完成後,在上溝槽126U(參照第7圖)中的導電材料129的剩餘部分形成導線129L,在下溝槽126L(參照第7圖)中的導電材料129的剩餘部分形成導孔129V。
接著,在介電層121上和導線129L上形成蝕刻停止層131,並在蝕刻停止層131上形成保護層133。蝕刻停止層131可與蝕刻停止層117(例如AlOx )相同或相似,而保護層133可與保護層119(例如氧摻雜碳化物)相同或相似,故細節不再重複。接著,在保護層133上形成額外介電層,如介電層135(其可與介電層105相同或相似)和介電層137(其可為TEOS形成的氧化物層),並使用與上述相似的製程步驟,在介電層135和介電層137中形成具有阻障層139的導線141。在第9圖要製造半導體元件100的製程完成後,可進行額外製程,如在本發明所屬技術領域中具有通常知識者可理解。細節則不在此論述。
取決於如導孔129V寬度、導線115寬度、導孔129V的中間軸129VC和導線115的中間軸115C之間的偏位∆的因素,半導體元件100可具有與第9圖不同的剖面示意圖。舉例來說,第10圖繪示第9圖半導體元件100的替代剖面示意圖,其導孔129V寬度大於下方的導線115和偏位∆的總和。所得的結果是,在第10圖的範例中,阻障層127完全地覆蓋下方導線115的上表面,其電性耦合至導孔129V。此外,阻障層127的部分(例如第二部分127A)位在導線115側壁外,沿著介電層105上表面向上延伸,有助於維持導孔129V和側向相鄰的導線115之間的最小距離D,從而減少漏電流及/或電性短路的可能性。在第10圖中,在相鄰導線115之間的部分介電層105上表面具有被阻障層127覆蓋的第一部分(例如127A),且具有被阻障層117覆蓋的第二部分117A(例如阻障層117被標示的部分)。如第9和10圖所繪,蝕刻停止層117和凹蝕後的導線115有助於導孔129V以自我對準的樣態形成。
第11-13圖是根據一實施例,半導體元件200於各種製造階段的剖面示意圖。第11圖的製程可按照第4圖所繪示的製程,也因此第1-4和11-13圖繪示另一個製程方法實施例。
在第11圖中,在介電層105上表面上選擇性地形成介電層106。在一些實施例中,介電層106包括氧化矽,藉由沉積製程(例如化學氣相沉積),使用使用包括矽烷(例如SiH4 )的前驅物和包括氧(例如O2 )的前驅物來形成介電層106。由於導線115(例如銅)的上表面對氧化矽的形成不具導電性,在所繪實施例中,在介電層105(例如氧化矽)上的氧化矽沉積速率比在導線115上的氧化矽沉積速率高更多。所得的結果是,在介電層105上選擇性地形成介電層106,同時在導線115上形成少量介電層106或不具任何介電層106。在其他實施例中,可在介電層105上和在導線115上毯覆式沉積(blanket deposit)介電層106,並進行後續蝕刻製程(例如使用光微影和蝕刻方式)來移除介電層106在導線115上的部分。
在一些實施例中,在介電層106的沉積製程期間,矽烷的流速介於約每分鐘50標準立方公分和每分鐘100標準立方公分之間,且氧的流速介於約每分鐘5標準立方公分和每分鐘10標準立方公分之間。在一些實施例中,沉積製程的溫度介於約300°C和500°C之間,沉積製程的壓力介於約75mTorr和200mTorr之間。介電層106形成的厚度介於約30Å和50Å之間,使得自介電層106上表面凹蝕導線115上表面至距離H,其等於介電層106的厚度。如第11圖所繪,在導線115上的介電層106中形成凹槽114’。
接著,在第12圖中,在介電層106上表面上,並沿著凹槽114’側壁和底部依序地形成蝕刻停止層117和保護層119。細節與上述以第6圖為參考相同或相似,故不在此重複。
由於凹槽114’的關係,蝕刻停止層117為非平面,並具有下表面117L1,其物理接觸且沿著介電層106上表面延伸。蝕刻停止層117也具有另一個下表面117L2,其物理接觸且沿著導線115上表面延伸。下表面117L2比下表面117L1更接近基底101。在所繪的範例中,下表面117L1與下表面117L2平行(例如在製造的限度內平行),並與基底101上表面平行(例如在製造的限度內平行)。
接著,在第13圖中,進行與第7和8圖所繪相同或相似的製程步驟來形成第13圖中所繪的半導體元件200。特別是,在保護層119上形成介電層121,並在介電層121上形成膜層堆疊,其包括複數個介電層(例如介電層123和介電層125)。接著,在介電層121中形成開口(參照例如在第7圖中的開口126)來露出部分蝕刻停止層117。接著移除蝕刻停止層117的露出部分來露出下方的導線115。接著,形成阻障層127,其次是晶種層的形成和在晶種層上的導電材料129。細節與上述以第7和8圖為參考相同或相似,故不在此重複。
在第13圖中,蝕刻停止層117覆蓋導線115(例如在第13圖中最左或最右的導線115)上表面的第一部分,其電性耦合至個別導孔129V,且阻障層127(例如第一部分127L)覆蓋導線115上表面的第二部分。此外,在相鄰導線115之間的部分介電層105上表面具有被阻障層127覆蓋的第一部分(例如127A),且具有被阻障層117覆蓋的第二部分117A(例如阻障層117被標示的部分)。可在第13圖的製程完成後進行額外製程來製造半導體元件200,如在本發明所屬技術領域中具有通常知識者可理解。細節則不在此論述。
取決於如導孔129V寬度、導線115寬度、導孔129V的中間軸129VC和導線115的中間軸115C之間的偏位∆(參照第8圖)的因素,半導體元件200可具有與第13圖不同的剖面示意圖。第14圖為第12圖半導體元件200的替代剖面示意圖,其導孔129V寬度大於下方的導線115和偏位∆的總和。所得的結果是,在第14圖的範例中,阻障層127完全地覆蓋下方導線115的上表面,其電性耦合至導孔129V。此外,阻障層127位在導線115側壁外的部分(例如第二部分127A),沿著介電層105上表面向上延伸,有助於維持導孔129V和側向相鄰的導線115之間的最小距離D,從而減少漏電流及/或電性短路的可能性。在第14圖中,在相鄰導線115之間的部分介電層105上表面具有被阻障層127覆蓋的第一部分(例如127A),並具有被阻障層117覆蓋的第二部分117A(例如阻障層117被標示的部分)。
所揭露的實施例可能有各種變型,並完全意圖包括在本揭露的範圍中。舉例來說,在此揭露的各種實施例使用在元件區102中的元件(例如電晶體)上的第一導孔層(亦被稱作Via0層)的形成為例的同時,可套用揭露方法於在第一導孔層上的其他導孔層。導孔129V和導線129L被繪示為在雙鑲嵌製程中形成的同時,任何合適的製程皆可被使用來形成導線129L和導孔129V。
第15圖是根據一些實施例,製造半導體結構的方法的流程圖。應理解的是,在第15圖中所示的實施例方法僅僅是許多可行實施例方法中的一個範例。在本發明所屬技術領域中具有通常知識者會認出許多差異、備案、和調整。舉例來說,可增加、移除、取代、編排、和重複在第15圖中所繪的各種步驟。
參照第15圖,在方塊1010,在基底上形成導線。在方塊1020,在導線上形成蝕刻停止層,蝕刻停止層連續地沿著導線上表面和相鄰導線的第一介電層上表面延伸,其中蝕刻停止層的第一下表面接觸導線上表面,且蝕刻停止層的第二下表面接觸第一介電層上表面,第一下表面比第二下表面更接近基底。在方塊1030,在蝕刻停止層上形成第二介電層。在方塊1040,在第二介電層中形成開口,其開口露出蝕刻停止層的第一部分。在方塊1050,移除蝕刻停止層的第一部分來露出導線。在方塊1060,將導電材料填入開口來形成導孔。
實施例可達到優勢。舉例來說,藉由凹蝕介電層105(參照例如第6圖)上表面下的導線115,或藉由在介電層105(參照例如第12圖)上選擇形性地形成介電層106,蝕刻停止層117為非平面層,並具有接觸導線115的第一下表面和高於第一下表面的第二下表面。第一下表面和第二下表面之間的距離H提供保護來對抗蝕刻停止層117的過度蝕刻,有助於維持導孔129V和側向相鄰的導線115之間的最小距離D,從而減少漏電流及/或短路電路的可能性。由於非平面蝕刻停止層117形狀的關係,以自我對準的樣態形成導孔129V,其可減少為了形成開口126的光微影製程的精準度要求,從而減少製造成本。
在一實施例中,一種半導體元件的形成方法,包括:形成導線於基底上;形成蝕刻停止層於導線上,蝕刻停止層沿著導線的上表面和相鄰導線的第一介電層的上表面連續地延伸,其中蝕刻停止層的第一下表面接觸導線的上表面,且蝕刻停止層的第二下表面接觸第一介電層的上表面,第一下表面比第二下表面更接近基底;形成第二介電層於蝕刻停止層上;形成開口於第二介電層中,開口露出蝕刻停止層的第一部分;移除蝕刻停止層的第一部分以露出導線;以及將導電材料填入開口以形成導孔。在一實施例中,形成導線包括在第一介電層中形成導線,使得導線和第一介電層具有相同水平的上表面,其中形成蝕刻停止層包括:凹蝕導線的上表面至該第一介電層的該上表面之下;以及順應性地形成蝕刻停止層於第一介電層的上表面和導線的凹蝕上表面上。在一實施例中,凹蝕導線的上表面包括進行濕蝕刻,其濕蝕刻對導線具有選擇性。在一實施例中,導線為銅線,且其中使用氫氧化銨進行濕蝕刻。在一實施例中,順應性地形成蝕刻停止層包括順應性地形成包括金屬氧化物的層膜於第一介電層的上表面和導線的凹蝕上表面上,其中方法更包括在形成第二介電層之前,順應性地形成包括介電材料的層膜於蝕刻停止層上。在一實施例中,填入開口包括:形成阻障層內襯開口的底面和側壁;以及將金屬材料填入開口。在一實施例中,阻障層覆蓋導線的上表面的第一部分,其中蝕刻停止層覆蓋導線的上表面的第二部分。在一實施例中,形成導線包括在第三介電層中形成導線,使得導線和第三介電層於基底遠端具有相同水平的上表面,其中形成蝕刻停止層包括:選擇性地形成第一介電層於第三介電層上,第一介電層露出導線的上表面;以及順應性地形成蝕刻停止層於第一介電層的上表面和導線的上表面上。在一實施例中,選擇性地形成第一介電層包括使用包括矽的第一前驅物和包括氧的第二前驅物來進行沉積製程。在一實施例中,蝕刻停止層的第一下表面和蝕刻停止層的第二下表面之間的距離介於約30Å和50Å之間。
在一實施例中,一種半導體元件的形成方法,包括:在基底上的第一介電層中形成導線,在第一介電層的上表面露出導線的上表面;在第一介電層的上表面上選擇性地形成第二介電層,第二介電層的上表面比導線的上表面由基底延伸更遠;形成第三介電層於第二介電層和導線上;在第三介電層中形成開口,開口露出導線的上表面的第一部分和第二介電層的上表面的第二部分;以及在開口中形成導孔,導孔電性耦合至導線,導孔的下表面沿著導線的上表面的第一部分和第二介電層的上表面的第二部分延伸。在一實施例中,導線的上表面形成與第一介電層的上表面齊平。在一實施例中,第二介電層包括氧化矽,其中選擇性地形成第二介電層包括使用包括矽的第一前驅物和包括氧的第二前驅物來選擇性地形成第二介電層。在一實施例中,第二介電層的上表面比導線的上表面由基底延伸更遠約介於30Å和50Å之間的距離。在一實施例中,方法更包括,在形成第三介電層之前,形成順應性蝕刻停止層於導線的上表面和第二介電層的上表面上。在一實施例中,在第三介電層中形成開口包括:在第三介電層中進行乾蝕刻製程來形成第一開口,第一開口露出順應性蝕刻停止層的部分;以及進行濕蝕刻製程來移除順應性蝕刻停止層的露出部分。
在一實施例中,一種半導體元件,包括:第一介電層,於基底上;導線,在第一介電層中;第二介電層,於第一介電層和導線上;以及導孔,在第二介電層中,並電性耦合至導線,其中導孔具有相對側壁和介於相對側壁之間的底面,其中導孔的底面的第一部分沿著導線的上表面延伸,而導孔的底面的第二部分比第一部分由基底延伸更遠。在一實施例中,導線於基底遠端的上表面比第一介電層於基底遠端的上表面更接近基底。在一實施例中,半導體元件更包括第三介電層於第一介電層和第二介電層之間,其中導孔的底面的第二部分沿著第三介電層於基底遠端的上表面延伸。在一實施例中,半導體元件更包括蝕刻停止層介於第三介電層和第二介電層之間。
以上概述數個實施例之部件,以便在本發明所屬技術領域中具有通常知識者可以更加理解本發明的觀點。在本發明所屬技術領域中具有通常知識者應理解,他們能輕易地以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應理解,此類等效的結構並無悖離本發明的精神與範圍,且他們能在不違背本發明之精神和範圍下,做各式各樣的改變、取代和替換。
100,200:半導體元件 101:基底 102:元件區 103,117,131:蝕刻停止層 104,124:膜層堆疊 105,106,107,109,111,121,123,125,128,135,137,143:介電層 110,112,126:開口 113, 127,139:阻障層 114,114’:凹槽 115,129L,141:導線 115’,129:導電材料 115C,129VC:中間軸 117A,127A:第二部分 117L1,117L2:下表面 119,133:保護層 126U:上溝槽 126L:下溝槽 127L:第一部分 129V:導孔 1010,1020,1030,1040,1050,1060:方塊 D:最小距離 H:距離 HL:高度 ∆:偏位
以下將配合所附圖式詳述本揭露之各面向。應注意的是,依據在業界的標準做法,各種特徵並未按照比例繪製。事實上,可任意地放大或縮小元件的尺寸,以清楚地表現出本揭露的特徵。 第1-9圖是根據一實施例,於各種製造階段的半導體元件的剖面示意圖。 第10圖是根據一實施例繪示了第9圖的半導體元件的替代剖面示意圖。 第11-13圖是根據一實施例,於各種製造階段的半導體元件的剖面示意圖。 第14圖是根據一實施例繪示了第13圖的半導體元件的替代剖面示意圖。 第15圖是在一些實施例中,半導體元件形成方法的流程圖。
100:半導體元件
101:基底
102:元件區
103,117:蝕刻停止層
105,121,123,125:介電層
113,127:阻障層
115:導線
115C,129VC:中間軸
117A,127A:第二部分
119:保護層
127L:第一部分
129:導電材料
129V:導孔
D:最小距離
Δ:偏位

Claims (20)

  1. 一種半導體元件的形成方法,該方法包括: 形成一導線於一基底上; 形成一蝕刻停止層(etch stop layer, ESL)於該導線上,該蝕刻停止層沿著該導線的一上表面和相鄰該導線的一第一介電層的一上表面連續地延伸,其中該蝕刻停止層的一第一下表面接觸該導線的該上表面,且該蝕刻停止層的一第二下表面接觸該第一介電層的該上表面,該第一下表面比該第二下表面更靠近該基底; 形成一第二介電層於該蝕刻停止層上; 形成一開口於該第二介電層中,該開口露出該蝕刻停止層的一第一部分; 移除該蝕刻停止層的該第一部分以露出該導線;以及 將一導電材料填入該開口以形成一導孔。
  2. 如請求項1之半導體元件的形成方法,其中形成該導線包括在該第一介電層中形成該導線,使得該導線和該第一介電層具有相同水平的上表面,其中形成該蝕刻停止層包括: 凹蝕該導線的該上表面至該第一介電層的該上表面之下;以及 順應性地形成該蝕刻停止層於該第一介電層的該上表面上和該導線的該凹蝕上表面上。
  3. 如請求項2之半導體元件的形成方法,其中凹蝕該導線的該上表面包括進行一濕蝕刻,該濕蝕刻對該導線具有選擇性。
  4. 如請求項3之半導體元件的形成方法,其中該導線為銅線,且其中使用氫氧化銨(ammonium hydroxide)進行該濕蝕刻。
  5. 如請求項2之半導體元件的形成方法,其中順應性地形成該蝕刻停止層包括順應性地形成一包括金屬氧化物的層膜於該第一介電層的該上表面上和該導線的該凹蝕上表面上,其中該方法更包括在形成該第二介電層之前,順應性地形成一包括介電材料的層膜於該蝕刻停止層上。
  6. 如請求項2之半導體元件的形成方法,其中填入該開口包括: 形成一阻障層內襯該開口的一底面和側壁;以及 將一金屬材料填入該開口。
  7. 如請求項6之半導體元件的形成方法,其中該阻障層覆蓋該導線的該上表面的一第一部分,其中該蝕刻停止層覆蓋該導線的該上表面的一第二部分。
  8. 如請求項1之半導體元件的形成方法,其中形成該導線包括在一第三介電層中形成該導線,使得該導線和該第三介電層於該基底遠端具有相同水平的一上表面,其中形成該蝕刻停止層包括: 選擇性地形成該第一介電層於該第三介電層上,該第一介電層露出該導線的該上表面;以及 順應性地形成該蝕刻停止層於該第一介電層的該上表面和該導線的該上表面上。
  9. 如請求項8之半導體元件的形成方法,其中選擇性地形成該第一介電層包括使用一包括矽的第一前驅物(precursor)和一包括氧的第二前驅物來進行一沉積製程。
  10. 如請求項1之半導體元件的形成方法,其中該蝕刻停止層的該第一下表面和該蝕刻停止層的該第二下表面之間的距離介於約30Å和50Å之間。
  11. 一種半導體元件的形成方法,該方法包括: 在一基底上的一第一介電層中形成一導線,在該第一介電層的一上表面露出該導線的一上表面; 在該第一介電層的該上表面上選擇性地形成一第二介電層,該第二介電層的一上表面比該導線的該上表面由該基底延伸更遠; 形成一第三介電層於該第二介電層和該導線上; 在該第三介電層中形成一開口,該開口露出該導線的該上表面的一第一部分和該第二介電層的該上表面的一第二部分;以及 在該開口中形成一導孔,該導孔電性耦合至該導線,該導孔的一下表面沿著該導線的該上表面的該第一部分和該第二介電層的該上表面的該第二部分延伸。
  12. 如請求項11之半導體元件的形成方法,其中該導線的該上表面形成與該第一介電層的該上表面齊平。
  13. 如請求項11之半導體元件的形成方法,其中該第二介電層包括氧化矽,其中選擇性地形成該第二介電層包括使用一包括矽的第一前驅物和一包括氧的第二前驅物來選擇性地形成該第二介電層。
  14. 如請求項11之半導體元件的形成方法,其中該第二介電層的該上表面比該導線的該上表面由該基底延伸更遠約介於30Å和50Å之間的距離。
  15. 如請求項11之半導體元件的形成方法,更包括在形成該第三介電層之前,形成一順應性蝕刻停止層於該導線的該上表面和該第二介電層的該上表面上。
  16. 如請求項15之半導體元件的形成方法,其中在該第三介電層中形成該開口包括: 在該第三介電層中進行一乾蝕刻製程來形成一第一開口,該第一開口露出該順應性蝕刻停止層的一部分;以及 進行一濕蝕刻製程來移除該順應性蝕刻停止層的該露出部分。
  17. 一種半導體元件,包括: 一第一介電層,於一基底上; 一導線,在該第一介電層中; 一第二介電層,於該第一介電層和該導線上;以及 一導孔,在該第二介電層中,並電性耦合至該導線,其中該導孔具有相對側壁和介於相對側壁之間的一底面,其中該導孔的該底面的一第一部分沿著該導線的一上表面延伸,而該導孔的該底面的一第二部分比該第一部分由該基底延伸更遠。
  18. 如請求項17之半導體元件,其中該導線於該基底遠端的一上表面比該第一介電層於該基底遠端的一上表面更接近該基底。
  19. 如請求項17之半導體元件,更包括一第三介電層於該第一介電層和該第二介電層之間,其中該導孔的該底面的該第二部分沿著該第三介電層於該基底遠端的一上表面延伸。
  20. 如請求項19之半導體元件,更包括一蝕刻停止層介於該第三介電層和該第二介電層之間。
TW108137998A 2018-10-31 2019-10-22 半導體元件及其形成方法 TWI791911B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862753496P 2018-10-31 2018-10-31
US62/753,496 2018-10-31
US16/275,109 US11502001B2 (en) 2018-10-31 2019-02-13 Semiconductor device with self-aligned vias
US16/275,109 2019-02-13

Publications (2)

Publication Number Publication Date
TW202025298A true TW202025298A (zh) 2020-07-01
TWI791911B TWI791911B (zh) 2023-02-11

Family

ID=70328377

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108137998A TWI791911B (zh) 2018-10-31 2019-10-22 半導體元件及其形成方法

Country Status (5)

Country Link
US (2) US11502001B2 (zh)
KR (2) KR102411268B1 (zh)
CN (1) CN111128855B (zh)
DE (1) DE102019104627A1 (zh)
TW (1) TWI791911B (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11227792B2 (en) * 2019-09-19 2022-01-18 International Business Machines Corporation Interconnect structures including self aligned vias
US11217481B2 (en) * 2019-11-08 2022-01-04 International Business Machines Corporation Fully aligned top vias
US11810859B2 (en) * 2020-02-18 2023-11-07 Intel Corporation Multi-layered adhesion promotion films
EP4009359A1 (en) * 2020-12-01 2022-06-08 Imec VZW Method of manufacturing a semiconductor structure
US11955382B2 (en) * 2020-12-03 2024-04-09 Applied Materials, Inc. Reverse selective etch stop layer

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6090696A (en) * 1999-10-20 2000-07-18 Taiwan Semicondutor Manufacturing Company Method to improve the adhesion of a molding compound to a semiconductor chip comprised with copper damascene structures
TW567581B (en) 2002-07-26 2003-12-21 Chartered Semicoductor Mfg Ltd A method to form copper damascene interconnects using a reverse barrier metal scheme to eliminate copper
US7030040B2 (en) 2002-10-31 2006-04-18 Intel Corporation Selectively growing a polymeric material on a semiconductor substrate
US7105918B2 (en) * 2004-07-29 2006-09-12 Micron Technology, Inc. Interposer with flexible solder pad elements and methods of manufacturing the same
JP2010287831A (ja) * 2009-06-15 2010-12-24 Renesas Electronics Corp 半導体装置およびその製造方法
KR101728288B1 (ko) 2011-12-30 2017-04-18 인텔 코포레이션 자기-폐쇄 비대칭 상호연결 구조
US9129965B2 (en) * 2013-03-14 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9040417B2 (en) * 2013-10-04 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
KR102304792B1 (ko) 2014-12-22 2021-09-27 인텔 코포레이션 교대하는 하드마스크 및 캡슐화 에칭정지 라이너 방식을 이용하여 가이드된 비아들을 갖는 조밀 피치형 도전성 층들에 접촉하는 방법 및 구조물
US9780025B2 (en) 2014-12-30 2017-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure and manufacturing method thereof
US9496169B2 (en) * 2015-02-12 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming an interconnect structure having an air gap and structure thereof
US20170092533A1 (en) 2015-09-29 2017-03-30 Applied Materials, Inc. Selective silicon dioxide deposition using phosphonic acid self assembled monolayers as nucleation inhibitor
US9837306B2 (en) * 2015-12-21 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure and manufacturing method thereof
US10354912B2 (en) 2016-03-21 2019-07-16 Qualcomm Incorporated Forming self-aligned vertical interconnect accesses (VIAs) in interconnect structures for integrated circuits (ICs)
US10685873B2 (en) 2016-06-29 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Etch stop layer for semiconductor devices
US10008416B2 (en) * 2016-11-30 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Forming a protective layer to prevent formation of leakage paths
US10373906B2 (en) * 2017-04-20 2019-08-06 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of interconnection structure of semiconductor device
US10366919B2 (en) * 2017-09-20 2019-07-30 Globalfoundries Inc. Fully aligned via in ground rule region
US10644231B2 (en) * 2017-11-30 2020-05-05 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and fabrication method thereof
US10734278B2 (en) * 2018-06-15 2020-08-04 Tokyo Electron Limited Method of protecting low-K layers
KR20200006949A (ko) * 2018-07-11 2020-01-21 도쿄엘렉트론가부시키가이샤 비아 프로파일 제어용의 ald (원자층 성막) 라이너 및 연관 용례
KR102580659B1 (ko) * 2018-10-01 2023-09-20 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10727124B2 (en) * 2018-10-29 2020-07-28 International Business Machines Corporation Structure and method for forming fully-aligned trench with an up-via integration scheme

Also Published As

Publication number Publication date
US20200135562A1 (en) 2020-04-30
CN111128855A (zh) 2020-05-08
TWI791911B (zh) 2023-02-11
KR20220088658A (ko) 2022-06-28
KR20200050328A (ko) 2020-05-11
KR102411268B1 (ko) 2022-06-21
US20220285216A1 (en) 2022-09-08
US11502001B2 (en) 2022-11-15
KR102526311B1 (ko) 2023-04-26
DE102019104627A1 (de) 2020-04-30
CN111128855B (zh) 2023-02-28

Similar Documents

Publication Publication Date Title
US11569124B2 (en) Interconnect structure having an etch stop layer over conductive lines
TWI791911B (zh) 半導體元件及其形成方法
US10388525B2 (en) Multi-angled deposition and masking for custom spacer trim and selected spacer removal
KR101699154B1 (ko) 반도체 디바이스에서의 대체 금속 게이트 공정을 사용한 자기 정렬 콘택 형성 방법
TW201916254A (zh) 半導體結構
US9685555B2 (en) High-reliability, low-resistance contacts for nanoscale transistors
US9293413B2 (en) Semiconductor devices and methods of manufacture thereof
US8174064B2 (en) Semiconductor device and method for forming the same
TW201926436A (zh) 製造半導體裝置的方法及其結構
TW202027223A (zh) 半導體裝置的形成方法
TWI740459B (zh) 半導體裝置的製造方法
TW202021002A (zh) 半導體結構及其形成方法
TW202013605A (zh) 半導體裝置的形成方法
US9793209B2 (en) Semiconductor structures and fabrication method thereof
KR20130060432A (ko) 반도체 장치 및 그 제조 방법
US10685915B2 (en) Via contact resistance control
TW201905961A (zh) 半導體結構的製造方法
US8658494B2 (en) Dual contact metallization including electroless plating in a semiconductor device
TWI806103B (zh) 形成半導體裝置的方法
TWI512894B (zh) 金屬內連線結構及其製程
KR100946036B1 (ko) 반도체 소자의 제조 방법
TW202240669A (zh) 半導體裝置的製造方法
TW202141691A (zh) 互連結構及其製造方法
TW202232603A (zh) 半導體裝置的製造方法
TW202109673A (zh) 半導體裝置的形成方法