TW202020935A - 半導體元件及其製造方法 - Google Patents

半導體元件及其製造方法 Download PDF

Info

Publication number
TW202020935A
TW202020935A TW108124169A TW108124169A TW202020935A TW 202020935 A TW202020935 A TW 202020935A TW 108124169 A TW108124169 A TW 108124169A TW 108124169 A TW108124169 A TW 108124169A TW 202020935 A TW202020935 A TW 202020935A
Authority
TW
Taiwan
Prior art keywords
mandrel
spacer
bar
island
side wall
Prior art date
Application number
TW108124169A
Other languages
English (en)
Other versions
TWI718583B (zh
Inventor
王郁雯
曾國權
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202020935A publication Critical patent/TW202020935A/zh
Application granted granted Critical
Publication of TWI718583B publication Critical patent/TWI718583B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • H01L21/28141Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects insulating part of the electrode is defined by a sidewall spacer, e.g. dummy spacer, or a similar technique, e.g. oxidation under mask, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure

Abstract

一種方法包括以下步驟。第一心軸形成於基板上方的目標層上方,第一心軸包含心軸島及第一心軸條,心軸島包含第一側壁及垂直於第一側壁的第二側壁,且第一心軸條自心軸島的第一側壁延伸。第一間隔物沿心軸島的第一側壁及第二側壁及第一心軸條的側壁形成。自目標層移除第一心軸。當第一間隔物留在目標層上方時圖案化目標層。

Description

自對準雙重圖案化製程及使用其所形成 的半導體元件
由於半導體元件的大小越來越小,例如光微影的各種處理技術適用於允許製造尺寸越來越小的元件。然而,由於半導體製程需要較小的製程窗口,此些元件的製造已接近且甚至超過光微影設備的理論極限。由於半導體元件繼續縮小,元件之元素之間所要的間隔(亦即間距)小於可使用傳統的光遮罩及光微影設備可製造的間距。
100‧‧‧半導體結構
104‧‧‧主動元件及/或被動元件
106‧‧‧互連結構
1080‧‧‧金屬化層
1081‧‧‧金屬化層
108M‧‧‧金屬化層
108M-1‧‧‧金屬化層
1100‧‧‧介電層
1101‧‧‧介電層
110M‧‧‧介電層
110M-1‧‧‧介電層
1111‧‧‧介電層
111M-1‧‧‧介電層
1120‧‧‧導電插塞
1141‧‧‧導電線
114M-1‧‧‧導電線
1161‧‧‧導電通孔
116M‧‧‧導電通孔
130‧‧‧硬遮罩層
140'‧‧‧圖案化的心軸層
142‧‧‧第一心軸
142a‧‧‧心軸島
142b‧‧‧心軸條
142c‧‧‧心軸條
144‧‧‧第二心軸
144a‧‧‧心軸島
144b‧‧‧心軸條
144c‧‧‧心軸條
146‧‧‧心軸條
148‧‧‧心軸條
150‧‧‧三層光阻遮罩
170‧‧‧中間層
180‧‧‧頂層
182‧‧‧第一圖案
182a‧‧‧第一部分
182b‧‧‧第二部分
182c‧‧‧第三部分
184‧‧‧第二圖案
184a‧‧‧光阻島
184b‧‧‧光阻條
184c‧‧‧光阻條
186‧‧‧第三圖案
188‧‧‧第四圖案
192‧‧‧第一環狀間隔物
192a‧‧‧第一間隔條
192b‧‧‧第一間隔條
192d‧‧‧第二間隔條
192e‧‧‧第二間隔條
192f‧‧‧第三間隔條
192g‧‧‧第三間隔條
194‧‧‧第二環狀間隔物
194a‧‧‧第一間隔條
194b‧‧‧第一間隔條
194d‧‧‧第二間隔條
194e‧‧‧第二間隔條
194f‧‧‧第三間隔條
194g‧‧‧第三間隔條
196‧‧‧第三環狀間隔物
196'‧‧‧長間隔條
196a‧‧‧長間隔條
196b‧‧‧長間隔條
198‧‧‧第四環狀間隔物
198'‧‧‧短間隔條
198a‧‧‧短間隔條
198b‧‧‧短間隔條
202‧‧‧第一間隔物
204‧‧‧第二間隔物
206‧‧‧第三間隔物
208‧‧‧第四間隔物
210‧‧‧導電線
212‧‧‧第一條部分
212t‧‧‧頂側壁
214‧‧‧方向X條部分
214b‧‧‧底側壁
216‧‧‧通孔接合部分
216b‧‧‧底側壁
216t‧‧‧頂側壁
220‧‧‧導電線
222‧‧‧方向X條部分
224‧‧‧方向X條部分
226‧‧‧通孔接合部分
230‧‧‧導電線
232‧‧‧方向X條部分
234‧‧‧方向X條部分
236‧‧‧通孔接合部分
240‧‧‧導電線
242‧‧‧方向X條部分
244‧‧‧方向X條部分
246‧‧‧通孔接合部分
250‧‧‧導電線
260‧‧‧導電線
270‧‧‧金屬層間介電層
280‧‧‧金屬層間介電層
291‧‧‧導電通孔
292‧‧‧導電通孔
294‧‧‧導電通孔
310‧‧‧心軸
312‧‧‧心軸島
312'‧‧‧心軸島
314‧‧‧心軸條
316‧‧‧心軸條
320‧‧‧間隔物
322‧‧‧方向X間隔條
324‧‧‧方向Y間隔條
326‧‧‧方向X間隔條
326'‧‧‧間隔條
330‧‧‧間隔物
332‧‧‧方向X間隔條
334‧‧‧方向Y間隔條
336‧‧‧方向X間隔條
C111‧‧‧圓角
C112‧‧‧圓角
C113‧‧‧圓角
C114‧‧‧圓角
C115‧‧‧圓端
C121‧‧‧圓角
C122‧‧‧圓角
C123‧‧‧圓角
C124‧‧‧圓角
C125‧‧‧圓端
C135‧‧‧圓端
C145‧‧‧圓端
C211‧‧‧圓角
C212‧‧‧圓角
C213‧‧‧圓角
C215‧‧‧圓端
C221‧‧‧圓角
C222‧‧‧圓角
C223‧‧‧圓角
C224‧‧‧圓角
C225‧‧‧圓端
C235‧‧‧圓端
C245‧‧‧圓端
C311‧‧‧圓角
C312‧‧‧圓角
C313‧‧‧圓角
C314‧‧‧圓角
C315‧‧‧圓端
C321‧‧‧圓角
C322‧‧‧圓角
C323‧‧‧圓角
C324‧‧‧圓角
C325‧‧‧圓端
C335‧‧‧圓端
C345‧‧‧圓端
C411‧‧‧圓角
C412‧‧‧圓角
C413‧‧‧圓角
C414‧‧‧圓角
C421‧‧‧圓角
C422‧‧‧圓角
C423‧‧‧圓角
C424‧‧‧圓角
GL1‧‧‧虛擬網格線
GL2‧‧‧虛擬網格線
GL3‧‧‧虛擬網格線
L112‧‧‧長度
L113‧‧‧長度
L114‧‧‧長度
L122‧‧‧長度
L124‧‧‧長度
L132‧‧‧長度
L212‧‧‧長度
L214‧‧‧長度
L222‧‧‧長度
L224‧‧‧長度
L232‧‧‧長度
LO1‧‧‧長度
LO2‧‧‧長度
LO3‧‧‧長度
LO4‧‧‧長度
M1‧‧‧方法
M2‧‧‧方法
ML1‧‧‧遮罩層
ML3‧‧‧遮罩層
ML21‧‧‧圖案化的遮罩
ML22‧‧‧圖案化的遮罩
ML23‧‧‧圖案化的遮罩
ML24‧‧‧圖案化的遮罩
O1‧‧‧開口
O2‧‧‧開口
O3‧‧‧開口
O4‧‧‧開口
R11‧‧‧無光阻區域
R13‧‧‧無光阻區域
R21‧‧‧無心軸區域
R23‧‧‧無心軸區域
R31‧‧‧無心軸區域
R33‧‧‧無心軸區域
R41‧‧‧區域
R42‧‧‧區域
R44‧‧‧區域
S1‧‧‧間距
S2‧‧‧間距
S3‧‧‧間距
S11‧‧‧步驟
S12‧‧‧步驟
S13‧‧‧步驟
S14‧‧‧步驟
S15‧‧‧步驟
S16‧‧‧步驟
S17‧‧‧步驟
S18‧‧‧步驟
S19‧‧‧步驟
S24‧‧‧步驟
S25‧‧‧步驟
S26‧‧‧步驟
SA‧‧‧對稱軸
SW11‧‧‧方向X側壁
SW12‧‧‧方向Y側壁
SW13‧‧‧方向Y側壁
SW14‧‧‧方向X側壁
SW15‧‧‧方向X側壁
SW16‧‧‧方向X側壁
SW21‧‧‧方向X側壁
SW22‧‧‧方向Y側壁
SW23‧‧‧方向Y側壁
SW24‧‧‧方向X側壁
SW25‧‧‧方向X側壁
SW26‧‧‧方向X側壁
T‧‧‧標稱最小間距
W33‧‧‧寬度
W34‧‧‧寬度
W41‧‧‧寬度
W42‧‧‧寬度
W43‧‧‧寬度
W44‧‧‧寬度
W45‧‧‧寬度
W46‧‧‧寬度
W47‧‧‧寬度
W48‧‧‧寬度
W112‧‧‧寬度
W113‧‧‧寬度
W114‧‧‧寬度
W116‧‧‧寬度
W122‧‧‧寬度
W132‧‧‧寬度
W212‧‧‧寬度
W214‧‧‧寬度
W216‧‧‧寬度
W222‧‧‧寬度
W232‧‧‧寬度
W242‧‧‧寬度
W512‧‧‧寬度
W512'‧‧‧寬度
WO1‧‧‧寬度
WO2‧‧‧寬度
WO3‧‧‧寬度
WO4‧‧‧寬度
θ11‧‧‧頂角
θ12‧‧‧頂角
θ13‧‧‧頂角
θ14‧‧‧頂角
θ21‧‧‧頂角
θ22‧‧‧頂角
θ23‧‧‧頂角
θ24‧‧‧頂角
閱讀以下詳細敘述並搭配對應之圖式,可了解本揭露之多個樣態。需留意的是,圖式中的多個特徵並未依照該業界領域之標準作法繪製實際比例。事實上,所述之特徵的尺寸可以任意的增加或減少以利於討論的清晰性。
第1圖是根據本揭露之一些實施例的用於製造半導體結構的示例性方法;第2A圖至第10B圖繪示根據一些實施例的第1圖之方法之各個階段; 第11圖是根據本揭露之一些實施例的用於製造半導體結構的示例性方法;第12A圖至第14B圖繪示根據一些實施例的第11圖之方法之各個階段;第15圖繪示根據本揭露之一些實施例的心軸及間隔物;以及第16圖繪示根據本揭露之一些實施例的心軸及間隔物。
以下將以圖式及詳細說明清楚說明本揭露之精神,任何所屬技術領域中具有通常知識者在瞭解本揭露之實施例後,當可由本揭露所教示之技術,加以改變及修飾,其並不脫離本揭露之精神與範圍。舉例而言,敘述「第一特徵形成於第二特徵上方或上」,於實施例中將包含第一特徵及第二特徵具有直接接觸;且也將包含第一特徵和第二特徵為非直接接觸,具有額外的特徵形成於第一特徵和第二特徵之間。此外,本揭露在多個範例中將重複使用元件標號以和/或文字。重複的目的在於簡化與釐清,而其本身並不會決定多個實施例以和/或所討論的配置之間的關係。
此外,方位相對詞彙,如「在…之下」、「下面」、「下」、「上方」或「上」或類似詞彙,在本文中為用來便於描述繪示於圖式中的一個元件或特徵至另外的元件或特徵之關係。方位相對詞彙除了用來描述裝置在圖式中的方位外,其包含裝置於使用或操作下之不同的方位。當裝 置被另外設置(旋轉90度或者其他面向的方位),本文所用的方位相對詞彙同樣可以相應地進行解釋。
第1圖是根據本揭露之一些實施例的用於製造半導體結構的示例性方法M1。方法M1包括整個製造製程的相關部分。應理解可在以第1圖所示的操作之前、期間及之後提供額外操作,且可替換或除去下文所描述的操作中之一些來獲得方法的額外實施例。操作/製程的次序可為可互換的。
第2A圖至第10B圖繪示根據一些實施例的方法M1之各個階段,其中「A」圖表示俯視圖,且「B」圖表示各別「A」圖沿B-B線的剖面圖。
方法M1開始於步驟S11,其中圖案化的光阻遮罩形成於半導體基板之目標層上方的心軸層上方。參照第2A圖及第2B圖,在步驟S11的一些實施例中,半導體結構100可為積體電路製造製程的中間結構。在一些實施例中,半導體結構100可包括基板102。舉例而言,基板102可包括摻雜或未摻雜的塊體矽或絕緣體上覆半導體(SOI)基板的主動層。一般而言,絕緣體上覆半導體基板包含形成於絕緣體層上的例如矽之半導體材料層。絕緣體層可為(例如)埋入式氧化物(BOX)層或氧化矽層。在例如矽基板或玻璃基板的基板上提供絕緣體層。此外,基板102可包括例如鍺的另一元素半導體;包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦的化合物半導體;包括矽鍺(SiGe)、磷砷化鎵(GaAsP)、砷化鋁銦(AlInAs)、砷化鋁鎵 (AlGaAs)、砷化鎵銦(GaInAs)、磷化鎵銦(GaInP)及/或磷砷化鎵銦(GaInAsP)的合金半導體或以上之組合。亦可使用例如多層基板或梯度基板的其他基板。
在一些實施例中,一或多個主動元件及/或被動元件104(在第2B圖中圖示為單一電晶體)形成於基板102上。一或多個主動元件及/或被動元件104可包括各種N型金屬氧化物半導體(N-type metal-oxide semiconductor,NMOS)元件及/或P型金屬氧化物半導體(P-type metal-oxide semiconductor,PMOS)元件,例如電晶體、電容器、電阻器、二極體、光電二極體、熔絲及其類似物。一般技術者將瞭解僅為圖示的目的提供以上實例且此些實例不意謂以任何方式限制本揭露。按照既定應用之需要亦可形成其他電路。
在一些實施例中,互連結構106形成於一或多個主動元件及/或被動元件104及基板102上方。互連結構106電性互連一或多個主動元件及/或被動元件104以在半導體結構100內形成功能電路。互連結構106可包括一或多個金屬化層1080至108M,其中M為一或多個金屬化層的數目,且在此實例中存在M+1個金屬化層。在一些實施例中,M值可根據半導體結構100的設計規格改變。下文中,一或多個金屬化層1080至108M亦可統稱為一或多個金屬化層108。金屬化層1080至108M各別地包括介電層1100至110M。金屬化層1081至108M-1各別地包括介電層1111至111M-1。介電層1111至111M-1形成於對應的介電層1101至 110M-1上方。在一些實施例中,互連結構106的形成可稱為後段製程(back-end-of-line,BEOL)。
在一些實施例中,介電層1100為層間介電(inter-layer dielectric,ILD)層,且介電層1101至110M及介電層1111至111M為金屬層間介電(IMD)層。層間介電層及金屬層間介電層可包括設置於此些導電特徵之間的具有(例如低於約4.0或甚至2.0之介電常數)的低介電常數(low-k dielectric)介電材料。在一些實施例中,可利用藉由例如旋塗式塗覆法、化學氣相沉積(chemical vapor deposition,CVD)、電漿增強化學氣相沉積(plasma-enhanced CVD,PECVD)或類似方法的任何合適之方法形成的例如磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、氟矽酸鹽玻璃(fluorosilicate glass,FSG)、碳氧化矽(SiOxCy)、旋塗式玻璃、旋塗式聚合物、碳化矽材料、以上之化合物、以上之複合物、以上之組合或其類似物製成層間介電層及金屬層間介電層。
在一些實施例中,金屬化層1080包括穿過介電層1110的導電插塞1120,且金屬化層1081至108M-1包括一或多個導電互連件,例如在各別介電層1111至111M-1中的導電線1141至114M-1及各別介電層1101至110M-1中的導電通孔1161至116M-1。導電插塞1120將一或多個主動元件及/或被動元件104電性耦接於導電線1141至114M-1及導電通孔1161至116M-1。金屬化層108M包含穿過介電層110M的導 電通孔116M,及在後續步驟中圖案化為導電線的導電層120。
在一些實施例中,可使用例如鑲嵌、雙鑲嵌或其類似方法的任何合適的方法形成導電插塞1120、導電線1141至114M-1及導電通孔1161至116M。導電插塞1120、導電線1141至114M-1及導電通孔1161至116M-1可包括例如銅、鋁、鎢、以上之組合或其類似物的導電材料。在一些實施例中,導電插塞1120、導電線1141至114M-1及導電通孔1161至116M可更包括一或多個阻障/黏著層(未繪示)以保護各別的介電層1100至110M-1及1110至111M-1不發生擴散及金屬中毒。一或多個阻障/黏著層可包括鈦、氮化鈦、鉭、氮化鉭或其類似物,且可使用物理氣相沉積、化學氣相沉積、原子層沉積或其類似的方法形成。
使用(例如)物理氣相沉積、化學氣相沉積、原子氣相沉積或其類似的方法形成導電層120。導電層120可包括與導電線1141至114M-1相同的材料。舉例而言,導電層120可包括例如銅、鋁、鈦、鎢、以上之組合或其類似物的導電材料。硬遮罩層130形成於導電層120上方。如下文所更詳細地描述,圖案化硬遮罩層130及導電層120。圖案化製程將得到導電層120’中的導電線210至260(未繪示於第2A圖及第2B圖中,見第10A圖及第10B圖)。圖案化導電層120包括下文將詳細論述的自對準雙重圖案化(self-aligned double patterning,SADP)製程,且因此導電層120亦可稱為自對準雙重圖案化製程的目標層。
在一些實施例中,硬遮罩層130可為單層結構或多層結構。在硬遮罩層130為多層結構的一些實施例中,硬遮罩層130可包括導電層120上方的抗反射塗層(anti-reflective coating,ARC)、抗反射塗層上方的第一遮罩層及第一遮罩層上方的第二遮罩層。
抗反射塗層防止後續光微影製程中之輻射反射離開下方的層且干擾曝光製程。在一些實施例中,抗反射塗層為無氮抗反射塗層(nitrogen-free ARC,NFARC)且用富矽氧化物(silicon-rich oxide,SRO)、碳氧化矽、類似物或以上之組合製成,且使用化學氣相沉積、電漿化學氣相沉積、類似方法或以上之組合形成。抗反射塗層亦可用作蝕刻停止層(etch stop layer,ESL)以有助於圖案化其上的第一遮罩層及第二遮罩層。在一些實施例中,第一遮罩層可為金屬硬遮罩層且第二遮罩層可為介電硬遮罩層。第一遮罩層可包括氮化鈦、氧化鈦、類似物或以上之組合,且可使用化學氣相沉積、物理氣相沉積、原子層沉積、類似方法或以上之組合形成。第二遮罩層可包括四乙氧基矽烷(tetraethyl orthosilicate,TEOS)、摻碳氧化矽(carbon-doped silicon oxide,SiCOH)、碳氧化矽(SiOxCy)、類似物或以上之組合,且可使用旋塗式塗覆法、化學氣相沉積、原子層沉積、類似方法或以上之組合形成。
心軸層140形成於硬遮罩層130上方。心軸層140可用於形成心軸(未繪示於第2A圖及第2B圖中,見第3A圖及第3B圖)。心軸層140可為例如多晶矽、非晶矽、 非晶碳、例如但氧化鋁(AlOxNy)之金屬膜、類似物或以上之組合的遮罩材料或可經圖案化且選擇性移除的任何其他材料。可使用例如化學氣相沉積、原子層沉積、類似方法或以上之組合的製程形成心軸層140。
三層光阻遮罩150形成於心軸層140上方以在以下步驟中圖案化心軸層140。在一些實施例中,三層光阻遮罩150包括底層160、底層160上方的中間層170及中間層170上方的頂層180。在一些實施例中,底層160可包括例如旋塗式碳(spin-on carbon,SOC)材料或類似材料的有機材料,且可使用旋塗式塗覆法、化學氣相沉積、原子層沉積或其類似方法形成。中間層170可包括無機材料,其可為氮化物(例如氮化矽(SiN)、氮化鈦(TiN)、氮化鉭(TaN)或其類似物)、氮氧化物(例如氮氧化矽(SiON))、氧化物(例如氧化矽)或其類似物,且可使用化學氣相沉積、原子層沉積或其類似方法形成。頂層180可包括例如光阻材料的有機材料,且可使用旋塗式塗覆法或其類似方法形成。在一些實施例中,中間層170比頂層180具有更高的蝕刻速率,且頂層180可做為用於圖案化中間層170的蝕刻遮罩。在一些實施例中,底層160比中間層170具有更高的蝕刻速率,且中間層170可做為用於圖案化底層160的蝕刻遮罩。
三層光阻遮罩150的頂層180使用合適的光微影技術經圖案化以形成複數個第一圖案182、第二圖案184、第三圖案186及第四圖案188。在頂層180包括光阻材料的一些實施例中,光阻材料經照射(曝光)且顯影以移除 部分的光阻材料。舉例而言,光阻遮罩(未繪示)可設置於頂光阻層180上方,其可隨後曝露於輻射光束,輻射光束可為紫外線(ultraviolet,UV)或準分子雷射,例如氟化氪(Krypton Fluoride,KrF)準分子雷射或氟化氬(Argon Fluoride,ArF)準分子雷射。可使用浸潤微影術系統執行曝露頂光阻層180以增加解析度且減小最小可實現間距。可執行烘烤或固化步驟以硬化頂光阻層180,且顯影劑可取決於使用正型光阻或負型光阻而用於移除頂光阻層180的曝光部分或未曝光部分。因此,第2A圖中繪示的第一圖案182、第二圖案184、第三圖案186及第四圖案188形成於頂光阻層180中。
如第2A圖繪示,將第一圖案182及第二圖案184互相緊鄰配置。換言之,第一圖案182與第二圖案184之間無第三圖案186與第四圖案188。第三圖案186類似於沿方向X延伸的條,且第四圖案188類似於沿方向X短於第三圖案186的條。因此,第三圖案186可稱為長條圖案,且第四圖案188可稱為短條圖案。第一圖案182及第二圖案184具有與條圖案186及條圖案188之形狀不同的形狀。第一圖案182及第二圖案184的形狀被設計以形成無光阻區域R11及無光阻區域R13於圖案化的光阻層180中(請參考以下更詳細的描述)。
在一些實施例中,第一圖案182包括第一部分182a及各別地自第一部分182a之相對的側壁沿方向X延伸的第二部分182b及第三部分182c。第一部分182a類似於矩 形的島且因此可稱為光阻島182a,且第二部分182b及第三部分182c類似於自矩形光阻島182a之對角延伸的條且因此可稱為光阻條182b及光阻條182c。第二圖案184在俯視圖中配置於第一圖案182上方,且具有實質上與第一圖案182相同的形狀。進一步而言,第二圖案184包括光阻島184a及各別地自光阻島184a之相對的側壁沿方向X延伸的光阻條184b及光阻條184c。
如第2A圖繪示,第一圖案182的光阻島182a沿方向X具有長度L112,第一圖案182的光阻條182b沿方向X具有長度L114,且第二圖案184的條部分184b沿方向X具有長度L124。光阻島182a之長度L112及光阻條182b之長度L114的總和小於第二圖案184之光阻條184b的長度L124。以此方式,垂直地在第二圖案184之光阻條184b與第一圖案182之光阻條182c之間且水平地在第一圖案182及第二圖案184的光阻島182a與光阻島184a之間的區域R13無其他光阻圖案,且因此區域R13可稱為無光阻區域R13。無光阻區域R13沿方向X具有長度L113且沿方向Y具有寬度W113。在一些實施例中,無光阻區域R13的長度L113在約200奈米至約1000奈米的範圍內,且寬度W113亦在約200奈米至約1000奈米的範圍內。在一些實施例中,無光阻區域R11的大小與無光阻區域R13的大小相同。
第二圖案184的光阻島184a沿方向X具有長度L122,且光阻條186沿方向X具有長度L132。光阻島184a之長度L122及光阻條184b之長度L124的總和小於光阻條 186的長度L132。以此方式,垂直地在光阻條186與光阻條184c之間的區域R11無其他光阻圖案,且因此區域R11可稱為無光阻區域R11。
光阻島182a沿方向Y具有寬度W112,光阻條182b及光阻條182c沿方向Y各別地具有寬度W114及W116,光阻條186及188沿方向Y各別地具有寬度W132及W142。光阻條186、188及光阻條182b、184b中之任何相鄰的兩者藉由間距S1分隔。在一些實施例中,光阻島182a的寬度W112大於光阻條182b及182c的寬度W114及W116、光阻條186及188的寬度W132及W142及間距S1。舉例而言,在所描繪的實施例中,光阻島182a的寬度W112大於數目(W114+3×W142+4×S1),以便形成具有合適大小的無光阻區域R13。類似地,第二圖案184的光阻島184a沿方向Y具有寬度W122,且寬度W122大於數目(W114+3×W142+4×S1),以便形成具有合適大小的無光阻區域R11。在一些實施例中,光阻島182a的寬度W112在約200奈米至約1000奈米的範圍內,光阻條182b的寬度W114在約10奈米至約50奈米的範圍內,光阻條182c的寬度W116在約10奈米至約50奈米的範圍內,光阻條186的寬度W132在約10奈米至約50奈米的範圍內,光阻條188的寬度W142在約10奈米至約50奈米的範圍內,光阻島184a的寬度W122在約200奈米至約1000奈米的範圍內,且間距S1在約30奈米至約50奈米的範圍內。在一些實施例中,光阻條182b、182c、186及188的寬度W114、W116、W132 及W142實質上相同。在一些實施例中,光阻島182a的寬度W112實質上與光阻島184a的寬度W122相同。
在一些實施例中,光阻圖案182至光阻圖案188具有由光微影製程導致的圓(或曲線的)角及圓端。舉例而言,第一圖案182包括連接光阻島182a之沿方向X之側壁SW11及沿方向Y之側壁SW12的圓角C111、連接光阻182a之沿方向Y之側壁SW13及光阻條182b之沿方向X之側壁SW14的圓角C112、連接光阻島182a之沿方向Y之側壁SW12及光阻條182c之沿方向X之側壁SW15的圓角C113及連接光阻島182a之沿方向Y之側壁SW13及另一沿方向X之側壁SW16的圓角C114。在一些實施例中,第二圖案184包括類似於各別圓角C111至圓角C114的圓角C121、C122、C123及C124,因此,於此為求簡潔而不重複關於圓角C121至圓角C124的描述。
此外,光阻條182b具有遠離光阻島182a的圓端C115,且光阻條182c亦具有遠離光阻島182a的圓端(未繪示)。光阻條184b具有遠離光阻島184a的圓端C125,且光阻條184c亦具有遠離光阻島184a的圓端(未繪示)。條圖案186及188分別具有圓端C135及C145。
回到第1圖,方法M1隨後進行至步驟S12,其中使用圖案化的光阻遮罩圖案化心軸層。參照第3A圖及第3B圖,在步驟S12的一些實施例中,對心軸層140執行圖案化製程以將三層光阻遮罩150(見第2A圖及第2B圖)之光阻層180中的第一圖案、第二圖案、第三圖案及第四圖案 182、184、186及188轉移至心軸層140,得到圖案化之心軸層140'中的第一心軸、第二心軸、第三心軸及第四心軸142、144、146及148。在一些實施例中,圖案化製程包括一或多個蝕刻製程,其中三層光阻遮罩150用作蝕刻遮罩。一或多個蝕刻製程可包括濕式蝕刻製程、非等向性的乾式蝕刻製程或以上之組合。在一些實施例中,藉由用包括氧氣(O2)、氯氣(Cl2)、溴化氫(HBr)、氦氣(He)、氨氣(NF3)、二氧化碳(CO2)、氟甲烷(CxHyFz)、氬氣(Ar)、氮氣(N2)、氫氣(H2)、類似物或以上之組合之蝕刻製程氣體的乾式蝕刻製程圖案化心軸層140。在圖案化製程期間,可消耗三層光阻遮罩150的頂層180、中間層170及底層160。若在圖案化製程之後,在圖案化的心軸層140'上方留下三層光阻遮罩150之頂層180、中間層170及底層160的任何殘餘物,亦可移除殘餘物。
由於三層光阻遮罩150中的圖案轉移至下方的心軸層140,所得到的圖案化之心軸層140'中的第一心軸、第二心軸、第三心軸及第四心軸142、144、146及148具有與三層光阻遮罩150(見第2A圖及第2B圖)之頂層180中的第一圖案、第二圖案、第三圖案及第四圖案182、184、186及188實質上相同的形狀、尺寸及間距(請參考以下更詳細的描述)。
如第3A圖繪示,將第一心軸142及第二心軸144互相緊鄰配置。換言之,第一心軸142及第二心軸144之間無第三心軸146及第四心軸148。第三心軸146類似於 沿方向X延伸的條,且第四心軸148類似於沿方向X短於第三心軸146的條。因此,第三心軸146可稱為長心軸條,且第四心軸148可稱為短心軸條。第一心軸142及第二心軸144具有與心軸條146及148之形狀不同的形狀。第一心軸142及第二心軸144的形狀經設計以在圖案化的心軸層140’中形成無心軸區域R21及R23(請參考以下更詳細的描述)。
在一些實施例中,第一心軸142包括矩形心軸島142a及分別自矩形心軸島142a之對角沿方向X延伸的心軸條142b及142c。進一步而言,沿方向X之心軸條142b延伸自心軸島142a的沿方向Y之側壁SW23,沿方向X之心軸條142c延伸自背向沿方向Y之側壁SW23之心軸島142a的沿方向Y之側壁SW22。心軸條142b及142c未對準。類似地,第二心軸144包括矩形心軸島144a及分別自矩形心軸島144a的對角沿方向X延伸的心軸條144b及心軸條144c。
如第3A圖繪示,矩形心軸島142a沿方向X具有長度L212,心軸條142b沿方向X具有長度L214,且心軸條144b沿方向X具有長度L224。心軸島142a之長度L212及心軸條142b之長度L214的總和小於心軸條144b的長度L224。以此方式,垂直地在心軸條144b與心軸條之間且水平地在心軸島142a及心軸島144a之間的區域R23無心軸材料,且因此區域R23可稱為無心軸區域R23。因為無心軸區域R23繼承無光阻區域R13的圖案(如第2A圖中所示),無心軸區域R23具有實質上與無光阻區域R13相同的大小。
心軸島144a沿方向X具有長度L222,且心軸條146沿方向X具有長度L232。心軸島144a之長度L222及心軸條144b之長度L224的總和小於心軸條146的長度L232。如此一來,垂直地在心軸條146與心軸條144c之間的區域R21無心軸材料,且因此區域R21可稱為無心軸區域R21。因為無心軸區域R21繼承無光阻區域R11的圖案(如第2A圖中所示),無心軸區域R21具有實質上與無光阻區域R11相同的大小。
心軸島142a沿方向Y具有寬度W212,心軸條142b及142c分別沿方向Y具有寬度W214及W216,心軸條146及148分別沿方向Y具有寬度W232及W242。心軸條142b、144b、146及148中之任何相鄰的兩者藉由間距S2分隔。在一些實施例中,心軸島142a的寬度W212大於心軸條142b及142c的寬度W214及W216、心軸條146及148的寬度W232及W242及間距S2。舉例而言,在所示的實施例中,心軸島142a的寬度W212大於數目(W214+3×W242+4×S1),以便形成具有沿方向Y大於(W214+3×W242+4×S1)之數目之寬度的無心軸區域R23。類似地,心軸島144a沿方向Y具有寬度W222,且寬度W222大於(W114+3×W142+4×S1)之數目,以便形成具有合適大小的無光阻區域R21。在一些實施例中,心軸島142a的寬度W212在約200奈米至約1000奈米的範圍內,心軸條142b的寬度W214在約10奈米至約50奈米的範圍內,心軸條142c的寬度W216在約10奈米至約50奈米的範圍內,心軸條146的寬度W232 在約10奈米至約50奈米的範圍內,心軸條148的寬度W242在約10奈米至約50奈米的範圍內,心軸島144a的寬度W222在約200奈米至約1000奈米的範圍內,且間距S2在約30奈米至約50奈米的範圍內。在一些實施例中,心軸條142b、142c、146及148的寬度W214、W216、W232及W242實質上相同。在一些實施例中,心軸島142a的寬度W212實質上與心軸島184a的寬度W222相同。
在一些實施例中,心軸條142b與144b之間的距離與心軸條142c與144b之間的距離不同。進一步而言,心軸條142b與144b之間的距離小於心軸條142c與144b之間的距離。類似地,心軸條144b與146之間的距離與心軸條144c與146之間的距離不同。進一步而言,心軸條144b與146之間的距離小於心軸條144c與146之間的距離。
在一些實施例中,心軸142至148具有與光阻層180中之光阻圖案182至188的圓角及圓端(見第2A圖及第2B圖)實質上相同的圓(或曲線的)角及圓端。舉例而言,第一心軸142包括連接心軸島142a之沿方向X之側壁SW21及沿方向Y之側壁SW22的圓角C211、連接心軸島142a之另一沿方向Y之側壁SW23及心軸條142b之沿方向X之側壁SW24的圓角C212、連接心軸島142a之沿方向Y之側壁SW22及心軸條142c之沿方向X之側壁SW25的圓角C213及連接心軸島142a之沿方向Y之側壁SW23及另一沿方向X之側壁SW26的圓角C214。第二心軸144包括類似於各別圓角C211至圓角C214的圓角C221、C222、C223及C224, 因此,於此為求簡潔而不重複關於圓角C221至圓角C224的描述。
此外,心軸條142b具有遠離心軸島142a的圓端C215,且心軸條142c亦具有遠離心軸島142a的圓端(未繪示)。心軸條144b具有遠離心軸島144a的圓端C225,且心軸條144c亦具有遠離心軸島144a的圓端(未繪示)。心軸條146及148分別具有圓端C235及C245。
回到第1圖,方法M1隨後進行至步驟S13,其中間隔物形成於各別的心軸周圍。參照第4A圖及第4B圖,在步驟S13的一些實施例中,第一環狀間隔物192形成於各別的第一心軸142周圍,第二環狀間隔物194形成於各別的第二心軸144周圍,第三環狀間隔物196形成於各別的第三心軸146周圍,且第四環狀間隔物198形成於各別的第四心軸148周圍。間隔物192至間隔物198可包括氧化物(例如氧化矽、氧化鋁、氧化鈦或其類似物)、氮化物(例如氮化矽、氮化鈦或其類似物)、氮氧化物(例如氮氧化矽或其類似物)、碳氧化物(例如碳氧化矽或其類似物)、碳氮化物(例如碳氮化矽或其類似物)、類似物或以上之組合。
此些間隔物192至間隔物198的形成包括(例如)等形地在心軸142、144、146及148上方沉積間隔物層,及執行非等向性的乾式蝕刻製程以自心軸142、144、146、148及硬遮罩層130之頂面移除間隔物層的水平部分。心軸142、144、146及148之側壁上剩餘的間隔物層之部分形成間隔物192、194、196及198。在一些實施例中,藉由用包 括氧氣(O2)、氟化甲烷(CxHyFz)、氮氣(N2)、氫氣(H2)、溴化氫(HBr)、氯氣(Cl2)、氦氣(He)、類似物或以上之組合之蝕刻製程氣體的乾式蝕刻製程圖案化間隔物層。
如第4A圖繪示,第一環狀間隔物192等形於第一心軸142的側壁。進一步而言,第一環狀間隔物192包括沿方向X延伸的兩個第一間隔條192a及192b及連接第一間隔條192a及192b的圓端(或曲線端)C315。第一間隔條192a沿方向X具有大於間隔條192b之長度的長度。第一環狀間隔物192進一步包括沿方向Y延伸的兩個第二間隔條192d及192e、連接互相垂直的第一間隔條及第二間隔條192a及192d的圓角(或曲線角)C311及連接互相垂直的第一間隔條及第二間隔條192b及192e的圓角(或曲線角)C312。第二間隔條192e沿方向Y具有大於第二間隔條192d之長度的長度。第一環狀間隔物192進一步包括沿方向X延伸的兩個間隔條192f及192g、與圓角C312實質上成對角的且連接互相垂直的第二間隔條及第三間隔條192d及192f的圓角(或曲線角)C313及與圓角C311實質上成對角的且連接互相垂直的第二間隔條及第三間隔條192e及192g的圓角(或曲線角)C314。與心軸形成為具有均勻直條形狀(例如第三心軸及第四心軸146及148)的先前方法相比,現有方法中的一些心軸具有「Z」形狀(例如第一心軸及第二心軸142、144),其中可藉由相對寬的島心軸連接兩個相對窄的條心軸。因此,沿「Z」形心軸之側壁形成的間隔物可 具有「Z」形狀,其中可藉由垂直的直條(例如192e)連接兩個橫向直條(例如192b及192g)。
類似地,第二環狀間隔物194等形於第一心軸144的側壁。進一步而言,第一環狀間隔物194包括沿方向X延伸的兩個第一間隔條194a及194b及連接第一間隔條194a及194b的圓端(或曲線端)C325。第一間隔條194a沿方向X具有大於間隔條194b之長度的長度。第二環狀間隔物194進一步包括沿方向Y延伸的兩個第二間隔條194d及194e、連接互相垂直的第一間隔條及第二間隔條194a及194d的圓角(或曲線角)C321及連接互相垂直的第一間隔條及第二間隔條194b及194e的圓角(或曲線角)C322。第二間隔條194e沿方向Y具有大於第二間隔條194d之長度的長度。第二環狀間隔物194進一步包括沿方向X延伸的兩個第三間隔條194f及194g、與圓角C322實質上成對角的且連接互相垂直的第二間隔條及第三間隔條194d及194f的圓角(或曲線角)C323及與圓角C321實質上成對角的且連接互相垂直的第二間隔條及第三間隔條194e及194g的圓角(或曲線角)C324。
如第4A圖繪示,第三環狀間隔物196等形於第三心軸146的側壁。進一步而言,第三環狀間隔物196包括沿方向X延伸的兩個長間隔條196a及196b及連接間隔條196a及196b的圓端(或曲線端)C335。類似地,第四環狀間隔物198等形於第四心軸148的側壁且包括沿方向X延伸的兩個短間隔條198a及198b及連接短間隔條198a及198b 的圓端(或曲線端)C345。短間隔條198a及198b比長間隔條196a及196b短,因為短心軸148比長心軸146短。
第二環狀間隔物194的方向X間隔條194b沿方向X比第一環狀間隔物192的方向X間隔條192a長,使得第二環狀間隔物194的方向Y間隔條194e分隔第一環狀間隔物192的方向Y間隔條192d。因此,方向X間隔條194b及192f與方向Y間隔條192d及194e之間的區域R33無其他間隔物,且因此區域R33可稱為無間隔物區域R33。類似地,長間隔條196b與方向X間隔條194f之間的區域R31無其他間隔物,且因此區域R31可稱為無間隔物區域R31。
回到第1圖,方法M1隨後進行至步驟S14,其中移除心軸。在步驟S14的一些實施例中,藉由例如電漿蝕刻製程移除心軸142、144、146及148,但亦可使用其他合適的蝕刻製程。所得到的結構示於第5A圖及第5B圖。在一些實施例中,電漿蝕刻製程對於心軸材料具有高蝕刻選擇性,使得可移除心軸142至心軸148,而環狀間隔物192至環狀間隔物198及硬遮罩層130保持為實質上完整的。用於選擇性蝕刻心軸的示例性蝕刻劑包括氧氣(O2)、二氧化碳(CO2)、氟化甲烷(CxHyFz)、氬氣(Ar)、氮氣(N2)、氫氣(H2)、類似物或以上之組合。
如第5A圖繪示,方向X間隔條194a及194g與方向Y間隔條194d及194d之間的區域R32無其他間隔物,且因此區域R32可稱為無間隔物區域R32。類似地,方向X間隔條192a及192g與方向Y間隔條192d及192e之間的區 域R34無其他間隔物,且因此區域R34可稱為無間隔物區域R34。
無間隔物區域R32沿方向Y具有與矩形心軸部分144a(見第3A圖)之寬度W222實質上相同的寬度,且沿方向X的長度與矩形心軸部分144a的寬度L222實質上相同。類似地,區域R34沿方向Y具有與矩形心軸部分142a(見第3A圖)的寬度W212實質上相同的寬度,且沿方向X的長度與矩形心軸部分142a的寬度L212實質上相同。
回到第1圖,方法M1隨後進行至步驟S15,其中圖案化的遮罩形成於部分的間隔物上方以曝露間隔物的端部。參照第6A圖及第6B圖,在步驟S15的一些實施例中,遮罩層ML1(例如光阻)形成於環形間隔物192、194、196及198上方。隨後圖案化遮罩層ML1(例如使用光微影製程)以形成開口O1及O2以曝露環形間隔物192至198的端部。例如,開口O1曝露對應的環形間隔物192、環形間隔物194、環形間隔物196及環形間隔物198的圓端C315、C325、C335及C345,且開口O2中之各者曝露環形間隔物198的圓端C345。開口O2沿方向Y具有小於O1之長度的長度,使得將不藉由開口O2曝露間隔條。開口O1沿方向Y具有長度LO1且沿方向X具有寬度WO1,且開口O2沿方向Y具有長度LO2且沿方向X具有寬度WO2。在一些實施例中,開口O1的長度LO1在約500奈米至約1000奈米的範圍內,開口O1的寬度WO1在約100奈米至約200奈米的範圍內,開口O2的長度LO2在約200奈米至約500奈米的範圍內,且 開口O2的寬度WO2在約100奈米至約200奈米的範圍內。在一些實施例中,開口O1的寬度WO1與開口O2的寬度WO實質上相同。
回到第1圖,方法M1隨後進行至步驟S16,其中對間隔物執行切割製程。在一些實施例中,切割製程可為使用遮罩層ML1作為蝕刻遮罩而經執行以自環形間隔物192、194、196及198移除圓端C135、C325、C335及C345的蝕刻製程(例如乾式蝕刻、濕式蝕刻或以上之組合)。其後,移除遮罩層ML1。第7A圖及第7B圖繪示根據本揭露之一些實施例的切割製程的結果。
間隔物196的長間隔條196a及196b(見第5A圖)由於切割製程分隔,且為便於論述它們可稱為長間隔條196'。間隔物198的短間隔條198a及198b分隔且亦為便於論述稱為短間隔條198’。
在切割製程之後,方向X間隔條194a保持為藉由圓角C321連接至方向Y間隔條194d的頂端,且方向Y間隔條194d的底端保持為藉由圓角C323連接至方向X間隔條194f。換言之,方向X間隔條194a及方向X間隔條194f未對準且藉由方向Y間隔條194d相連接。方向X間隔條194a、194f及連接於其間的方向Y間隔條194d合稱為第一間隔物202。
類似地,在切割製程之後,方向X間隔條194b保持為藉由圓角C322連接至方向Y間隔條194e的頂端,且方向Y間隔條194e的底端保持為藉由圓角C324連接至方向 X間隔條194g。未對準的方向X間隔條194b、方向X間隔條194g及連接於其間的方向Y間隔條194e合稱為第二間隔物204。
類似地,未對準的方向X間隔條192a、192f及連接於其間的方向Y間隔條192d合稱為第三間隔物206,且未對準的方向X間隔條192b、192g及連接於其間的方向Y間隔條192e合稱為第四間隔物208。
第一間隔物、第二間隔物、第三間隔物及第四間隔物202至208按順序配置於兩個長間隔條196’之間。區域R31在第一間隔物202的方向X間隔條194f與長間隔條196’之間。區域R32在第一間隔物202的方向X間隔條194a與第二間隔物204的方向X間隔條192g之間。區域R33在第二間隔物204的方向X間隔條194b與第三間隔物206的方向X間隔條192f之間。區域R34在第三間隔物206的方向X間隔條192a與第四間隔物208的方向X間隔條192g之間。
如先前所論述,由於如第3A圖中所示的心軸142及144之幾何形狀,此些區域R31至R34無間隔物。因此,在切割製程期間或之後省略自區域R31至R34移除間隔物結構。又,對於此移除不需界定遮罩層ML1。進而,減少且改良了非所要的間隔物殘餘物、蝕刻損壞及光微影覆蓋未對準問題。進一步而言,如上文所論述的自對準雙重圖案化(SADP)製程可造成沿方向Y上間隔物至間隔物的間距S3減小,此將導致光微影挑戰增加及因此不良的覆蓋及蝕刻製程窗口。因此,若將自(例如)區域R34移除間隔物, 則形成用於移除的圖案化之遮罩的光微影覆蓋未對準可導致對方向X間隔條192a或192g產生非所要的侵蝕或甚至破壞,及/或在區域R34中導致非所要的間隔物殘餘物。非所要的間隔物損壞及/或殘餘物可在目標層120中導致非所要的圖案。然而,因為可省去自此些區域R31至R34移除間隔物,所以可防止非所要的間隔物損壞及/或殘餘物,此將防止目標層120中產生非所要的圖案。
如先前所論述,無間隔物區域R32及R34的寬度W32及W34與矩形心軸部分144a及142a(見第3A圖)之各別的寬度W222及W212實質上相同。因此,矩形心軸部分144a及142a的寬度W212及W222可選擇為遠大於方向Y間隔物至間隔物的間距S3(例如大於間距S3的四倍),以便形成大的無間隔物區域R32及R34。
此外,無間隔物區域R31的寬度W31與第一間隔物202的自方向X間隔條196'至方向X間隔條194f的方向Y距離實質上相同,此方向Y距離與無間隔物區域R32的寬度W32(亦即矩形心軸部分144a的寬度W222)正相關。類似地,無間隔物區域R33的寬度W33與自方向X條194b至方向X間隔條192f的方向Y距離實質上相同,此方向Y距離與無間隔物區域R34的寬度W34(亦即矩形心軸部分142a的寬度W212)正相關。因此,矩形心軸部分144a及142a的寬度W212及W222可選擇為遠大於方向Y間隔物至間隔物的間距S3(例如大於間距S3的四倍),以便形成大的無間隔物區域R31及R33。
回到第1圖,方法M1隨後進行至步驟S17,其中圖案化的遮罩分別形成於間隔物的方向Y部分上方。在步驟S17的一些實施例中,遮罩層(例如光阻)形成於間隔物196’、198’及202至208上方且隨後經圖案化(例如使用光微影製程)使得複數個圖案化的遮罩ML21、ML22、ML23及ML24分別保持為覆蓋方向Y間隔條194d、194e、192d及192e。第8A圖及第8B圖中圖示所得的結構。方向X間隔條192a、192b、192f、192g、194a、194b、194f、194g、196’及196’不受圖案化之遮罩ML21至ML24的覆蓋。圖案化的遮罩ML21至ML24沿方向X分別具有寬度W41、W42、W43及W44,且方向Y間隔條194d、194e、192d及192e沿方向X分別具有寬度W45、W46、W47及W48。圖案化之遮罩ML21至ML24的寬度W41至W44大於方向Y間隔條194d、194e、192d及192e的寬度W45至W48。因此,當遮罩ML21至ML24的圖案轉移至導電層120時,其將得到與使用方向Y間隔條194d、194e、192d及192e之圖案形成的導電接合相比更大的導電接合。因此,可擴展通孔接合窗口。在一些實施例中,方向Y間隔條194d、194e、192d及192e的寬度W45至W48在約10奈米至約50奈米的範圍內,且圖案化之遮罩ML21至ML24的寬度W41至W44在約30奈米至約150奈米的範圍內。進一步而言,圖案化之遮罩ML21的寬度W41約為由圖案化之遮罩ML21覆蓋之方向Y間隔條194d的寬度W45的三倍,圖案化之遮罩ML22的寬度W42約為由圖案化之遮罩ML22覆蓋之方向Y 間隔條194e的寬度W46的三倍,圖案化之遮罩ML23的寬度W43約為由圖案化之遮罩ML23覆蓋之方向Y間隔條192d的寬度W47的三倍,且圖案化之遮罩ML24的寬度W44約為由圖案化之遮罩ML24覆蓋之方向Y間隔條192e的寬度W48的三倍。
回到第1圖,方法M1隨後進行至步驟S18,其中圖案化硬遮罩層及目標層。參照第9A圖及第9B圖,在硬遮罩層130及下導電層120上執行圖案化製程以將間隔物196’、198’、202至208的圖案轉移至硬遮罩層130及下導電層120。隨後使用合適的蝕刻技術移除間隔物196’、198’、202至208、圖案化的遮罩ML21至ML24及硬遮罩層130。圖案化製程在圖案化的導電層120’中產生導電線210、220、230、240、250及260。導電線210具有與第一間隔物202及圖案化之遮罩ML21(見第8A圖)的組合之形狀實質上相同的形狀,導電線220具有與第一間隔物204及圖案化之遮罩ML22的組合之形狀及大小實質上相同的形狀及大小,導電線230具有與第一間隔物206及圖案化之遮罩ML23的組合之形狀及大小實質上相同的形狀及大小,且導電線240具有與第一間隔物208及圖案化之遮罩ML24的組合之形狀及大小實質上相同的形狀及大小。導電線250及260具有與各別間隔條196’及198’之形狀及大小實質上相同的形狀及大小。
圖案化製程包括一或多個合適的蝕刻製程,例如濕式蝕刻製程、非等向性乾式蝕刻製程或以上之組合。在 硬遮罩層130包括按順序堆迭的抗反射塗層、金屬硬遮罩層及介電硬遮罩層的一些實施例中,藉由用包括氧氣(O2)、二氧化碳(CO2)、氟化甲烷(CxHyFz)、氬氣(Ar)、氮氣(N2)、氫氣(H2)、溴化氫(HBr)、氯氣(Cl2)、氦氣(He)、類似物或以上之組合之蝕刻製程氣體的乾式蝕刻製程圖案化介電硬遮罩層,使用(例如)用包括氯氣(Cl2)、氧氣(O2)、氟化甲烷(CxHyFz)、氮氣(N2)、氫氣(H2)、類似物或以上之組合之蝕刻製程氣體的乾式蝕刻製程圖案化金屬硬遮罩層,且藉由包括例如八氟環丁烷(C4F8)、氮氣(N2)、氧氣(O2)、氬氣(Ar)、類似物或以上之組合之蝕刻劑的蝕刻製程圖案化抗反射塗層。在導電層120包括金屬(例如銅、鋁、鈦或鎢)的一些實施例中,藉由用包括氮氣(N2)、三氯化硼(BCl3)、氯氣(Cl2)及其類似物之蝕刻製程氣體的乾式蝕刻製程圖案化導電層120。
將第一間隔物202及ML21的組合的圖案轉移至導電層120得到導電線210,此導電線包括沿方向X延伸的未對準的第一條部分及第二條部分212及214及連接於第一條部分與第二條部分212與214之間的通孔接合部分216。條部分212、214及通孔接合部分216具有與各別間隔條194a、194f及圖案化之遮罩ML21(見第8A圖)之圖案實質上相同的圖案。
類似地,將第一間隔物204及ML22之組合的圖案轉移至導電層120得到導電線220,此導電線包括未對準的方向X條部分222、224及連接於其間的通孔接合部分 226。條部分222、224及通孔接合部分226具有與各別間隔條194b、194g及圖案化之遮罩ML21(見第8A圖)之圖案實質上相同的圖案。
類似地,將第一間隔物206及ML23之組合的圖案轉移至導電層120得到導電線230,此導電線包括未對準的方向X條部分232、234及連接於其間的通孔接合部分236。條部分232、234及通孔接合部分236具有與各別間隔條192a、192f及圖案化之遮罩ML23(見第8A圖)之圖案實質上相同的圖案。
類似地,將第一間隔物208及ML24之組合的圖案轉移至導電層120得到導電線240,此導電線包括未對準的方向X條部分242、244及連接於其間的通孔接合部分246。條部分242、244及通孔接合部分246具有與各別間隔條192b、192g及圖案化之遮罩ML24(見第8A圖)之圖案實質上相同的圖案。
導電線210包括連接方向X條部分212之頂側壁212t及通孔接合部分216之頂側壁216t的圓角C421,且圓角C421具有與第一間隔物201(見第8A圖)之圓角C321之形狀及大小實質上相同的形狀及大小。此外,導電線210包括連接方向X條部分214之底側壁214b及通孔接合部分216之底側壁216b的圓角C423,且圓角C423具有與第一間隔物202(見第8A圖)之圓角C323之形狀及大小實質上相同的形狀及大小。類似地,導電線220包括連接方向X條部分222及通孔接合部分226的圓角C422,及連接通孔接合部 分226及方向X條部分224的圓角C424。類似地,導電線230包括連接方向X條部分232及通孔接合部分236的圓角C411,及連接通孔接合部分236及方向X條部分234的圓角C413。類似地,導電線240包括連接方向X條部分242及通孔接合部分246的圓角C412,及連接通孔接合部分246及方向X條部分244的圓角C414。
由於如第8A圖繪示之對應的圖案化遮罩及間隔物之組合的圖案,此些圓角C411至C414及C421至C424具有如第9A圖繪示大於90度的頂角。舉例而言,導電線210的圓角C421具有具有約100度至約170度之範圍內的頂角θ21,導電線210的圓角C423具有在約100度至170度之範圍內的頂角θ23,導電線220的圓角C422具有在約100度至約170度之範圍內的頂角θ22,導電線220的圓角C424具有在約100度至約170度之範圍內的頂角θ24,導電線230的圓角C411具有在約100度至約170度之範圍內的頂角θ11,導電線230的圓角C413具有在約100度至約170度之範圍內的頂角θ13,導電線240的圓角C412具有在約100度至約170度之範圍內的頂角θ12,且導電線240的圓角C414具有在約100度至約170度之範圍內的頂角θ14。鈍頂角將改良薄導電線(例如導電線212)與矩形導電結構(例如通孔接合部分216)之間連接的耐久性。與圖案化之遮罩(例如ML24)形成於兩個分隔之橫向間隔條(例如192b及192g)之間的前述方法相比,在現有方法中,圖案化的遮罩(例如ML24)形成為覆蓋垂直的間隔條(例如192e)。 因此,即使在存在導致圖案化之遮罩(例如ML24)自分隔的橫向間隔條(例如192b或192g)位移的一些未對準的情況下,由於存在用於圖案化的垂直間隔條(例如192e),導電線240的通孔接合部分(例如246)將不與方向X條部分(例如242或244)斷開。因此,改良了連接可靠性。
區域R41在導電線210與導電線220的條部分214之間。區域R42在導電線210的條部分212與導電線220的條部分224之間。區域R33在導電線220的條部分222與導電線230的條部分234之間。區域R34在導電線230的條部分232與導電線240的條部分244之間。如第8A圖中所示,此些區域R41至R44對應於各別的無間隔物區域R31至R34。因此,防止了此些區域中出現非所要圖案,因為如先前所論述可減少非所要的間隔物損壞及/或殘餘物。
回到第1圖,方法M1隨後進行至步驟S19,其中導電通孔形成於導電線上方。參照第10A圖及第10B圖,在步驟S19的一些實施例中,金屬層間介電層270形成於導電線210至260之間及周圍,隨後在金屬層間介電層270上方形成另一金屬層間介電層280,且在金屬層間介電層280中形成導電通孔291、292、293及294。導電通孔290接於各別的通孔接合部分216、226、236及246上。
在一些實施例中,金屬層間介電層270及280具有與介電層1101至110M與介電層1111至111M-1之材料實質上相同的材料。舉例而言,金屬層間介電層270及280可包括設置於此些導電特徵之間的具有(例如)低於約4.0 或甚至2.0之k值的低k介電材料。在一些實施例中,層間介電層及金屬層間介電層可用(例如)磷矽酸鹽玻璃(PSG)、硼磷矽酸鹽玻璃(BPSG)、氟矽酸鹽玻璃(FSG)、碳氧化矽(SiOxCy)、旋塗式玻璃、旋塗式聚合物、矽碳材料、其化合物、其複合物、以上之組合或類似材料製成。在一些實施例中,導電通孔291至294具有與導電通孔1161至116M之材料實質上相同的材料。舉例而言,導電通孔291至294可包括銅、鋁、鎢、以上之組合或類似物。
第11圖是根據本揭露之一些實施例的用於製造半導體結構的示例性方法M2。方法M2包括整個製造製程的相關部分。應理解可在由第11圖展示的操作之前、期間及之後提供額外操作,且可替換或除去下文所描述的操作中之一些操作來獲得方法的額外實施例。操作/製程的次序可為可互換的。第12A圖至第14B圖繪示根據一些實施例的方法M2之各種中間階段,其中「A」圖表示俯視圖,「B」圖表示各別「A」圖沿B-B線的剖面圖。
可在方法M1的步驟S13之後執行方法M2。方法M2從開始於類似於方法M1之步驟S15的步驟S24,區別為在移除心軸之前執行步驟S24。舉例而言,方法M1的步驟S24包括在間隔物及心軸的部分上方形成圖案化的遮罩以曝露間隔物的端部。參照第12A圖及第12B圖,在步驟S15的一些實施例中,遮罩層ML3(例如光阻)形狀於環形間隔物192、194、196及198及心軸142至148上方。隨後圖案化遮罩層ML3(例如使用光微影製程)以形成開口O3及 O4以曝露環形間隔物192至198的端部。舉例而言,開口O3曝露對應的環形間隔物192、194、196及198的圓端C315、C325、C335及C345,且開口O4中之各者曝露環形間隔物198的圓端C345。開口O3沿方向Y具有長度LO3且沿方向X具有寬度WO3,且開口O4沿方向Y具有長度LO4且沿方向X具有寬度WO4。在一些實施例中,開口O3的長度LO3在約500奈米至約1000奈米的範圍內,開口O3的寬度WO3在約100奈米至約200奈米的範圍內,開口O4的長度LO4在約200奈米至約500奈米的範圍內,且開口O4的寬度WO4在約100奈米至約200奈米的範圍內。在一些實施例中,開口O3的寬度WO3與開口O4的寬度WO4實質上相同。
回到第11圖,方法M2隨後進行至步驟S25,其中對間隔物執行切割製程。在一些實施例中,切割製程可為使用遮罩層ML3作為蝕刻遮罩而經執行以自環形狀間隔物192、194、196及198移除圓端C135、C325、C335及C345的一或多個蝕刻製程(例如乾式蝕刻、濕式蝕刻或以上之組合)。其後,移除遮罩層ML3。第13A圖及第13B圖展示根據本揭露之一些實施例的切割製程的結果。
回到第11圖,方法M2隨後進行至步驟S26,其中移除心軸。在步驟S26的一些實施例中,藉由(例如)電漿蝕刻製程移除心軸142、144、146及148,但亦可使用其他合適的蝕刻製程。第14A圖及第14B圖中示所得的結構。先前關於方法M1的步驟S14論述了用於移除心軸142至148的示例性蝕刻劑,且因此本文為簡潔性不重複此些蝕刻 劑。在步驟S26之後,可執行方法M1的步驟S17至S19使得可形成導電線210至260及導電通孔291至294(見第10A圖)。
在一些實施例中,如下文所更詳細地所述,可選擇如上文所論述的矩形心軸的寬度以形成一或多個網格上間隔條(見第15圖)及/或一或多個網格外間隔條(見第16圖)。第15圖中圖示沿方向X平行配置的虛擬網格線GL1、GL2及GL3。藉由標稱間距T分隔複數個虛擬網格線GL1至GL3的兩個相鄰的線。標稱最小間距T為根據預定半導體製造製程的用於形成互連結構之導電線的預定最小佈線間距。在一些實施例中,根據預定半導體製造製程的一或多個特徵決定標稱最小間距T,此一或多個特徵包括預定半導體製造製程的用於微影製程的波長、蝕刻製程的選擇性、導電線的材料、誤差的合理容限及預期良品率。舉例而言,標稱最小間距T在約20奈米至約100奈米的範圍內。在置放及佈線軟體工具中,根據虛擬網格線GL1至GL3配置(亦稱為「佈線」)沿方向X的積體電路佈局的導電線。
第15圖中亦圖示心軸310及沿心軸310之相對側壁形成的間隔物320及330。心軸310包括矩形心軸島312及分別自心軸島312之對角沿方向X延伸的心軸條314及316。間隔物320包括方向X間隔條322及326連接於方向X間隔條322與326之間的方向Y間隔條324。類似地,間隔物330包括方向X間隔條332及336及連接於方向X間隔條332與336之間的方向Y間隔條334。
在一些實施例中,方向X間隔條332在虛擬網格線GL1上,方向X間隔條332及326在虛擬網格線GL2上,且方向X間隔條336在虛擬網格線GL3上。方向X間隔條322、326、332及336可稱為「網格上」間隔條。此些間隔條322、326、332及336的網格上配置至少部分地起因於矩形心軸島312的寬度W512。舉例而言,可選擇矩形心軸島312的寬度W512使得間隔條322、326、332及336在網格上。以此方式,當網格上間隔條322、326、332及336的圖案轉移至下導電層時,所得的導電線將在網格上。在一些實施例中,矩形心軸島312的寬度W512在約30奈米至約150奈米的範圍內。
第16圖繪示與第15圖相似的心軸及間隔物,區別為間隔條326’偏離網格線GL2。舉例而言,間隔條326’具有偏離網格線GL2的對稱軸SA。進一步而言,間隔條326’不在虛擬網格線GL1至GL3的任一者上(亦即網格外)。間隔條326’的網格外配置至少部分地起因於矩形心軸島312’的寬度W512’。舉例而言,可選擇矩形心軸島312’的寬度W512’使得間隔條326’在網格外。以此方式,當網格上間隔條326’的圖案轉移至下導電層時,所得的導電線將在網格外。在一些實施例中,矩形心軸島312’的寬度W512’在約20奈米至約100奈米的範圍內。
如第15圖及第16圖繪示,可選擇矩形心軸島的寬度以得到網格上間隔條或網格外間隔條,此將導致網格上 導電線或網格外導電線。因此,矩形心軸島可改良設計間隔條及/或導電線之佈局的靈活性。
如上文所論述之後段製程(BEOL)中的導電線之形成方法是用於描述具有矩形島之心軸的一些實例。如上文所論述的矩形心軸島概念亦可整合於用於前段製程(FEOL)元件、邏輯元件及/或記憶體元件的自對準雙重圖案化(SADP)硬遮罩形成中。基於以上論述,可見本揭露提供優點。然而應理解其他實施例可提供額外優點,且本文未必揭示所有優點,且無特定優點是所有實施例所必需的。一個優點是可省略自矩形區域移除間隔條,其將減少起因於(例如)移除製程中之光微影覆蓋未對準的非所要的間隔物損壞或間隔物殘餘物。因此改良光微影覆蓋窗口及蝕刻製程。另一優點是可藉由選擇矩形心軸島的寬度實現網格上間隔條或網格外間隔條。
根據一些實施例,方法包含以下步驟。第一心軸形成於基板上方的目標層上方,其中第一心軸包含心軸島及第一心軸條,心軸島包含第一側壁及垂直於第一側壁的第二側壁,且第一心軸條延伸自心軸島的第一側壁。第一間隔物沿心軸島的第一側壁及第二側壁及第一心軸條的側壁形成。自目標層移除第一心軸。當第一間隔物留在目標層上方時圖案化目標層。
根據一些實施例,方法包含以下步驟。第一心軸形成於基板上方的目標層上方,其中第一心軸包含第一心軸島、第一心軸條及第二心軸條,其中第一心軸島包含第一 側壁及與第一側壁相對的第二側壁,第一心軸條及第二心軸條分別自第一心軸島的第一側壁及第二側壁延伸且未對準。第一間隔物沿第一心軸條、第一心軸島及第二心軸條的側壁延伸而形成。自目標層移除第一心軸。使用至少第一間隔物作為蝕刻遮罩而蝕刻目標層。
根據一些實施例,半導體基板包括半導體基板、金屬層間介電層、第一導電通孔、導電線及第二導電通孔。金屬層間介電層在半導體基板上方。第一導電通孔在金屬層間介電層中。導電線在第一導電通孔上方,其中導電線包含第一條部分、通孔接合部分及連接第一條部分及通孔接合部分的第一圓角,其中當自導電線上方觀察時第一圓角具有鈍頂角。第二導電通孔在通孔接合部分上方。
上文概述了若干實施例的特徵使得熟習此項技術者可更好地理解本揭露的態樣。熟習此項技術者將瞭解他們可容易地以本揭露為基礎設計或修改用於達到相同目的及/或實現本文中所引入之實施例之相同優點的其他製程及結構。熟習此項技術者將應瞭解此些等效構造不脫離本揭露的精神及範疇,且他們可在不脫離本揭露之精神及範疇的情況下作出各種改變、替換及變更。
M1‧‧‧方法
S11‧‧‧步驟
S12‧‧‧步驟
S13‧‧‧步驟
S14‧‧‧步驟
S15‧‧‧步驟
S16‧‧‧步驟
S17‧‧‧步驟
S18‧‧‧步驟
S19‧‧‧步驟

Claims (20)

  1. 一種方法,包含:在一基板上方的一目標層上方形成一第一心軸,其中該第一心軸包含一心軸島及一第一心軸條,該心軸島包含一第一側壁及垂直於該第一側壁的一第二側壁,且該第一心軸條自該心軸島的該第一側壁延伸;沿該心軸島的該第一側壁及該第二側壁及該第一心軸條的一側壁形成一第一間隔物;自該目標層移除該第一心軸;以及當該第一間隔物留在該目標層上方時圖案化該目標層。
  2. 如請求項1所述之方法,其中執行形成該第一心軸使得一第二心軸形成並鄰接該第一心軸,該第一心軸更包含自背向該第一側壁之該心軸島之一第三側壁延伸的一第二心軸條,且該第一心軸條與該第二心軸之間的一距離不同於該第二心軸條與該第二心軸之間的一距離。
  3. 如請求項1所述之方法,其中該第一心軸更包含自背向該第一側壁之該心軸島之一第三側壁延伸的一第二心軸條,且執行形成該第一間隔物使得該第一間隔物的一第一間隔條及一第二間隔條分別沿該第一心軸條及該第二心軸條形成且互相未對準。
  4. 如請求項3所述之方法,其中執行形成該第一間隔物使得該第一間隔物的一第三間隔條沿該心軸島的該第一側壁形成,且該方法更包含:形成一遮罩以覆蓋該第一間隔物的該第三間隔條,其中在形成該遮罩之後執行圖案化該目標層。
  5. 如請求項4所述之方法,其中在圖案化該目標層之後,該遮罩下面的該圖案化之目標層的一部分留在該基板上方,且該方法更包含:在該圖案化之目標層的該部分上方形成一導電通孔。
  6. 如請求項1所述之方法,其中該心軸島更包含背向該第一側壁的一第三側壁,執行形成該第一間隔物使得一第二間隔物沿該心軸島的該第三側壁形成,且當該第二間隔物留在該目標層上方時執行圖案化該目標層。
  7. 如請求項6所述之方法,其中該第一心軸更包含自該心軸島之該第三側壁延伸的一第二心軸條,且執行形成該第二間隔物使得該第二間隔物的一第一間隔條及一第二間隔條分別沿該第一心軸條及該第二心軸條且未對準。
  8. 如請求項7所述之方法,其中執行形成該第二間隔物使得該第二間隔物的一第三間隔條沿該心軸島的該第三側壁形成,且該方法更包含: 形成一遮罩以覆蓋該第二間隔物的該第三間隔條,其中在形成該遮罩之後執行圖案化該目標層。
  9. 如請求項1所述之方法,其中形成該第一間隔物包含:在該第一心軸周圍形成一環形間隔物,其中該環形間隔物包含在該第一心軸條之一端周圍的一圓端及在該心軸島之一角周圍的一圓角;以及移除該環形間隔物的該圓端,其中在移除該圓端之後該環形間隔物的該圓角留在該心軸島的該角周圍。
  10. 一種方法,包含:在一基板上方的一目標層上方形成一第一心軸,其中該第一心軸包含一第一心軸島、一第一心軸條及一第二心軸條,其中該第一心軸島包含一第一側壁及與該第一側壁相對的一第二側壁,該第一心軸條及第二心軸條分別自該第一心軸島的該第一側壁及該第二側壁延伸且未對準;形成沿該第一心軸條的一側壁、該第一心軸島的一側壁及該第二心軸條的一側壁延伸的一第一間隔物;自該目標層移除該第一心軸;以及使用至少該第一間隔物作為一蝕刻遮罩蝕刻該目標層。
  11. 如請求項10所述之方法,其中執行形成該第一心軸使得一第三心軸條形成並鄰接該第一心軸,其 中該第三心軸條具有大於該第一心軸島及該第一心軸條之一組合之一長度的一長度。
  12. 如請求項11所述之方法,其中執行形成該第一心軸使得一第二心軸島形成並連接該第三心軸條,其中該第一心軸島與該第二心軸島之間的一區域無該第一心軸的一材料,且該區域具有大於該第一心軸條與該第三心軸條之間之一間距的一寬度。
  13. 如請求項11所述之方法,其中執行形成該第一間隔物使得一第二間隔物形成並沿該第三心軸條延伸,該第一間隔物包含與該第一心軸條接觸的一第一間隔條及與該第二心軸條接觸的一第二間隔條,且該第一間隔條與該第二間隔物之間的一距離小於該第二間隔條與該第二間隔物之間的一距離。
  14. 如請求項13所述之方法,其中執行形成該第一心軸使得一第二心軸島形成並連接該第三心軸條,其中執行形成該第二間隔物使得該第二間隔物具有沿該第三心軸條的一第三間隔條及沿該第二心軸島且垂直於該第一間隔條的一第四間隔條,且該方法更包含:在蝕刻該目標層之前形成一遮罩以覆蓋該第四間隔條。
  15. 如請求項14所述之方法,其中該第三間隔條不被該遮罩覆蓋。
  16. 如請求項10所述之方法,其中執行形成該第一間隔物使得該第一間隔物包含沿該第一心軸條延伸的一第一間隔條、沿該心軸島延伸的一第二間隔條及沿該第二心軸條延伸的一第三間隔條,且該方法更包含:在蝕刻該目標層之前形成一遮罩以覆蓋該第二間隔條。
  17. 如請求項16所述之方法,其中該第一間隔條及第三間隔條不由該遮罩覆蓋。
  18. 如請求項16所述之方法,其中在蝕刻該目標層之後,該目標層的一通孔接合部分留在該基板上方且具有與該遮罩之一圖案實質上相同的一圖案,且該方法更包含:在該通孔接合部分上方形成一導電通孔。
  19. 一種半導體結構,包含:一半導體基板;一金屬層間介電層,位於該半導體基板上方;一第一導電通孔,位於該金屬層間介電層中;一導電線,位於該第一導電通孔上方,其中該導電線包含一第一條部分、一通孔接合部分及連接該第一條部分 及該通孔接合部分的一第一圓角,其中當自該導電線上方觀察時該第一圓角具有一鈍夾角;及一第二導電通孔,位於該通孔接合部分上方。
  20. 如請求項19所述之半導體結構,其中該導電線更包含一第二條部分及一第二圓角,該通孔接合部分在該第一條部分及第二條部分之間,且該第二圓角連接該第二條部分及該通孔接合部分,其中當自該導電線上方觀察時該第二圓角具有一鈍夾角。
TW108124169A 2018-08-15 2019-07-09 半導體元件及其製造方法 TWI718583B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862764740P 2018-08-15 2018-08-15
US62/764,740 2018-08-15
US16/239,751 US10818505B2 (en) 2018-08-15 2019-01-04 Self-aligned double patterning process and semiconductor structure formed using thereof
US16/239,751 2019-01-04

Publications (2)

Publication Number Publication Date
TW202020935A true TW202020935A (zh) 2020-06-01
TWI718583B TWI718583B (zh) 2021-02-11

Family

ID=69523360

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108124169A TWI718583B (zh) 2018-08-15 2019-07-09 半導體元件及其製造方法

Country Status (4)

Country Link
US (2) US10818505B2 (zh)
KR (1) KR102293009B1 (zh)
CN (1) CN110838465B (zh)
TW (1) TWI718583B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111986995A (zh) * 2019-05-23 2020-11-24 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US11264359B2 (en) * 2020-04-27 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Chip bonded to a redistribution structure with curved conductive lines

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5494853A (en) * 1994-07-25 1996-02-27 United Microelectronics Corporation Method to solve holes in passivation by metal layout
US5924006A (en) * 1994-11-28 1999-07-13 United Microelectronics Corp. Trench surrounded metal pattern
JP4979154B2 (ja) 2000-06-07 2012-07-18 ルネサスエレクトロニクス株式会社 半導体装置
US7151040B2 (en) * 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7655387B2 (en) * 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
US7351666B2 (en) * 2006-03-17 2008-04-01 International Business Machines Corporation Layout and process to contact sub-lithographic structures
US7807575B2 (en) * 2006-11-29 2010-10-05 Micron Technology, Inc. Methods to reduce the critical dimension of semiconductor devices
US7709390B2 (en) * 2007-05-31 2010-05-04 Micron Technology, Inc. Methods of isolating array features during pitch doubling processes and semiconductor device structures having isolated array features
US8980756B2 (en) 2007-07-30 2015-03-17 Micron Technology, Inc. Methods for device fabrication using pitch reduction
US8043964B2 (en) * 2009-05-20 2011-10-25 Micron Technology, Inc. Method for providing electrical connections to spaced conductive lines
US8987008B2 (en) * 2013-08-20 2015-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit layout and method with double patterning
US9287131B2 (en) * 2014-02-21 2016-03-15 Globalfoundries Inc. Methods of patterning line-type features using a multiple patterning process that enables the use of tighter contact enclosure spacing rules
CN105789049B (zh) * 2014-09-12 2019-06-21 台湾积体电路制造股份有限公司 图案化鳍式场效应晶体管(finfet)器件的多个部件的方法
US9472653B2 (en) * 2014-11-26 2016-10-18 Samsung Electronics Co., Ltd. Method for fabricating semiconductor device
US9502428B1 (en) 2015-04-29 2016-11-22 Sandisk Technologies Llc Sidewall assisted process for wide and narrow line formation
US10361286B2 (en) 2016-06-24 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for mandrel and spacer patterning
US10049918B2 (en) * 2016-09-29 2018-08-14 Taiwan Semiconductor Manufacturing Co., Ltd. Directional patterning methods

Also Published As

Publication number Publication date
US10818505B2 (en) 2020-10-27
US20210035809A1 (en) 2021-02-04
KR102293009B1 (ko) 2021-08-27
KR20200019823A (ko) 2020-02-25
CN110838465B (zh) 2023-08-29
US11676822B2 (en) 2023-06-13
US20200058514A1 (en) 2020-02-20
CN110838465A (zh) 2020-02-25
TWI718583B (zh) 2021-02-11

Similar Documents

Publication Publication Date Title
CN109786225B (zh) 用于半导体器件的图案化方法和由此产生的结构
US9865500B2 (en) Method of fine line space resolution lithography for integrated circuit features using double patterning technology
TWI718268B (zh) 半導體結構的製造方法
US11488861B2 (en) Method for manufacturing an interconnect structure having a selectively formed bottom via
JP2017500744A (ja) リソ・フリーズ・リソ・エッチプロセスを用いる伸長コンタクト
TWI552270B (zh) 半導體裝置及其製造方法
US9659811B1 (en) Manufacturing method of semiconductor device
TW201911413A (zh) 多重圖案化方法、自對準多重圖案化方法及自對準雙重圖案化方法
US10056258B2 (en) Self-aligned double spacer patterning process
US8841214B2 (en) Dual damascene process
US9024450B2 (en) Two-track cross-connect in double-patterned structure using rectangular via
KR20180105558A (ko) 반도체 디바이스 및 그 제조 방법
TWI718583B (zh) 半導體元件及其製造方法
TWI833105B (zh) 半導體裝置的形成方式
US8828878B2 (en) Manufacturing method for dual damascene structure
KR20180036680A (ko) 전도성 피쳐를 형성하는 방법
JP5881569B2 (ja) パターン形成方法
US10522396B1 (en) Methods of fabricating integrated circuit devices having reduced line end spaces
DE102019101593B4 (de) Selbstjustierter Doppelstrukturierungsprozess und mit diesem hergestellte Halbleiterstruktur
US20230096938A1 (en) Fabrication of back-end-of-line interconnects
TW202414530A (zh) 製造半導體裝置的方法
TW202244995A (zh) 製造半導體元件之方法
KR20080057640A (ko) 반도체 소자 및 그 제조 방법