DE102019101593B4 - Selbstjustierter Doppelstrukturierungsprozess und mit diesem hergestellte Halbleiterstruktur - Google Patents

Selbstjustierter Doppelstrukturierungsprozess und mit diesem hergestellte Halbleiterstruktur Download PDF

Info

Publication number
DE102019101593B4
DE102019101593B4 DE102019101593.6A DE102019101593A DE102019101593B4 DE 102019101593 B4 DE102019101593 B4 DE 102019101593B4 DE 102019101593 A DE102019101593 A DE 102019101593A DE 102019101593 B4 DE102019101593 B4 DE 102019101593B4
Authority
DE
Germany
Prior art keywords
mandrel
spacer
strip
island
sidewall
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE102019101593.6A
Other languages
English (en)
Other versions
DE102019101593A1 (de
Inventor
Yu-Wen Wang
Kuo-Chyuan Tzeng
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/239,751 external-priority patent/US10818505B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of DE102019101593A1 publication Critical patent/DE102019101593A1/de
Application granted granted Critical
Publication of DE102019101593B4 publication Critical patent/DE102019101593B4/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)

Abstract

Verfahren mit den folgenden Schritten:Herstellen eines ersten Dorns (142) über einer Target-Schicht (120) über einem Substrat (102), wobei der erste Dorn (142) eine Dorn-Insel (142a) und einen ersten Dornstreifen (142b) aufweist, wobei die Dorn-Insel (142a) eine erste Seitenwand und eine zweite Seitenwand aufweist, die senkrecht zu der ersten Seitenwand ist, und sich der erste Dornstreifen (142b) von der ersten Seitenwand der Dorn-Insel (142a) erstreckt;Herstellen eines ersten Abstandshalters (192, 208) entlang der ersten und der zweiten Seitenwand der Dorn-Insel (142a) und einer Seitenwand des ersten Dornstreifens (142b);Entfernen des ersten Dorns (142) von der Target-Schicht (120); undStrukturieren der Target-Schicht (120), wobei der erste Abstandshalter (192, 208) während des Entfernens des ersten Dorns (142) von der Target-Schicht (120) über der Target-Schicht (120) bestehen bleibt,wobei das Herstellen des ersten Abstandshalters (192, 208) Folgendes umfasst:Herstellen eines ringförmigen Abstandshalters um den ersten Dorn (142), wobei der ringförmige Abstandshalter ein abgerundetes Ende um ein Ende des ersten Dornstreifens (142b) sowie eine abgerundete Ecke (C312) um eine Ecke der Dorn-Insel (142a) aufweist; undEntfernen des abgerundeten Endes des ringförmigen Abstandshalters, wobei die abgerundete Ecke (C312) des ringförmigen Abstandshalters um die Ecke der Dorn-Insel (142a) nach dem Entfernen des abgerundeten Endes bestehen bleibt,wobei das Verfahren weiterhin Folgendes aufweist:Herstellen einer strukturierten Maske (ML24) über einem ersten Teil des ersten Abstandshalters (192, 208), welcher entlang der ersten Seitenwand der Dorn-Insel (142a) hergestellt wird,wobei die abgerundete Ecke (C312) einen zweiten Teil des ersten Abstandshalters (192, 208), welcher entlang der Seitenwand des ersten Dornstreifens hergestellt wird, so mit der strukturierten Maske (ML24) verbindet, dass die abgerundete Ecke (C312) einen stumpfen Eckwinkel (θ12) hat.

Description

  • Hintergrund der Erfindung
  • Mit der zunehmenden Verkleinerung von Halbleiter-Bauelementen werden verschiedene Bearbeitungsverfahren, wie etwa Fotolithografie, so angepasst, dass die Herstellung von Bauelementen mit immer kleineren Abmessungen möglich ist. Da jedoch die Halbleiterprozesse kleinere Prozessfenster erfordern, hat die Herstellung dieser Bauelemente die theoretischen Grenzen der fotolithografischen Anlagen erreicht und sogar überschritten. Wenn die Größe der Halbleiter-Bauelemente weiter abnimmt, wird der Abstand, der zwischen Elementen eines Bauelements gewünscht wird (d. h., der Rasterabstand), kleiner als der Rasterabstand, der unter Verwendung von herkömmlichen optischen Masken und fotolithografischen Anlagen hergestellt werden kann.
  • Stand der Technik zum Gegenstand der Erfindung ist beispielsweise zu finden in US 2010 / 0 244 269 A1 , US 2008 / 0 296 732 A1 und US 2006 / 0 046 422 A1 .
  • Die Erfindung sieht ein Verfahren gemäß Anspruch 1, ein Verfahren gemäß Anspruch 9 und eine Halbleiterstruktur gemäß Anspruch 18 vor. Ausgestaltungen sind in den abhängigen Ansprüchen angegeben.
  • Kurze Beschreibung der Zeichnungen
  • Aspekte der vorliegenden Erfindung lassen sich am besten anhand der nachstehenden detaillierten Beschreibung in Verbindung mit den beigefügten Zeichnungen verstehen. Es ist zu beachten, dass entsprechend der üblichen Praxis in der Branche verschiedene Elemente nicht maßstabsgetreu gezeichnet sind. Vielmehr können der Übersichtlichkeit der Erörterung halber die Abmessungen der verschiedenen Elemente beliebig vergrößert oder verkleinert sein.
    • 1 zeigt ein beispielhaftes Verfahren zur Herstellung einer Halbleiterstruktur gemäß einigen Ausführungsformen der vorliegenden Erfindung.
    • Die 2A bis 10B zeigen verschiedene Zwischenstufen des Verfahrens von 1 gemäß einigen Ausführungsformen.
    • 11 zeigt ein beispielhaftes Verfahren zur Herstellung einer Halbleiterstruktur gemäß einigen Ausführungsformen der vorliegenden Erfindung.
    • Die 12A bis 14B zeigen verschiedene Zwischenstufen des Verfahrens von 11 gemäß einigen Ausführungsformen.
    • 15 zeigt einen Dorn und Abstandshalter gemäß einigen Ausführungsformen der vorliegenden Erfindung.
    • 16 zeigt einen Dorn und Abstandshalter gemäß einigen Ausführungsformen der vorliegenden Erfindung.
  • Detaillierte Beschreibung
  • Die nachstehende Beschreibung liefert viele verschiedene Ausführungsformen oder Beispiele zum Implementieren verschiedener Merkmale des bereitgestellten Gegenstands. Nachstehend werden spezielle Beispiele für Komponenten und Anordnungen beschrieben, um die vorliegende Erfindung zu vereinfachen. Zum Beispiel kann die Herstellung eines ersten Elements über oder auf einem zweiten Element in der nachstehenden Beschreibung Ausführungsformen umfassen, bei denen das erste und das zweite Element in direktem Kontakt hergestellt werden, und sie kann auch Ausführungsformen umfassen, bei denen zusätzliche Elemente zwischen dem ersten und dem zweiten Element so hergestellt werden können, dass das erste und das zweite Element nicht in direktem Kontakt sind. Darüber hinaus können in der vorliegenden Erfindung Bezugszahlen und/oder -buchstaben in den verschiedenen Beispielen wiederholt werden. Diese Wiederholung dient der Einfachheit und Übersichtlichkeit und schreibt an sich keine Beziehung zwischen den verschiedenen erörterten Ausführungsformen und/oder Konfigurationen vor.
  • Darüber hinaus können hier räumlich relative Begriffe, wie etwa „darunter befindlich“, „unter“, „untere(r)“/„unteres“, „darüber befindlich“, „obere(r)“/„oberes“ und dergleichen, zur einfachen Beschreibung der Beziehung eines Elements oder einer Struktur zu einem oder mehreren anderen Elementen oder Strukturen verwendet werden, die in den Figuren dargestellt sind. Die räumlich relativen Begriffe sollen zusätzlich zu der in den Figuren dargestellten Orientierung andere Orientierungen der in Gebrauch oder in Betrieb befindlichen Vorrichtung umfassen. Die Vorrichtung kann anders ausgerichtet werden (um 90 Grad gedreht oder in einer anderen Orientierung), und die räumlich relativen Deskriptoren, die hier verwendet werden, können ebenso entsprechend interpretiert werden.
  • 1 ist ein beispielhaftes Verfahren M1 zur Herstellung einer Halbleiterstruktur gemäß einigen Ausführungsformen der vorliegenden Erfindung. Das Verfahren M1 umfasst einen relevanten Teil des gesamten Herstellungsprozesses. Es ist klar, dass weitere Schritte vor, während und nach den in 1 gezeigten Schritten vorgesehen werden können und einige der nachstehend beschriebenen Schritte bei weiteren Ausführungsformen des Verfahrens ersetzt oder weggelassen werden können. Die Reihenfolge der Schritte/Prozesse ist austauschbar.
  • Die 2A bis 10B zeigen verschiedene Zwischenstufen des Verfahrens M1 gemäß einigen Ausführungsformen. Figuren mit dem Buchstaben A stellen eine Draufsicht dar, und Figuren mit dem Buchstaben B stellen eine Schnittansicht entlang einer Linie B - B der jeweiligen Figur mit dem Buchstabe A dar.
  • Das Verfahren M1 beginnt mit einem Block S11, in dem eine strukturierte Fotomaske über einer Dornschicht über einer Target-Schicht eines Halbleitersubstrats hergestellt wird. In den 2A und 2B kann bei einigen Ausführungsformen des Blocks S11 eine Halbleiterstruktur 100 eine Zwischenstruktur eines Herstellungsprozesses für integrierte Schaltkreise sein. Bei einigen Ausführungsformen kann die Halbleiterstruktur 100 ein Substrat 102 aufweisen. Das Substrat 102 kann zum Beispiel massives Silizium, das dotiert oder undotiert ist, oder eine aktive Schicht eines Halbleiter-auf-Isolator-Substrats (SOI-Substrats) sein. Im Allgemeinen umfasst ein SOI-Substrat eine Schicht aus einem Halbleitermaterial, wie etwa Silizium, die auf einer Isolierschicht hergestellt ist. Die Isolierschicht kann zum Beispiel eine vergrabene Oxidschicht (BOX-Schicht) oder eine Siliziumoxidschicht sein. Die Isolierschicht wird auf einem Substrat hergestellt, wie etwa einem Silizium- oder Glassubstrat. Alternativ kann das Substrat 102 Folgendes umfassen: einen anderen elementaren Halbleiter, wie etwa Germanium; einen Verbindungshalbleiter, wie etwa Siliziumcarbid, Galliumarsen, Galliumphosphid, Indiumphosphid, Indiumarsenid und/oder Indiumantimonid; einen Legierungshalbleiter, wie etwa SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP und/oder GaInAsP; oder Kombinationen davon. Andere Substrate, wie etwa mehrschichtige oder Gradient-Substrate, können ebenfalls verwendet werden.
  • Bei einigen Ausführungsformen werden ein oder mehrere aktive und/oder passive Bauelemente 104 (die in 2B als ein einzelner Transistor dargestellt sind) auf dem Substrat 102 hergestellt. Das eine oder die mehreren aktiven und/oder passiven Bauelemente 104 können verschiedene n-Metall-Oxid-Halbleiter-Bauelemente (NMOS-Bauelemente) oder p-Metall-Oxid-Halbleiter-Bauelemente (PMOS-Bauelemente) sein, wie etwa Transistoren, Kondensatoren, Widerstände Dioden, Fotodioden, Sicherungen und dergleichen. Für eine bestimmte Anwendung können gegebenenfalls auch andere Schaltungen hergestellt werden.
  • Bei einigen Ausführungsformen wird eine Verbindungsstruktur 106 über dem einen oder den mehreren aktiven und/oder passiven Bauelementen 104 und dem Substrat 102 hergestellt. Die Verbindungsstruktur 106 verbindet das eine oder die mehreren aktiven und/oder passiven Bauelemente 104 miteinander elektrisch zu funktionellen elektrischen Schaltkreisen in der Halbleiterstruktur 100. Die Verbindungsstruktur 106 kann eine oder mehrere Metallisierungsschichten 108O bis 108M aufweisen, wobei M die Anzahl der einen oder mehreren Metallisierungsschichten ist, und in diesem Beispiel gibt es (M + 1) Metallisierungsschichten. Bei einigen Ausführungsformen kann sich der Wert von M entsprechend den Entwurfsspezifikationen für die Halbleiterstruktur 100 ändern. Nachstehend können die eine oder die mehreren Metallisierungsschichten 108O bis 108M kollektiv auch als eine oder mehrere Metallisierungsschichten 108 bezeichnet werden. Die Metallisierungsschichten 108O bis 108M weisen jeweils dielektrische Schichten 110O bis 110M auf. Die Metallisierungsschichten 1081 bis 108M-1 weisen jeweils dielektrische Schichten 1111 bis 111M-1 auf. Die dielektrischen Schichten 1111 bis 111M-1 werden über den entsprechenden dielektrischen Schichten 1101 bis 110M-1 hergestellt. Bei einigen Ausführungsformen kann die Herstellung der Verbindungsstruktur 106 als ein BEOL-Prozess (BEOL: Back End of Line) bezeichnet werden.
  • Bei einigen Ausführungsformen ist die dielektrische Schicht 110O eine ILD-Schicht (ILD: Zwischenschicht-Dielektrikum), und die dielektrischen Schichten 1101 bis 110M und die dielektrischen Schichten 1111 bis 111M-1 sind IMD-Schichten (IMD: Zwischenmetall-Dielektrikum). Die ILD-Schicht und die IMD-Schichten können dielektrische Low-k-Materialien aufweisen, die zwischen leitfähigen Strukturelementen angeordnet sind und k-Werte haben, die zum Beispiel kleiner als etwa 4,0 oder sogar 2,0 sind. Bei einigen Ausführungsformen können die ILD-Schicht und die IMD-Schichten zum Beispiel aus Phosphorsilicatglas (PSG), Borphosphorsilicatglas (BPSG), Fluorsilicatglas (FSG), SiOxCy, Aufschleuderglas, Aufschleuderpolymere, Silizium-Kohlenstoff-Material, Verbindungen davon, Verbundstoffe davon, Kombinationen davon oder dergleichen aufweisen, die mit einem geeigneten Verfahren abgeschieden werden, wie etwa Schleuderbeschichtung, chemische Aufdampfung (CVD), plasmaunterstützte CVD (PECVD) oder dergleichen.
  • Bei einigen Ausführungsformen weist die Metallisierungsschicht 108O leitfähige Stifte 112O durch die dielektrische Schicht 111O auf, und die Metallisierungsschichten 1081 bis 108M-1 weisen eine oder mehrere leitfähige Verbindungen auf, wie etwa leitfähige Leitungen 1141 bis 114M-1 jeweils in den dielektrischen Schichten 1111 bis 111M-1 und leitfähige Durchkontaktierungen 1161 bis 116M-1 jeweils in den dielektrischen Schichten 1101 bis 110M-1. Die leitfähigen Stifte 112O verbinden das eine oder die mehreren aktiven und/oder passiven Bauelemente 104 elektrisch mit den leitfähigen Leitungen 1141 bis 114M-1 und den leitfähigen Durchkontaktierungen 1161 bis 116M-1. Die Metallisierungsschicht 108M weist eine leitfähige Durchkontaktierung 116M durch die dielektrische Schicht 110M sowie eine leitfähige Schicht 120 auf, die in späteren Schritten als leitfähige Leitungen strukturiert werden soll.
  • Bei einigen Ausführungsformen können die leitfähigen Stifte 112O, die leitfähigen Leitungen 1141 bis 114M-1 und die leitfähigen Durchkontaktierungen 1161 bis 116M mit einem geeigneten Verfahren hergestellt werden, wie etwa einem Single-Damascene-Prozess, einem Dual-Damascene-Prozess oder dergleichen. Die leitfähigen Stifte 112O, die leitfähigen Leitungen 1141 bis 114M-1 und die leitfähigen Durchkontaktierungen 1161 bis 116M-1 können leitfähige Materialien aufweisen, wie etwa Kupfer, Aluminium, Wolfram, Kombinationen davon oder dergleichen. Bei einigen Ausführungsformen können die leitfähigen Stifte 112O, die leitfähigen Leitungen 1141 bis 114M-1 und die leitfähigen Durchkontaktierungen 1161 bis 116M weiterhin eine oder mehrere Sperr-/Haftschichten (nicht dargestellt) aufweisen, um die jeweiligen dielektrischen Schichten 110O bis 110M-1 und 111O bis 111M-1 gegen Diffusion und Verunreinigung durch Metalle zu schützen. Die eine oder die mehreren Sperr-/Haftschichten können Titan, Titannidrid, Tantal, Tantalnitrid oder dergleichen aufweisen und können durch physikalische Aufdampfung (PVD), CVD, ALD oder dergleichen hergestellt werden.
  • Die leitfähige Schicht 120 wird zum Beispiel durch PVD, CVD, ALD oder dergleichen hergestellt. Die leitfähige Schicht 120 kann das gleiche Material wie die leitfähigen Leitungen 1141 bis 114M-1 aufweisen. Die leitfähige Schicht 120 kann zum Beispiel leitfähige Materialien wie Kupfer, Aluminium, Titan, Wolfram, Kombinationen davon oder dergleichen aufweisen. Über der leitfähigen Schicht 120 wird eine Hartmaskenschicht 130 hergestellt. Die Hartmaskenschicht 130 und die leitfähige Schicht 120 werden strukturiert, wie später näher dargelegt wird. Durch den Strukturierungsprozess entstehen leitfähige Leitungen 210 bis 260 in einer leitfähigen Schicht 120' (in den 2A und 2B nicht dargestellt; siehe 10A und 10B). Die Strukturierung der leitfähigen Schicht 120 umfasst einen selbstjustierten Doppelstrukturierungsprozess (SADP-Prozess), der später näher erörtert, und somit kann die leitfähige Schicht 120 auch als eine Target-Schicht des SADP-Prozesses bezeichnet werden.
  • Bei einigen Ausführungsformen kann die Hartmaskenschicht 130 eine einschichtige Struktur oder eine mehrschichtige Struktur sein. Bei einigen Ausführungsformen, bei denen die Hartmaskenschicht 130 eine mehrschichtige Struktur ist, kann die Hartmaskenschicht 130 einen Antireflexbelag (ARC) über der leitfähigen Schicht 120, eine erste Maskenschicht über dem ARC und eine zweite Maskenschicht über der ersten Maskenschicht aufweisen.
  • Durch den ARC wird verhindert, dass in späteren fotolithografischen Prozessen Strahlung von darunter befindlichen Schichten reflektiert wird und den Belichtungsprozess beeinträchtigt. Bei einigen Ausführungsformen ist der ARC ein stickstofffreier ARC (NFARC), der aus einem siliziumreichen Oxid (SRO), Siliziumoxidcarbid oder dergleichen oder einer Kombination davon besteht, und er wird durch CVD, PECVD oder dergleichen oder eine Kombination davon hergestellt. Der ARC kann auch als eine Ätzstoppschicht (ESL) verwendet werden, um die Strukturierung der darauf befindlichen ersten und zweiten Maskenschicht zu unterstützen. Bei einigen Ausführungsformen kann die erste Maskenschicht eine metallische Hartmaskenschicht sein und die zweite Maskenschicht kann eine dielektrische Hartmaskenschicht sein. Die erste Maskenschicht kann Titannidrid, Titanoxid oder dergleichen oder eine Kombination davon aufweisen und kann durch CVD, PVD, ALD oder dergleichen oder eine Kombination davon hergestellt werden. Die zweite Maskenschicht kann Tetraethylorthosilicat (TEOS), mit Kohlenstoff dotiertes Siliziumoxid (SiCOH), SiOxCy oder dergleichen oder eine Kombination davon aufweisen und kann durch Schleuderbeschichtung, CVD, ALD oder dergleichen oder eine Kombination davon hergestellt werden.
  • Über der Hartmaskenschicht 130 wird eine Dornschicht 140 hergestellt. Die Dornschicht 140 kann zum Herstellen von Dornen (in den 2A und 2B nicht dargestellt; siehe 3A und 3B) verwendet werden. Die Dornschicht 140 kann ein Maskierungsmaterial, wie etwa Polysilizium, amorphes Silizium, amorpher Kohlenstoff, eine Metallschicht, wie etwa AlOxNy oder dergleichen, oder eine Kombination davon oder ein anderes Material sein, das strukturiert und selektiv entfernt werden kann. Die Dornschicht 140 kann durch CVD, ALD oder dergleichen oder eine Kombination davon hergestellt werden.
  • Über der Dornschicht 140 wird eine dreischichtige Fotoresistmaske 150 hergestellt, um die Dornschicht 140 in einem späteren Schritt zu strukturieren. Bei einigen Ausführungsformen weist die dreischichtige Fotoresistmaske 150 eine untere Schicht 160, eine mittlere Schicht 170 über der unteren Schicht 160 und eine obere Schicht 180 über der mittleren Schicht 170 auf. Bei einigen Ausführungsformen kann die untere Schicht 160 ein organisches Material, wie etwa Aufschleuderkohlenstoff (SOC) oder dergleichen, aufweisen, und sie kann durch Schleuderbeschichtung, CVD, ALD oder dergleichen hergestellt werden. Die mittlere Schicht 170 kann ein anorganisches Material, das ein Nitrid (wie etwa SiN, TiN, TaN oder dergleichen), ein Oxidnitrid (wie etwa SiON), ein Oxid (wie etwa Siliziumoxid) oder dergleichen sein kann, aufweisen und kann durch CVD, ALD oder dergleichen hergestellt werden. Die obere Schicht 180 kann ein organisches Material, wie etwa ein Fotoresistmaterial, aufweisen und kann durch Schleuderbeschichtung oder dergleichen hergestellt werden. Bei einigen Ausführungsformen hat die mittlere Schicht 170 eine höhere Ätzrate als die obere Schicht 180, und die obere Schicht 180 kann als eine Ätzmaske zum Strukturieren der mittleren Schicht 170 verwendet werden. Bei einigen Ausführungsformen hat die untere Schicht 160 eine höhere Ätzrate als die mittlere Schicht 170, und die mittlere Schicht 170 kann als eine Ätzmaske zum Strukturieren der unteren Schicht 160 verwendet werden.
  • Die obere Schicht 180 der dreischichtigen Fotoresistmaske 150 wird mit geeigneten fotolithografischen Verfahren strukturiert, um eine Mehrzahl von ersten Strukturen 182, zweiten Strukturen 184, dritten Strukturen 186 und vierten Strukturen 188 zu erzeugen. Bei einigen Ausführungsformen, bei denen die obere Schicht 180 ein Fotoresistmaterial aufweist, wird das Fotoresistmaterial bestrahlt (belichtet) und entwickelt, um Teile des Fotoresistmaterials zu entfernen. Zum Beispiel kann eine Fotomaske (nicht dargestellt) über der oberen Fotoresistschicht 180 angeordnet werden, die dann mit einem Strahlungsstrahl belichtet wird, der ein Ultraviolett(UV)-Strahl oder ein Excimerlaserstrahl, wie etwa ein Kryptonfluorid(KrF)-Excimerlaserstrahl oder ein Argonfluorid(ArF)-Excimerlaserstrahl, sein kann. Die Belichtung der oberen Fotoresistschicht 180 kann mit einer Immersionslithografie-Anlage durchgeführt werden, um die Auflösung zu erhöhen und den minimal erzielbaren Rasterabstand zu verringern. Zum Härten der oberen Fotoresistschicht 180 kann eine Härtung durchgeführt werden, und in Abhängigkeit davon, ob ein positives oder ein negatives Resist verwendet wird, kann ein Entwickler zum Entfernen der belichteten oder unbelichteten Teile der oberen Fotoresistschicht 180 verwendet werden. Auf diese Weise werden die ersten Strukturen 182, die zweiten Strukturen 184, die dritten Strukturen 186 und die vierten Strukturen 188, die in 2A gezeigt sind, in der oberen Fotoresistschicht 180 erzeugt.
  • Wie in 2A gezeigt ist, sind die ersten und die zweiten Strukturen 182 und 184 unmittelbar zueinander benachbart angeordnet. Anders ausgedrückt, die dritten und die vierten Strukturen 186 und 188 sind nicht zwischen den ersten und den zweiten Strukturen 182 und 184 angeordnet. Die dritte Struktur 186 ähnelt einem Streifen, der in der x-Richtung verläuft, und die vierte Struktur 188 ähnelt einem Streifen, der kürzer als die dritte Struktur 186 in der x-Richtung ist. Somit kann die dritte Struktur 186 als eine lange Streifenstruktur bezeichnet werden, und die vierte Struktur 188 kann als eine kurze Streifenstruktur bezeichnet werden. Die ersten und die zweiten Strukturen 182 und 184 haben andere Formen als die Streifenstrukturen 186 und 188. Die Formen der ersten und der zweiten Strukturen 182 und 184 sind so konzipiert, dass sie fotoresistfreie Bereiche R11 und R13 in der strukturierten Fotoresistschicht 180 bilden, wie später näher dargelegt wird.
  • Bei einigen Ausführungsformen weist die erste Struktur 182 einen ersten Teil 182a sowie einen zweiten Teil 182b und einen dritten Teil 182c auf, die sich in der x-Richtung von gegenüberliegenden Seitenwänden des ersten Teils 182a erstrecken. Der erste Teil 182a ähnelt einer rechteckigen Insel und kann daher als eine Fotoresist-Insel 182a bezeichnet werden, und der zweite und der dritte Teil 182b und 182c ähneln Streifen, die sich von diagonalen Ecken der rechteckigen Fotoresist-Insel 182a erstrecken, und sie können daher als Fotoresiststreifen 182b und 182c bezeichnet werden. Die zweite Struktur 184 ist in einer Draufsicht über der ersten Struktur 182 angeordnet und hat im Wesentlichen die gleiche Form wie die erste Struktur 182. Insbesondere weist die zweite Struktur 184 eine Fotoresist-Insel 184a sowie Fotoresiststreifen 184b und 184c auf, die sich jeweils in der x-Richtung von gegenüberliegenden Seitenwänden der Fotoresist-Insel 184a erstrecken.
  • Wie in 2A gezeigt ist, hat die Fotoresist-Insel 182a der ersten Struktur 182 eine Länge L112 in der x-Richtung, der Fotoresiststreifen 182b der ersten Struktur 182 hat eine Länge L114 in der x-Richtung, und der Streifenteil 184b der zweiten Struktur 184 hat eine Länge L124 in der x-Richtung. Eine Summe aus der Länge L112 der Fotoresist-Insel 182a und der Länge L114 des Fotoresiststreifens 182b ist kleiner als die Länge L124 des Fotoresiststreifens 184b der zweiten Struktur 184. Auf diese Weise weist ein Bereich R13 vertikal zwischen dem Fotoresiststreifen 184b der zweiten Struktur 184 und dem Fotoresiststreifen 182c der ersten Struktur 182 und horizontal zwischen den Fotoresist-Inseln 182a und 184a der ersten und der zweiten Struktur 182 und 184 keine weiteren Fotoresiststrukturen auf, und daher kann der Bereich R13 als ein Fotoresist-freier Bereich R13 bezeichnet werden. Der Fotoresist-freie Bereich R13 hat eine Länge L113 in der x-Richtung und eine Breite W113 in der y-Richtung. Bei einigen Ausführungsformen beträgt die Länge L113 des Fotoresist-freien Bereichs R13 etwa 200 nm bis etwa 1000 nm, und die Breite W113 beträgt ebenfalls etwa 200 nm bis etwa 1000 nm. Bei einigen Ausführungsformen hat der Fotoresist-freie Bereich R11 die gleiche Größe wie der Fotoresist-freie Bereich R13.
  • Die Fotoresist-Insel 184a der zweiten Struktur 184 hat eine Länge L122 in der x-Richtung, und der Fotoresiststreifen 186 hat eine Länge L132 in der x-Richtung. Eine Summe aus der Länge L122 der Fotoresist-Insel 184a und der Länge L124 des Fotoresiststreifens 184b ist kleiner als die Länge L132 des Fotoresiststreifens 186. Auf diese Weise weist ein Bereich R11 vertikal zwischen den Fotoresiststreifen 186 und 184c keine weiteren Fotoresiststrukturen auf, und daher kann der Bereich R11 als ein Fotoresist-freier Bereich R11 bezeichnet werden.
  • Die Fotoresist-Insel 182a hat eine Breite W112 in der y-Richtung, die Fotoresiststreifen 182b und 182c haben eine Breite W114 bzw. W116 in der y-Richtung, und die Fotoresiststreifen 186 und 188 haben eine Breite W132 bzw. W142 in der y-Richtung. Je zwei benachbarte der Fotoresiststreifen 186 und 188 und der Fotoresiststreifen 182b und 184b sind durch einen Abstand S1 getrennt. Bei einigen Ausführungsformen ist die Breite W112 der Fotoresist-Insel 182a größer als die Breiten W114 und W116 der Fotoresiststreifen 182b und 182c, die Breiten W132 und W142 der Fotoresiststreifen 186 und 188 und der Abstand S1. Bei den dargestellten Ausführungsformen ist zum Beispiel die Breite W112 der Fotoresist-Insel 182a größer als eine Summe von (W114 + 3 · W142 + 4· S1), um den Fotoresist-freien Bereich R13 mit einer geeigneten Größe herzustellen. Ebenso hat die Fotoresist-Insel 184a der zweiten Struktur 184 eine Breite W122 in der y-Richtung, und die Breite W122 ist größer als eine Summe von (W114 + 3 · W142 + 4· S1), um den Fotoresist-freien Bereich R11 mit einer geeigneten Größe herzustellen. Bei einigen Ausführungsformen beträgt die Breite W112 der Fotoresist-Insel 182a etwa 200 nm bis etwa 1000 nm, die Breite W114 des Fotoresiststreifens 182b beträgt etwa 10 nm bis etwa 50 nm, die Breite W116 des Fotoresiststreifens 182c beträgt etwa 10 nm bis etwa 50 nm, die Breite W132 des Fotoresiststreifens 186 beträgt etwa 10 nm bis etwa 50 nm, die Breite W142 des Fotoresiststreifens 188 beträgt etwa 10 nm bis etwa 50 nm, die Breite W122 der Fotoresist-Insel 184a beträgt etwa 200 nm bis etwa 1000 nm, und der Abstand S1 beträgt etwa 30 nm bis etwa 50 nm. Bei einigen Ausführungsformen sind die Breiten W114, W116, W132 und W142 der Fotoresiststreifen 182b, 182c, 186 und 188 im Wesentlichen gleichgroß. Bei einigen Ausführungsformen ist die Breite W112 der Fotoresist-Insel 182a im Wesentlichen gleich der Breite W122 der Fotoresist-Insel 184a.
  • Bei einigen Ausführungsformen haben die Fotoresiststrukturen 182 bis 188 abgerundete (oder gewölbte) Ecken und abgerundete Enden, die aus dem fotolithografischen Prozess resultieren. Zum Beispiel weist die erste Struktur 182 Folgendes auf: eine abgerundete Ecke C111, die eine x-Richtung-Seitenwand SW11 und eine y-Richtung-Seitenwand SW12 der Fotoresist-Insel 182a verbindet; eine abgerundete Ecke C112, die eine andere y-Richtung-Seitenwand SW13 der Fotoresist-Insel 182a und eine x-Richtung-Seitenwand SW14 des Fotoresiststreifens 182b verbindet; eine abgerundete Ecke C113, die die y-Richtung-Seitenwand SW12 der Fotoresist-Insel 182a und eine x-Richtung-Seitenwand SW15 des Fotoresiststreifens 182c verbindet; und eine abgerundete Ecke C114, die die y-Richtung-Seitenwand SW13 und eine andere x-Richtung-Seitenwand SW16 der Fotoresist-Insel 182a verbindet. Bei einigen Ausführungsformen weist die zweite Struktur 184 abgerundete Ecken C121, C122, C123 und C124 auf, die den einzelnen abgerundeten Ecken C111 bis C114 ähnlich sind, und der Kürze halber wird die Beschreibung der abgerundeten Ecken C121 bis C124 hier nicht wiederholt.
  • Darüber hinaus hat der Fotoresiststreifen 182b ein abgerundetes Ende C115, das von der Fotoresist-Insel 182a entfernt ist, und der Fotoresiststreifen 182c hat ebenfalls ein abgerundetes Ende (nicht dargestellt), das von der Fotoresist-Insel 182a entfernt ist. Der Fotoresiststreifen 184b hat ein abgerundetes Ende C125, das von der Fotoresist-Insel 184a entfernt ist, und der Fotoresiststreifen 184c hat ebenfalls ein abgerundetes Ende (nicht dargestellt), das von der Fotoresist-Insel 184a entfernt ist. Die Streifenstrukturen 186 und 188 haben ein abgerundetes Ende C135 bzw. C145.
  • Kommen wir zu 1 zurück, in der das Verfahren M1 mit einem Block S12 weitergeht, in dem die Dornschicht unter Verwendung einer strukturierten Fotomaske strukturiert wird. In den 3A und 3B wird bei einigen Ausführungsformen des Blocks S12 ein Strukturierungsprozess an der Dornschicht 140 durchgeführt, um die erste bis vierte Struktur 182, 184, 186 und 188 in der Fotoresistschicht 180 der dreischichtigen Fotoresistmaske 150 (siehe 2A und 2B) auf die Dornschicht 140 zu übertragen, sodass ein erster bis vierter Dorn 142, 144, 146 und 148 in der strukturierten Dornschicht 140' entstehen. Bei einigen Ausführungsformen umfasst der Strukturierungsprozess einen oder mehrere Ätzprozesse, bei denen die dreischichtige Fotoresistmaske 150 als eine Ätzmaske verwendet wird. Der eine oder die mehreren Ätzprozesse können Nassätzprozesse, anisotrope Trockenätzprozesse oder Kombinationen davon sein. Bei einigen Ausführungsformen wird die Dornschicht 140 mit einem Trockenätzprozess unter Verwendung von Ätzprozessgasen wie O2, Cl2, HBr, He, NF3, CO2, CxHyFz, Ar, N2, H2 oder dergleichen oder einer Kombination davon strukturiert. Während des Strukturierungsprozesses können die obere Schicht 180, die mittlere Schicht 170 und die untere Schicht 160 der dreischichtigen Fotoresistmaske 150 aufgezehrt werden. Wenn ein Rückstand der oberen Schicht 180, der mittleren Schicht 170 und der unteren Schicht 160 der dreischichtigen Fotoresistmaske 150 über der strukturierten Dornschicht 140' nach dem Strukturierungsprozess zurückbleibt, kann dieser Rückstand ebenfalls entfernt werden.
  • Da die Strukturen der dreischichtigen Fotoresistmaske 150 auf die darunter befindliche Dornschicht 140 übertragen werden, haben der resultierende erste bis vierte Dorn 142 bis 148 in der strukturierten Dornschicht 140' im Wesentlichen gleiche Formen, Größen und Abstände wie eine jeweilige der ersten bis vierten Struktur 182 bis 188 in der oberen Schicht 180 der dreischichtigen Fotoresistmaske 150 (siehe 2A und 2B), wie später näher dargelegt wird.
  • Wie in 3A gezeigt ist, sind der erste und der zweite Dorn 142 und 144 unmittelbar zueinander benachbart angeordnet. Anders ausgedrückt, zwischen dem ersten und dem zweiten Dorn 142 und 144 sind nicht der dritte und der vierte Dorn 146 und 148 angeordnet. Der dritte Dorn 146 ähnelt einem Streifen, der in der x-Richtung verläuft, und der vierte Dorn 148 ähnelt einem Streifen, der kürzer als der dritte Dorn 146 in der x-Richtung ist. Daher kann der dritte Dorn 146 als ein langer Dornstreifen bezeichnet werden, und der vierte Dorn 148 kann als einer kurzer Dornstreifen bezeichnet werden. Der erste und der zweite Dorn 142 und 144 haben andere Formen als die Dornstreifen 146 und 148. Die Formen des ersten und des zweiten Dorns 142 und 144 sind so konzipiert, dass dornfreie Bereiche R21 und R23 in der strukturierten Dornschicht 140' entstehen, wie später näher dargelegt wird.
  • Bei einigen Ausführungsformen weist der erste Dorn 142 eine rechteckige Dorn-Insel 142a und Dornstreifen 142b und 142c auf, die sich in der x-Richtung jeweils von diagonalen Ecken der rechteckigen Dorn-Insel 142a erstrecken. Insbesondere erstreckt sich der x-Richtung-Dornstreifen 142b von einer y-Richtung-Seitenwand SW23 der Dorn-Insel 142a, und der x-Richtung-Dornstreifen 142c erstreckt sich von einer y-Richtung-Seitenwand SW22 der Dorn-Insel 142a, die von der y-Richtung-Seitenwand SW23 weg zeigt. Die Dornstreifen 142b und 142c sind voneinander versetzt. Ebenso weist der zweite Dorn 144 eine rechteckige Dorn-Insel 144a und Dornstreifen 144b und 144c auf, die sich in der x-Richtung jeweils von diagonalen Ecken der rechteckigen Dorn-Insel 144a erstrecken.
  • Wie in 3A gezeigt ist, hat die rechteckige Dorn-Insel 142a eine Länge L212 in der x-Richtung, der Dornstreifen 142b hat eine Länge L214 in der x-Richtung, und der Dornstreifen 144b hat eine Länge L224 in der x-Richtung. Eine Summe aus der Länge L212 der Dorn-Insel 142a und der Länge L214 des Dornstreifens 142b ist kleiner als die Länge L224 des Dornstreifens 144b. Auf diese Weise weist ein Bereich R23 vertikal zwischen dem Dornstreifen 144b und dem Dornstreifen ... und horizontal zwischen den Dorn-Inseln 142a und 144a keine Dornmaterialien auf, und daher kann der Bereich R23 als ein dornfreier Bereich R23 bezeichnet werden. Da der dornfreie Bereich R23 die Struktur des Fotoresist-freien Bereichs R13 (der in 2A gezeigt ist) übernimmt, hat der dornfreie Bereich R23 im Wesentlichen die gleiche Größe wie der Fotoresist-freie Bereich R13.
  • Die Dorn-Insel 144a hat eine Länge L222 in der x-Richtung, und der Dornstreifen 146 hat eine Länge L232 in der x-Richtung. Eine Summe aus der Länge L222 der Dorn-Insel 144a und der Länge L224 des Dornstreifens 144b ist kleiner als die Länge L232 des Dornstreifens 146. Auf diese Weise weist ein Bereich R21 vertikal zwischen dem Dornstreifen 146 und dem Dornstreifen 144c keine Dornmaterialien auf, und daher kann der Bereich R21 als ein dornfreier Bereich R21 bezeichnet werden. Da der dornfreie Bereich R21 die Struktur des Fotoresist-freien Bereichs R11 (der in 2A gezeigt ist) übernimmt, hat der dornfreie Bereich R21 im Wesentlichen die gleiche Größe wie der Fotoresist-freie Bereich R11.
  • Die Dorn-Insel 142a hat eine Breite W212 in der y-Richtung, die Dornstreifen 142b und 142c haben eine Breite W214 bzw. W216 in der y-Richtung, und die Dornstreifen 146 und 148 haben eine Breite W232 bzw. W242 in der y-Richtung. Je zwei benachbarte der Dornstreifen 142b, 144b, 146 und 148 sind durch einen Abstand S2 getrennt. Bei einigen Ausführungsformen ist die Breite W212 der Dorn-Insel 142a größer als die Breiten W214 und W216 der Dornstreifen 142b und 142c, die Breiten W232 und W242 der Dornstreifen 146 und 148 und der Abstand S2. Bei den dargestellten Ausführungsformen ist zum Beispiel die Breite W212 der Dorn-Insel 142a größer als eine Summe von (W214 + 3 · W242 + 4 · S1), um den dornfreien Bereich R23 mit einer Breite in der y-Richtung herzustellen, die größer als eine Summe von (W214 + 3· W242 + 4· S1) ist. In ähnlicher Weise hat die Dorn-Insel 144a eine Breite W222 in der y-Richtung, wobei die Breite W222 größer als die Summe von (W114 + 3 · W142 +4 · S1) ist, um den Fotoresist-freien Bereich R21 mit einer geeigneten Größe herzustellen. Bei einigen Ausführungsformen beträgt die Breite W212 der Dorn-Insel 142a etwa 200 nm bis etwa 1000 nm, die Breite W214 des Dornstreifens 142b beträgt etwa 10 nm bis etwa 50 nm, die Breite W216 des Dornstreifens 1,42e beträgt etwa 10 nm bis etwa 50 nm, die Breite W232 des Dornstreifens 146 beträgt etwa 10 nm bis etwa 50 nm, die Breite W242 des Dornstreifens 148 beträgt etwa 10 nm bis etwa 50 nm, die Breite W222 der Dorn-Insel 144a beträgt etwa 200 nm bis etwa 1000 nm, und der Abstand S2 beträgt etwa 30 nm bis etwa 50 nm. Bei einigen Ausführungsformen sind die Breiten W214, W216, W232 und W242 der Dornstreifen 142b, 142c, 146 und 148 im Wesentlichen gleichgroß. Bei einigen Ausführungsformen ist die Breite W212 der Dorn-Insel 142a im Wesentlichen gleich der Breite W222 der Dorn-Insel 184a.
  • Bei einigen Ausführungsformen ist ein Abstand zwischen den Dornstreifen 142b und 144b von einem Abstand zwischen den Dornstreifen 142c und 144b verschieden. Insbesondere ist der Abstand zwischen den Dornstreifen 142b und 144b kleiner als der Abstand zwischen den Dornstreifen 142c und 144b. Ebenso ist ein Abstand zwischen den Dornstreifen 144b und 146 von einem Abstand zwischen den Dornstreifen 144c und 146 verschieden. Insbesondere ist der Abstand zwischen den Dornstreifen 144b und 146 kleiner als der Abstand zwischen den Dornstreifen 144c und 146.
  • Bei einigen Ausführungsformen haben die Dorne 142 bis 148 abgerundete (oder gewölbte) Ecken und abgerundete Enden, die den abgerundeten Ecken und den abgerundeten Enden der Fotoresiststrukturen 182 bis 188 in der Fotoresistschicht 180 (siehe 2A und 2B) weitgehend gleichen. Zum Beispiel weist der erste Dorn 142 Folgendes auf: eine abgerundete Ecke C211, die eine x-Richtung-Seitenwand SW21 und eine y-Richtung-Seitenwand SW22 der Dorn-Insel 142a verbindet; eine abgerundete Ecke C212, die eine andere y-Richtung-Seitenwand SW23 der Dorn-Insel 142a und eine x-Richtung-Seitenwand SW24 des Dornstreifens 142b verbindet; eine abgerundete Ecke C213, die die y-Richtung-Seitenwand SW22 der Dorn-Insel 142a und eine x-Richtung-Seitenwand SW25 des Dornstreifens 142c verbindet; und eine abgerundete Ecke C214, die die y-Richtung-Seitenwand SW23 und eine andere x-Richtung-Seitenwand SW26 der Dorn-Insel 142a verbindet. Der zweite Dorn 144 weist abgerundete Ecken C221, C222, C223 und C224 auf, die den einzelnen abgerundeten Ecken C211 bis C214 ähnlich sind, und der Kürze halber wird die Beschreibung der abgerundeten Ecken C221 bis C224 hier nicht wiederholt.
  • Darüber hinaus hat der Dornstreifen 142b ein abgerundetes Ende C215, das von der Dorn-Insel 142a entfernt ist, und der Dornstreifen 142c hat ebenfalls ein abgerundetes Ende (nicht dargestellt), das von der Dorn-Insel 142a entfernt ist. Der Dornstreifen 144b hat ein abgerundetes Ende C225, das von der Dorn-Insel 144a entfernt ist, und der Dornstreifen 144c hat ebenfalls ein abgerundetes Ende (nicht dargestellt), das von der Dorn-Insel 144a entfernt ist. Die Streifenstrukturen 146 und 148 haben ein abgerundetes Ende C235 bzw. C245.
  • Kommen wir zu 1 zurück, in der das Verfahren M1 mit einem Block S13 weitergeht, in dem Abstandshalter um die jeweiligen Dorne hergestellt werden. In den 4A und 4B werden bei einigen Ausführungsformen des Blocks S13 erste ringförmige Abstandshalter 192 um die jeweiligen ersten Dorne 142 hergestellt, zweite ringförmige Abstandshalter 194 werden um die jeweiligen zweiten Dorne 144 hergestellt, dritte ringförmige Abstandshalter 196 werden um die jeweiligen dritten Dorne 146 hergestellt, und vierte ringförmige Abstandshalter 198 werden um die jeweiligen vierten Dorne 148 hergestellt. Die Abstandshalter 192 bis 198 können ein Oxid (wie etwa Siliziumoxid, Aluminiumoxid, Titanoxid oder dergleichen), ein Nitrid (wie etwa SiN, Titannidrid oder dergleichen), ein Oxidnitrid (wie etwa SiON oder dergleichen), ein Oxidcarbid (wie etwa SiOC oder dergleichen), ein Carbonitrid (wie etwa SiCN oder dergleichen) oder dergleichen oder eine Kombination davon aufweisen.
  • Die Herstellung der Abstandshalter 192 bis 198 kann zum Beispiel das konforme Abscheiden einer Abstandshalterschicht über den Dornen 142, 144, 146 und 148 und das Durchführen eines anisotropen Trockenätzprozesses zum Entfernen von horizontalen Teilen der Abstandshalterschicht von Oberseiten der Dorne 142,144,146 und 148 und der Hartmaskenschicht 130 umfassen. Teile der Abstandshalterschicht, die auf den Seitenwänden der Dorne 142, 144, 146 und 148 verbleiben, bilden die Abstandshalter 192 bis 198. Bei einigen Ausführungsformen wird die Abstandshalterschicht mit einem Trockenätzprozess unter Verwendung von Ätzprozessgasen wie O2, CxHyFz, N2, H2, HBr, Cl2, He oder dergleichen oder einer Kombination davon strukturiert.
  • Der erste ringförmige Abstandshalter 192 ist mit der Seitenwand des ersten Dorns 142 konform, wie in 4A gezeigt ist. Insbesondere weist der erste ringförmige Abstandshalter 192 zwei erste Abstandshalterstreifen 192a und 192b, die in der x-Richtung verlaufen, und ein abgerundetes Ende C315 auf, das die ersten Abstandshalterstreifen 192a und 192b verbindet. Der erste Abstandshalterstreifen 192a hat eine Länge, die größer als eine Länge des Abstandshalterstreifens 192b in der x-Richtung ist. Der erste ringförmige Abstandshalter 192 weist außerdem Folgendes auf: zwei zweite Abstandshalterstreifen 192d und 192e, die in der y-Richtung verlaufen; eine abgerundete Ecke C311, die den ersten und den zweiten Abstandshalterstreifen 192a und 192d, die senkrecht zueinander sind, verbindet; und eine abgerundete Ecke C312, die den ersten und den zweiten Abstandshalterstreifen 192b und 192e, die senkrecht zueinander sind, verbindet. Der zweite Abstandshalterstreifen 192e hat eine Länge, die größer als eine Länge des zweiten Abstandshalterstreifens 192d in der y-Richtung ist. Der erste ringförmige Abstandshalter 192 weist außerdem Folgendes auf: zwei dritte Abstandshalterstreifen 192f und 192g, die in der x-Richtung verlaufen; eine abgerundete Ecke C313, die im Wesentlichen diagonal zu der abgerundeten Ecke C312 ist und den zweiten und den dritten Abstandshalterstreifen 192d und 192f, die senkrecht zueinander sind, verbindet; und eine abgerundete Ecke C314, die im Wesentlichen diagonal zu der abgerundeten Ecke C311 ist und den zweiten und den dritten Abstandshalterstreifen 192e und 192g, die senkrecht zueinander sind, verbindet. Im Gegensatz zu einem herkömmlichen Ansatz, bei dem Dorne so hergestellt werden, dass sie einheitliche gerade Streifenformen haben (z. B. der dritte und der vierte Dorn 146 und 148), haben einige Dorne bei dem vorliegenden Ansatz eine Z-Form (z. B. der erste und der zweite Dorn 142 und 144), bei der zwei relativ schmalere Streifendorne durch einen relativ breiten Inseldorn verbunden sein können. Somit können die Abstandshalter, die entlang Seitenwänden der Z-förmigen Dorne hergestellt werden, eine Z-Form haben, bei der zwei seitliche gerade Streifen (z. B. 192b und 192g) durch einen vertikalen geraden Streifen (z. B. 192e) verbunden sein können.
  • In ähnlicher Weise ist der zweite ringförmige Abstandshalter 194 mit der Seitenwand des ersten Dorns 144 konform. Insbesondere weist der zweite ringförmige Abstandshalter 194 zwei erste Abstandshalterstreifen 194a und 194b, die in der x-Richtung verlaufen, und ein abgerundetes Ende C325 auf, das die ersten Abstandshalterstreifen 194a und 194b verbindet. Der erste Abstandshalterstreifen 194a hat eine Länge, die größer als eine Länge des Abstandshalterstreifens 194b in der x-Richtung ist. Der zweite ringförmige Abstandshalter 194 weist außerdem Folgendes auf: zwei zweite Abstandshalterstreifen 194d und 194e, die in der y-Richtung verlaufen; eine abgerundete Ecke C321, die den ersten und den zweiten Abstandshalterstreifen 194a und 194d, die senkrecht zueinander sind, verbindet; und eine abgerundete Ecke C322, die den ersten und den zweiten Abstandshalterstreifen 194b und 194e, die senkrecht zueinander sind, verbindet. Der zweite Abstandshalterstreifen 194e hat eine Länge, die größer als eine Länge des zweiten Abstandshalterstreifens 194d in der y-Richtung ist. Der zweite ringförmige Abstandshalter 194 weist außerdem Folgendes auf: zwei dritte Abstandshalterstreifen 194f und 194g, die in der x-Richtung verlaufen; eine abgerundete Ecke C323, die im Wesentlichen diagonal zu der abgerundeten Ecke C322 ist und den zweiten und den dritten Abstandshalterstreifen 194d und 194f, die senkrecht zueinander sind, verbindet; und eine abgerundete Ecke C324, die im Wesentlichen diagonal zu der abgerundeten Ecke C321 ist und den zweiten und den dritten Abstandshalterstreifen 194e und 194g, die senkrecht zueinander sind, verbindet.
  • Der dritte ringförmige Abstandshalter 196 ist mit der Seitenwand des dritten Dorns 146 konform, wie in 4A gezeigt ist. Insbesondere weist der dritte ringförmige Abstandshalter 196 zwei lange Abstandshalterstreifen 196a und 196b, die in der x-Richtung verlaufen, und abgerundete Enden C335 auf, die die Abstandshalterstreifen 196a und 196b verbinden. In ähnlicher Weise ist der vierte ringförmige Abstandshalter 198 konform mit der Seitenwand des vierten Dorns 148, und er weist zwei kurze Abstandshalterstreifen 198a und 198b, die in der x-Richtung verlaufen, und abgerundete Enden C345 auf, die die kurzen Abstandshalterstreifen 198a und 198b verbinden. Die kurzen Abstandshalterstreifen 198a und 198b sind kürzer als die langen Abstandshalterstreifen 196a und 196b, da die kurzen Dorne 148 kürzer als die langen Dorne 146 sind.
  • Der x-Richtung-Abstandshalterstreifen 194b des zweiten ringförmigen Abstandshalters 194 ist länger als der x-Richtung-Abstandshalterstreifen 192a des ersten ringförmigen Abstandshalters 192 in der x-Richtung, sodass der y-Richtung-Abstandshalterstreifen 192d des ersten ringförmigen Abstandshalters 192 von dem y-Richtung-Abstandshalterstreifen 194e des zweiten ringförmigen Abstandshalters 194 beabstandet sein kann. Dadurch weist ein Bereich R33 zwischen den x-Richtung-Abstandshalterstreifen 194b und 192f und zwischen den y-Richtung-Abstandshalterstreifen 192d und 194e keine weiteren Abstandshalter auf, und somit kann der Bereich R33 als ein Abstandshalter-freier Bereich R33 bezeichnet werden. Ebenso weist ein Bereich R31 zwischen dem langen Abstandshalterstreifen 196b und dem x-Richtung-Abstandshalterstreifen 194f keine weiteren Abstandshalter auf, und somit kann der Bereich R31 als ein Abstandshalter-freier Bereich R31 bezeichnet werden.
  • Kommen wir zu 1 zurück, in der das Verfahren M1 zu einem Block S14 weitergeht, in dem die Dorne entfernt werden. Bei einigen Ausführungsformen des Blocks S14 werden die Dorne 142, 144, 146 und 148 zum Beispiel mit einem Plasmaätzprozess entfernt, aber es kann auch ein anderes geeignetes Ätzverfahren verwendet werden. Die resultierende Struktur ist in den 5A und 5B gezeigt. Bei einigen Ausführungsformen hat der Plasmaätzprozess eine hohe Ätzselektivität für das Dornmaterial, sodass die Dorne 142 bis 148 entfernt werden können, während die ringförmigen Abstandshalter 192 bis 198 und die Hartmaskenschicht 130 weitgehend unbeschädigt bleiben. Beispielhafte Ätzmittel für die selektive Ätzung der Dorne sind O2, CO2, CxHyFz, Ar, N2, H2 oder dergleichen oder eine Kombination davon.
  • Wie in 5A gezeigt ist, weist ein Bereich R32 zwischen den x-Richtung-Abstandshalterstreifen 194a und 192g und zwischen den y-Richtung-Abstandshalterstreifen 194d und 194e keine weiteren Abstandshalter auf, und somit kann der Bereich R32 als ein Abstandshalter-freier Bereich R32 bezeichnet werden. Ebenso weist ein Bereich R34 zwischen den x-Richtung-Abstandshalterstreifen 192a und 192g und zwischen den y-Richtung-Abstandshalterstreifen 192d und 192e keine weiteren Abstandshalter auf, und somit kann der Bereich R34 als ein Abstandshalter-freier Bereich R34 bezeichnet werden.
  • Der Abstandshalter-freie Bereich R32 hat eine Breite in der y-Richtung, die im Wesentlichen gleich der Breite W222 des rechteckigen Dornteils 144a (siehe 3A) ist, und eine Länge in der x-Richtung, die im Wesentlichen gleich der Länge L222 des rechteckigen Dornteils 144a ist. Ebenso hat der Bereich R34 hat eine Breite in der y-Richtung, die im Wesentlichen gleich der Breite W212 des rechteckigen Dornteils 142a (siehe 3A) ist, und eine Länge in der x-Richtung, die im Wesentlichen gleich der Länge L212 des rechteckigen Dornteils 142a ist.
  • Kommen wir zu 1 zurück, in der das Verfahren M1 zu einem Block S15 weitergeht, in dem eine strukturierte Maske über den Teilen der Abstandshalter so hergestellt wird, dass Enden der Abstandshalter freiliegen. In den 6A und 6B wird bei einigen Ausführungsformen des Blocks S15 eine Maskenschicht ML1 (z. B. ein Fotoresist) über den ringförmigen Abstandshaltern 192 bis 198 hergestellt. Die Maskenschicht ML1 wird dann (z. B. mit einem fotolithografischen Prozess) so strukturiert, dass Öffnungen O1 und O2 entstehen, die Enden der ringförmigen Abstandshalter 192 bis 198 freilegen. Zum Beispiel legt die Öffnung O1 abgerundeten Enden C315, C325, C335 und C345 der entsprechenden ringförmigen Abstandshalter 192 bis 198 frei, und die Öffnungen O2 legen jeweils abgerundete Enden C345 der ringförmigen Abstandshalter 198 frei. Die Öffnungen O2 haben Längen, die kleiner als eine Länge der Öffnung O1 in der y-Richtung sind, sodass Abstandshalterstreifen nicht von den Öffnungen O2 freigelegt werden. Die Öffnung 01 hat eine Länge LO1 in der y-Richtung und eine Breite WO1 in der x-Richtung, und die Öffnung O2 hat eine Länge LO2 in der y-Richtung und eine Breite WO2 in der x-Richtung. Bei einigen Ausführungsformen beträgt die Länge LO1 der Öffnung O1 etwa 500 nm bis etwa 1000 nm, die Weite WO1 der Öffnung O1 beträgt etwa 100 nm bis etwa 200 nm, die Länge LO2 der Öffnung O2 beträgt etwa 200 nm bis etwa 500 nm, und die Breite WO2 der Öffnung O2 beträgt etwa 100 nm bis etwa 200 nm. Bei einigen Ausführungsformen ist die Weite WO1 der Öffnung 01 im Wesentlichen gleich der Weite WO2 der Öffnung O2.
  • Kommen wir zu 1 zurück, in der das Verfahren M1 zu einem Block S16 weitergeht, in dem ein Schneideprozess an den Abstandshaltern durchgeführt wird. Bei einigen Ausführungsformen kann der Schneideprozess ein Ätzprozess (z. B. eine Trockenätzung, eine Nassätzung oder Kombinationen davon) sein, der unter Verwendung der Maskenschicht ML1 als eine Ätzmaske durchgeführt wird, um die abgerundeten Enden C315, C325, C335 und C345 von den ringförmigen Abstandshaltern 192, 194, 196 und 198 zu entfernen. Anschließend wird auch die Maskenschicht ML1 entfernt. Die 7A und 7B zeigen das Ergebnis des Schneideprozesses gemäß einigen Ausführungsformen der vorliegenden Erfindung.
  • Die langen Abstandshalterstreifen 196a und 196b der Abstandshalter 196 (siehe 5A) werden durch den Schneideprozess getrennt und können der einfachen Erörterung halber als lange Abstandshalterstreifen 196' bezeichnet werden. Die kurzen Abstandshalterstreifen 198a und 198b der Abstandshalter 198 werden getrennt und können ebenfalls der einfachen Erörterung halber als kurze Abstandshalterstreifen 198' bezeichnet werden.
  • Nach dem Schneideprozess bleibt der x-Richtung-Abstandshalterstreifen 194a durch die abgerundete Ecke C321 mit einem oberen Ende des y-Richtung-Abstandshalterstreifens 194d verbunden, und ein unteres Ende des y-Richtung-Abstandshalterstreifens 194d bleibt durch die abgerundete Ecke C323 mit dem x-Richtung-Abstandshalterstreifen 194f verbunden. Mit anderen Worten, die x-Richtung-Abstandshalterstreifen 194a und 194f sind voneinander versetzt und sind durch den y-Richtung-Abstandshalterstreifen 194d verbunden. Die x-Richtung-Abstandshalterstreifen 194a und 19,4f und der y-Richtung-Abstandshalterstreifen 194d, der dazwischen angeordnet ist, werden gemeinsam als ein erster Abstandshalter 202 bezeichnet.
  • Ebenso bleibt nach dem Schneideprozess der x-Richtung-Abstandshalterstreifen 194b durch die abgerundete Ecke C322 mit einem oberen Ende des y-Richtung-Abstandshalterstreifens 194e verbunden, und ein unteres Ende des y-Richtung-Abstandshalterstreifens 194e bleibt durch die abgerundete Ecke C324 mit dem x-Richtung-Abstandshalterstreifen 194g verbunden. Die versetzten x-Richtung-Abstandshalterstreifen 194b und 194g und der dazwischen angeordnete y-Richtung-Abstandshalterstreifen 194e werden gemeinsam als ein zweiter Abstandshalter 204 bezeichnet.
  • In ähnlicher Weise werden die versetzten x-Richtung-Abstandshalterstreifen 192a und 192f und der dazwischen angeordnete y-Richtung-Abstandshalterstreifen 192d gemeinsam als ein dritter Abstandshalter 206 bezeichnet, und die versetzten x-Richtung-Abstandshalterstreifen 192b und 192g und der dazwischen angeordnete y-Richtung-Abstandshalterstreifen 192e werden gemeinsam als ein vierter Abstandshalter 208 bezeichnet.
  • Der erste, der zweite, der dritte und der vierte Abstandshalter 202 bis 208 sind der Reihe nach zwischen langen Abstandshalterstreifen 196' angeordnet. Der Bereich R31 befindet sich zwischen dem x-Richtung-Abstandshalterstreifen 194f des ersten Abstandshalters 202 und dem langen Abstandshalterstreifen 196'. Der Bereich R32 befindet sich zwischen dem x-Richtung-Abstandshalterstreifen 194a des ersten Abstandshalters 202 und dem x-Richtung-Abstandshalterstreifen 192g des zweiten Abstandshalters 204. Der Bereich R33 befindet sich zwischen dem x-Richtung-Abstandshalterstreifen 194b des zweiten Abstandshalters 204 und dem x-Richtung-Abstandshalterstreifen 192f des dritten Abstandshalters 206. Der Bereich R34 befindet sich zwischen dem x-Richtung-Abstandshalterstreifen 192a des dritten Abstandshalters 206 und dem x-Richtung-Abstandshalterstreifen 192g des vierten Abstandshalters 208.
  • Wie vorstehend dargelegt worden ist, befinden sich auf Grund der Geometrie der in 3A gezeigten Dorne 142 und 144 keine Abstandshalter in den Bereichen R31 bis R34. Dadurch entfällt das Entfernen der Abstandshalterstrukturen aus den Bereichen R31 bis R34 während oder nach dem Schneideprozess. Außerdem braucht die Maskenschicht ML1 für diese Entfernung nicht definiert zu werden. Dadurch werden unerwünschte Abstandshalterrückstände, Ätzschäden und fotolithografische Überdeckungsfehlerprobleme reduziert oder verbessert. Insbesondere kann der vorstehend beschriebene SADP-Prozess zu reduzierten Abstandshalter-Abstandshalter-Abständen S3 in der y-Richtung führen, was wiederum zu einer größeren fotolithografischen Herausforderung und somit zu einem schlechten Überdeckungs- und Ätzprozessfenster führt. Wenn also Abstandshalter zum Beispiel aus dem Bereich R34 entfernt werden sollen, können fotolithografische Überdeckungsfehler beim Herstellen einer strukturierten Maske, die für die Entfernung verwendet wird, zu einem unerwünschten Angriff oder sogar zu einer Beschädigung des x-Richtung-Abstandshalterstreifens 192a oder 192g und/oder zu unerwünschten Abstandshalterrückständen in dem Bereich R34 führen. Die unerwünschten Abstandshalterbeschädigungen und/oder -rückstände könnten zu unerwünschten Strukturen in der Target-Schicht 120 führen. Da jedoch das Entfernen der Abstandshalter aus den Bereichen R31 bis R34 entfallen kann, können die unerwünschten Abstandshalterbeschädigungen und/oder -rückstände vermieden werden, sodass wiederum unerwünschte Strukturen in der Target-Schicht 120 vermieden werden.
  • Wie vorstehend dargelegt worden ist, sind die Breiten W32 und W34 der Abstandshalter-freien Bereiche R32 und R34 im Wesentlichen gleich den jeweiligen Breiten W222 und W212 der rechteckigen Dornteile 144a und 142a (siehe 3A). Dadurch können die Breiten W222 und W212 der rechteckigen Dornteile 144a und 142a so gewählt werden, dass sie viel größer als der Abstandshalter-Abstandshalter-Abstand S3 in der y-Richtung (z. B. um mehr als das Vierfache des Abstands S3) sind, um große Abstandshalter-freie Bereiche R32 und R34 herzustellen.
  • Darüber hinaus ist eine Breite W31 des Abstandshalter-freien Bereichs R31 im Wesentlichen gleich einem y-Richtung-Abstand von dem x-Richtung-Abstandshalterstreifen 196' bis zu dem x-Richtung-Abstandshalterstreifen 194f des ersten Abstandshalters 202, der in positiver Korrelation mit der Breite W32 des Abstandshalter-freien Bereichs R32 (d. h., der Breite W222 des rechteckigen Dornteils 144a) ist. Ebenso ist eine Breite W33 des Abstandshalter-freien Bereichs R33 im Wesentlichen gleich einem y-Richtung-Abstand von dem x-Richtung-Abstandshalterstreifen 194b bis zu dem x-Richtung-Abstandshalterstreifen 192f, der in positiver Korrelation mit der Breite W34 des Abstandshalter-freien Bereichs R34 (d. h., der Breite W212 des rechteckigen Dornteils 142a) ist. Dadurch können die Breiten W222 und W212 der rechteckigen Dornteile 144a und 142a so gewählt werden, dass sie viel größer als der Abstandshalter-Abstandshalter-Abstand S3 in der y-Richtung (z. B. um mehr als das Vierfache des Abstands S3) sind, um große Abstandshalter-freie Bereiche R31 und R33 herzustellen.
  • Kommen wir zu 1 zurück, in der das Verfahren M1 zu einem Block S17 weitergeht, in dem strukturierte Masken jeweils über den y-Richtung-Teilen der Abstandshalter hergestellt werden. Bei einigen Ausführungsformen des Blocks S17 wird eine Maskenschicht (z. B. ein Fotoresist) über den Abstandshaltern 196', 198' und 202 bis 208 hergestellt und dann (z. B. mit einem fotolithografischen Prozess) strukturiert, sodass eine Mehrzahl von strukturierten Masken ML21, ML22, ML23 und ML24 jeweils die y-Richtung-Abstandshalterstreifen 194d, 194e, 192d und 192e bedeckt hält. Die resultierende Struktur ist in den 8A und 8B gezeigt. Die x-Richtung-Abstandshalterstreifen 192a, 192b, 192f, 192g, 194a, 194b, 194f, 194g, 196' und 196' werden nicht von den strukturierten Masken ML21 bis ML24 bedeckt. Die strukturierten Masken ML21 bis ML24 haben eine Breite W41, W42, W43 bzw. W44 in der x-Richtung, und die y-Richtung-Abstandshalterstreifen 194d, 194e, 192d und 192e haben eine Breite W45, W46, W47 bzw. W48 in der x-Richtung. Die Breiten W41 bis W44 der strukturierten Masken ML21 bis ML24 sind größer als Breiten W45 bis W48 der y-Richtung-Abstandshalterstreifen 194d, 194e, 192d und 192e. Dadurch entstehen beim Übertragen der Struktur der Masken ML21 bis ML24 auf die leitfähige Schicht 120 größere leitfähige Inseln als die leitfähigen Inseln, die unter Verwendung der Struktur der y-Richtung-Abstandshalterstreifen 194d, 194e, 192d und 192e entstehen. Dadurch kann ein Durchkontaktierungs-Aufsetzfenster vergrößert werden. Bei einigen Ausführungsformen betragen die Breiten W45 bis W48 der y-Richtung-Abstandshalterstreifen 194d, 194e, 192d und 192e etwa 10 nm bis etwa 50 nm, und die Breiten W41 bis W44 der strukturierten Masken ML21 bis ML24 betragen etwa 30 nm bis etwa 150 nm. Insbesondere beträgt die Breite W41 der strukturierten Maske ML21 etwa das Dreifache der Breite W45 des y-Richtung-Abstandshalterstreifens 194d, der von der strukturierten Maske ML21 bedeckt wird; die Breite W42 der strukturierten Maske ML22 beträgt etwa das Dreifache der Breite W46 des y-Richtung-Abstandshalterstreifens 194e, der von der strukturierten Maske ML22 bedeckt wird; die Breite W43 der strukturierten Maske ML23 beträgt etwa das Dreifache der Breite W47 des y-Richtung-Abstandshalterstreifens 192d, der von der strukturierten Maske ML23 bedeckt wird; und die Breite W44 der strukturierten Maske ML24 beträgt etwa das Dreifache der Breite W48 des y-Richtung-Abstandshalterstreifens 192e, der von der strukturierten Maske ML24 bedeckt wird.
  • Kommen wir zu 1 zurück, in der das Verfahren M1 zu einem Block S18 weitergeht, in dem die Hartmaskenschicht und die Target-Schicht strukturiert werden. In den 9A und 9B wird ein Strukturierungsprozess an der Hartmaskenschicht 130 und der darunter befindlichen leitfähigen Schicht 120 durchgeführt, um die Struktur der Abstandshalterstreifen 196', 198' und 202 bis 208 und der strukturierten Masken ML21 bis ML24 auf die Hartmaskenschicht 130 und die darunter befindliche leitfähige Schicht 120 zu übertragen. Die Abstandshalterstreifen 196', 198' und 202 bis 208, die strukturierten Masken ML21 bis ML24 und die Hartmaskenschicht 130 werden dann mit geeigneten Ätzverfahren entfernt. Durch den Strukturierungsprozess entstehen leitfähige Leitungen 210, 220, 230, 240, 250 und 260 in der strukturierten leitfähigen Schicht 120'. Die leitfähige Leitung 210 hat im Wesentlichen die gleiche Form wie eine Kombination aus dem ersten Abstandshalter 202 und der strukturierten Maske ML21 (siehe 8A). Die leitfähige Leitung 220 hat im Wesentlichen die gleiche Form und Größe wie eine Kombination aus dem zweiten Abstandshalter 204 und der strukturierten Maske ML22. Die leitfähige Leitung 230 hat im Wesentlichen die gleiche Form und Größe wie eine Kombination aus dem dritten Abstandshalter 206 und der strukturierten Maske ML23, und die leitfähige Leitung 240 hat im Wesentlichen die gleiche Form und Größe wie eine Kombination aus dem vierten Abstandshalter 208 und der strukturierten Maske ML24. Die leitfähigen Leitung 250 und 260 haben im Wesentlichen die gleiche Form und Größe wie der Abstandshalterstreifen 196' bzw. 198'.
  • Der Strukturierungsprozess umfasst einen oder mehrere geeignete Ätzprozesse, wie etwa Nassätzprozesse, anisotrope Trockenätzprozesse oder Kombinationen davon. Bei einigen Ausführungsformen, bei denen die Hartmaskenschicht 130 einen ARC, eine metallische Hartmaskenschicht und eine dielektrische Hartmaskenschicht, die der Reihe nach aufeinander gestapelt sind, umfasst, wird die dielektrische Hartmaskenschicht mit einem Trockenätzprozess unter Verwendung von Ätzprozessgasen wie O2, CO2, CxHyFz, Ar, N2, H2, HBr, Cl2, He oder dergleichen oder einer Kombination davon strukturiert, die metallische Hartmaskenschicht wird zum Beispiel mit einen Trockenätzprozess unter Verwendung von Ätzprozessgasen wie Cl2, O2, CxHyFz, N2, H2 oder dergleichen oder einer Kombination davon strukturiert, und der ARC kann mit einem Ätzprozess unter Verwendung von Ätzmitteln wie C4F8, N2, O2, Ar oder dergleichen oder einer Kombination davon strukturiert werden. Bei einigen Ausführungsformen, bei denen die leitfähige Schicht 120 ein Metall (z. B. Kupfer, Aluminium, Titan oder Wolfram) aufweist, wird die leitfähige Schicht 120 mit einem Trockenätzprozess unter Verwendung eines Ätzprozessgasgemisches aus N2, BCl3, Cl2 und dergleichen strukturiert.
  • Das Übertragen der Struktur der Kombination aus dem ersten Abstandshalter 202 und der strukturierten Maske ML21 auf die leitfähige Schicht 120 führt dazu, dass die leitfähige Leitung 210 einen versetzten ersten und zweiten Streifenteil 212 und 214, die in der x-Richtung verlaufen, und einen Durchkontaktierungs-Aufsetzteil 216 aufweist, der zwischen dem ersten und zweiten Streifenteil 212 und 214 angeordnet ist. Die Streifenteile 212 und 214 und der Durchkontaktierungs-Aufsetzteil 216 haben im Wesentlichen die gleichen Strukturen wie die Abstandshalterstreifen 194a und 194f bzw. die strukturierte Maske ML21 (siehe 8A).
  • In ähnlicher Weise führt das Übertragen der Struktur der Kombination aus dem zweiten Abstandshalter 204 und der strukturierten Maske ML22 auf die leitfähige Schicht 120 dazu, dass die leitfähige Leitung 220 versetzte x-Richtung-Streifenteile 222 und 224 und einen dazwischen angeordneten Durchkontaktierungs-Aufsetzteil 226 aufweist. Die Streifenteile 222 und 224 und der Durchkontaktierungs-Aufsetzteil 226 haben im Wesentlichen die gleichen Strukturen wie die Abstandshalterstreifen 194b und 194g bzw. die strukturierte Maske ML22 (siehe 8A).
  • In ähnlicher Weise führt das Übertragen der Struktur der Kombination aus dem dritten Abstandshalter 206 und der strukturierten Maske ML23 auf die leitfähige Schicht 120 dazu, dass die leitfähige Leitung 230 versetzte x-Richtung-Streifenteile 232 und 234 und einen dazwischen angeordneten Durchkontaktierungs-Aufsetzteil 236 aufweist. Die Streifenteile 232 und 234 und der Durchkontaktierungs-Aufsetzteil 236 haben im Wesentlichen die gleichen Strukturen wie die Abstandshalterstreifen 192a und 192f bzw. die strukturierte Maske ML23 (siehe 8A).
  • In ähnlicher Weise führt das Übertragen der Struktur der Kombination aus dem vierten Abstandshalter 208 und der strukturierten Maske ML24 auf die leitfähige Schicht 120 dazu, dass die leitfähige Leitung 240 versetzte x-Richtung-Streifenteile 242 und 244 und einen dazwischen angeordneten Durchkontaktierungs-Aufsetzteil 246 aufweist. Die Streifenteile 242 und 244 und der Durchkontaktierungs-Aufsetzteil 246 haben im Wesentlichen die gleichen Strukturen wie die Abstandshalterstreifen 192b und 192g bzw. die strukturierte Maske ML24 (siehe 8A).
  • Die leitfähige Leitung 210 weist eine abgerundete Ecke C421 auf, die eine obere Seitenwand 212t des x-Richtung-Streifenteils 212 mit einer oberen Seitenwand 216t des Durchkontaktierungs-Aufsetzteils 216 verbindet, wobei die abgerundete Ecke C421 eine Form und eine Größe hat, die denen der abgerundeten Ecke C321 des ersten Abstandshalters 202 (siehe 8A) im Wesentlichen gleichen. Außerdem weist die leitfähige Leitung 210 eine abgerundete Ecke C423 auf, die eine untere Seitenwand 214b des x-Richtung-Streifenteils 214 mit einer unteren Seitenwand 216b des Durchkontaktierungs-Aufsetzteils 216 verbindet, wobei die abgerundete Ecke C423 eine Form und eine Größe hat, die denen der abgerundeten Ecke C323 des ersten Abstandshalters 202 (siehe 8A) im Wesentlichen gleichen. In ähnlicher Weise weist die leitfähige Leitung 220 eine abgerundete Ecke C422, die den x-Richtung-Streifenteil 222 mit dem Durchkontaktierungs-Aufsetzteil 226 verbindet, und eine abgerundete Ecke C424 auf, die den Durchkontaktierungs-Aufsetzteil 226 mit dem x-Richtung-Streifenteils 224 verbindet. In ähnlicher Weise weist die leitfähige Leitung 230 eine abgerundete Ecke C411, die den x-Richtung-Streifenteil 232 mit dem Durchkontaktierungs-Aufsetzteil 236 verbindet, und eine abgerundete Ecke C413 auf, die den Durchkontaktierungs-Aufsetzteil 236 mit dem x-Richtung-Streifenteil 234 verbindet. In ähnlicher Weise weist die leitfähige Leitung 240 eine abgerundete Ecke C412, die den x-Richtung-Streifenteil 242 mit dem Durchkontaktierungs-Aufsetzteil 246 verbindet, und eine abgerundete Ecke C414 auf, die den Durchkontaktierungs-Aufsetzteil 246 mit dem x-Richtung-Streifenteil 244 verbindet.
  • Diese abgerundeten Ecken C411 bis C414 und C421 bis C424 haben auf Grund der in 8A gezeigten Kombination aus der entsprechenden strukturierten Maske und dem Abstandshalter Eckwinkel von mehr als 90°, wie in 9A gezeigt ist. Zum Beispiel hat die abgerundete Ecke C421 der leitfähigen Leitung 210 einen Eckwinkel θ21 von etwa 100° bis etwa 170°, die abgerundete Ecke C423 der leitfähigen Leitung 210 hat einen Eckwinkel θ23 von etwa 100° bis etwa 170°, die abgerundete Ecke C422 der leitfähigen Leitung 220 hat einen Eckwinkel θ22 von etwa 100° bis etwa 170°, und die abgerundete Ecke C424 der leitfähigen Leitung 220 hat einen Eckwinkel θ24 von etwa 100° bis etwa 170°, die abgerundete Ecke C411 der leitfähigen Leitung 230 hat einen Eckwinkel θ11 von etwa 100° bis etwa 170°, die abgerundete Ecke C413 der leitfähigen Leitung 230 hat einen Eckwinkel θ13 von etwa 100° bis etwa 170°, die abgerundete Ecke C412 der leitfähigen Leitung 240 hat einen Eckwinkel θ12 von etwa 100° bis etwa 170°, und die abgerundete Ecke C414 der leitfähigen Leitung 240 hat einen Eckwinkel θ14 von etwa 100° bis etwa 170°. Die stumpfen Eckwinkel führen zu einer besseren Robustheit der Verbindung zwischen einer dünnen leitfähigen Leitung (z. B. der leitfähigen Leitung 212) und einer leitfähigen rechteckigen Struktur (z. B. dem Durchkontaktierungs-Aufsetzteil 216). Im Gegensatz zu einem früheren Ansatz, bei dem eine strukturierte Maske (z. B. ML24) zwischen zwei getrennten seitlichen Abstandshalterstreifen (z. B. 192b und 192g) hergestellt wird, wird bei dem vorliegenden Ansatz die strukturierte Maske (z. B. ML24) so hergestellt, dass sie einen vertikalen Abstandshalterstreifen (z. B. 192e) bedeckt. Somit wird, auf Grund des Vorhandenseins des vertikalen Abstandshalterstreifens (z. B. 192e) für die Strukturierung, trotz einer gewissen Versetzung, die durch die Verschiebung der strukturierten Maske (z. B. ML24) von den getrennten seitlichen Abstandshalterstreifen (z. B. 192b oder 192g) entsteht, der Durchkontaktierungs-Aufsetzteil (z. B. 246) der leitfähigen Leitung 240 nicht von den x-Richtung-Streifenteilen (z. B. 242 oder 244) getrennt. Dadurch wird die Zuverlässigkeit der Verbindung verbessert.
  • Ein Bereich R41 befindet sich zwischen der leitfähigen Leitung 210 und dem Streifenteil 214 der leitfähigen Leitung 220. Ein Bereich R42 befindet sich zwischen dem Streifenteil 212 der leitfähigen Leitung 210 und dem Streifenteil 224 der leitfähigen Leitung 220. Ein Bereich R33 befindet sich zwischen dem Streifenteil 222 der leitfähigen Leitung 220 und dem Streifenteil 234 der leitfähigen Leitung 230. Ein Bereich R34 befindet sich zwischen dem Streifenteil 232 der leitfähigen Leitung 230 und dem Streifenteil 244 der leitfähigen Leitung 240. Die Bereiche R41 bis R44 entsprechen den jeweiligen Abstandshalter-freien Bereichen R31 bis R34, die in 8A gezeigt sind. Unerwünschte Strukturen in diesen Bereichen werden vermieden, da unerwünschte Abstandshalterbeschädigungen und/oder -rückstände reduziert werden können, wie vorstehend dargelegt worden ist.
  • Kommen wir zu 1 zurück, in der das Verfahren M1 zu einem Block S19 weitergeht, in dem leitfähige Durchkontaktierungen über den leitfähigen Leitungen hergestellt werden. In den 10A und 10B wird bei einigen Ausführungsformen des Blocks S19 eine IMD-Schicht 270 zwischen den und um die leitfähigen Leitungen 210 bis 260 hergestellt, und anschließend wird eine weitere IMD-Schicht 280 über der IMD-Schicht 270 und den leitfähigen Leitungen 291, 292, 293 und 294 in der IMD-Schicht 280 hergestellt. Die leitfähigen Durchkontaktierungen 290 setzen auf den jeweiligen Durchkontaktierungs-Aufsetzteilen 216, 226, 236 und 246 auf.
  • Bei einigen Ausführungsformen weisen die IMD-Schichten 270 und 280 im Wesentlichen das gleiche Material wie die dielektrischen Schichten 1101 bis 110M und die dielektrischen Schichten 1111 bis 111M-1 auf. Die dielektrischen IMD-Schichten 270 und 280 können zum Beispiel dielektrische Low-k-Materialien aufweisen, die zwischen den leitfähigen Strukturelementen angeordnet sind und k-Werte haben, die z. B. kleiner als etwa 4,0 oder sogar 2,0 sind. Bei einigen Ausführungsformen können die ILD-Schicht und die IMD-Schichten zum Beispiel aus Phosphorsilicatglas (PSG), Borphosphorsilicatglas (BPSG), Fluorsilicatglas (FSG), SiOxCy, Aufschleuderglas, Aufschleuderpolymeren, Silizium-Kohlenstoff-Material, Verbindungen davon, Verbundstoffen davon, Kombinationen davon oder dergleichen bestehen. Bei einigen Ausführungsformen weisen die leitfähigen Durchkontaktierungen 291 bis 294 im Wesentlichen das gleiche Material wie die leitfähigen Durchkontaktierungen 1161 bis 116M auf. Die leitfähigen Durchkontaktierungen 291 bis 294 können Kupfer, Aluminium, Wolfram, Kombinationen davon oder dergleichen aufweisen.
  • 11 zeigt ein beispielhaftes Verfahren M2 zur Herstellung einer Halbleiterstruktur gemäß einigen Ausführungsformen der vorliegenden Erfindung. Das Verfahren M2 umfasst einen relevanten Teil des gesamten Herstellungsprozesses. Es ist klar, dass weitere Schritte vor, während und nach den in 11 angegebenen Schritten vorgesehen werden können und einige der nachstehend beschriebenen Schritte bei weiteren Ausführungsformen des Verfahrens ersetzt oder weggelassen werden können. Die Reihenfolge der Schritte/Prozesse ist austauschbar. Die 12A bis 14B zeigen verschiedene Zwischenstufen des Verfahrens M2 gemäß einigen Ausführungsformen. Figuren mit dem Buchstaben A stellen eine Draufsicht dar, und Figuren mit dem Buchstaben B stellen eine Schnittansicht entlang einer Linie B - B der jeweiligen Figur mit dem Buchstabe A dar.
  • Das Verfahren M2 kann nach dem Block S13 des Verfahrens M1 durchgeführt werden. Das Verfahren M2 beginnt mit einem Block S24, der dem Block S15 des Verfahrens M1 ähnlich ist, mit der Ausnahme, dass der Block S24 vor dem Entfernen der Dorne durchgeführt wird. Zum Beispiel umfasst der Block S24 des Verfahrens M2 das Herstellen einer strukturierten Maske über den Teilen der Abstandshalter und den Dornen, um Enden der Abstandshalter freizulegen. In den 12A und 12B wird bei einigen Ausführungsformen des Blocks S15 eine Maskenschicht ML3 (z. B. ein Fotoresist) über den ringförmigen Abstandshaltern 192, 194, 196 und 198 und den Dornen 142 bis 148 hergestellt. Die Maskenschicht ML3 wird dann (z. B. mit einem fotolithografischen Prozess) so strukturiert, dass Öffnungen O3 und O4 entstehen, die Enden der ringförmigen Abstandshalter 192 bis 198 freilegen. Zum Beispiel legt die Öffnung O3 abgerundeten Enden C315, C325, C335 und C345 der entsprechenden ringförmigen Abstandshalter 192 bis 198 frei, und die Öffnungen O4 legen jeweils abgerundete Enden C345 der ringförmigen Abstandshalter 198 frei. Die Öffnung O3 hat eine Länge LO3 in der y-Richtung und eine Weite WO3 in der x-Richtung, und die Öffnung O4 hat eine Länge LO4 in der y-Richtung und eine Weite WO4 in der x-Richtung. Bei einigen Ausführungsformen beträgt die Länge LO3 der Öffnung O3 etwa 100 nm bis etwa 200 nm, die Länge LO4 der Öffnung O4 beträgt etwa 200 nm bis etwa 500 nm, und die Weite WO4 der Öffnung O4 beträgt etwa 100 nm bis etwa 200 nm. Bei einigen Ausführungsformen ist die Weite WO3 der Öffnung O3 im Wesentlichen gleich der Weite WO4 der Öffnung O4.
  • Kommen wir zu 11 zurück, in der das Verfahren M2 zu einem Block S25 weitergeht, in dem ein Schneideprozess an den Abstandshaltern durchgeführt wird. Bei einigen Ausführungsformen kann der Schneideprozess einen oder mehrere Ätzprozesse (z. B. Trockenätzung, Nassätzung oder Kombinationen davon) umfassen, die unter Verwendung der Maskenschicht ML3 als eine Ätzmaske durchgeführt werden, um die abgerundeten Enden C315, C325, C335 und C345 von den ringförmigen Abstandshaltern 192, 194, 196 und 198 zu entfernen. Anschließend wird auch die Maskenschicht ML3 entfernt. Die 13A und 13B zeigen das Ergebnis des Schneideprozesses gemäß einigen Ausführungsformen der vorliegenden Erfindung.
  • Kommen wir zu 11 zurück, in der das Verfahren M2 zu einem Block S26 weitergeht, in dem die Dorne entfernt werden. Bei einigen Ausführungsformen des Blocks S26 werden die Dorne 142, 144, 146 und 148 zum Beispiel mit einem Plasmaätzprozess entfernt, aber es kann auch ein anderes geeignetes Ätzverfahren verwendet werden. Die resultierende Struktur ist den 14A und 14B gezeigt. Beispielhafte Ätzmittel zum Entfernen der Dorne 142 bis 148 sind vorstehend bei dem Block S14 des Verfahrens M1 genannt worden und werden der Kürze halber hier nicht wiederholt. Nach dem Block S26 können die Blöcke S17 bis S19 des Verfahrens M1 so durchgeführt werden, dass die leitfähigen Leitungen 210 bis 260 und die leitfähigen Durchkontaktierungen 291 bis 294 (siehe 10A) hergestellt werden können.
  • Bei einigen Ausführungsformen kann eine Breite des rechteckigen Dorns, die vorstehend erörtert worden ist, so gewählt werden, dass ein oder mehrere Abstandshalterstreifen auf dem Gitter (siehe 15) und/oder ein oder mehrere Abstandshalterstreifen außerhalb des Gitters (siehe 16) entstehen, wie nachstehend näher dargelegt wird. In 15 sind virtuelle Gitterlinien GL1, GL2 und GL3 dargestellt, die parallel entlang der x-Richtung angeordnet sind. Zwei benachbarte Linien der Mehrzahl von virtuellen Gitterlinien GL1 bis GL3 sind durch einen Nennabstand T getrennt. Der minimale Nennabstand T ist ein festgelegter Trassierungs-Mindestabstand für leitfähige Leitungen zum Herstellen einer Verbindungsstruktur mit einem festgelegten Halbleiterherstellungsverfahren. Bei einigen Ausführungsformen wird der minimale Nennabstand T entsprechend einer oder mehreren Eigenschaften des vorgegebenen Halbleiterherstellungsverfahrens festgelegt, wie etwa einer Wellenlänge für einen lithografischen Prozess, einer Selektivität eines Ätzprozesses, einem Material der leitfähigen Leitungen, einer angemessenen Fehlerunempfindlichkeit und einer erwarteten prozentualen Ausbeute des vorgegebenen Halbleiterherstellungsverfahrens. Der minimale Nennabstand T beträgt zum Beispiel etwa 20 nm bis etwa 100 nm. In einem Platzierungs- und Trassierungs-Software-Tool werden die leitfähigen Leitungen eines integrierten Schaltkreis-Layouts entlang der x-Richtung entsprechend den virtuellen Gitterlinien GL1 bis GL3 angeordnet (was auch als „Trassierung“ bekannt ist).
  • Außerdem sind in 15 ein Dorn 310 und Abstandshalter 320 und 330 gezeigt, die entlang gegenüberliegenden Seitenwänden des Dorns 310 hergestellt werden. Der Dorn 310 weist eine rechteckige Dorn-Insel 312 und Dornstreifen 314 und 316 auf, die sich in der x-Richtung jeweils von diagonalen Ecken der Dorn-Insel 312 erstrecken. Der Abstandshalter 320 weist x-Richtung-Abstandshalterstreifen 322 und 326 und einen y-Richtung-Abstandshalterstreifen 324 auf, der zwischen den x-Richtung-Abstandshalterstreifen 322 und 326 angeordnet ist. In ähnlicher Weise weist der Abstandshalter 330 x-Richtung-Abstandshalterstreifen 332 und 336 und einen y-Richtung-Abstandshalterstreifen 334 auf, der zwischen den x-Richtung-Abstandshalterstreifen 332 und 336 angeordnet ist.
  • Bei einigen Ausführungsformen ist der x-Richtung-Abstandshalterstreifen 332 auf der virtuellen Gitterlinie GL1 angeordnet, die x-Richtung-Abstandshalterstreifen 332 und 326 sind auf der virtuellen Gitterlinie GL2 angeordnet, und der x-Richtung-Abstandshalterstreifen 336 ist auf der virtuellen Gitterlinie GL3 angeordnet. Die x-Richtung-Abstandshalterstreifen 322, 326, 332 und 336 können als „Auf-dem-Gitter“-Abstandshalterstreifen bezeichnet werden. Die Auf-dem-Gitter-Anordnung der Abstandshalterstreifen 322, 326, 332 und 336 resultiert zumindest teilweise aus der Breite W512 der rechteckigen Dorn-Insel 312. Die Breite W512 der rechteckigen Dorn-Insel 312 kann so gewählt werden, dass die Abstandshalterstreifen 322, 326, 332 und 336 auf dem Gitter angeordnet sind. Auf diese Weise befinden sich beim Übertragen der Struktur der Auf-dem-Gitter-Abstandshalterstreifen 322, 326, 332 und 336 auf eine darunter befindliche leitfähige Schicht die resultierenden leitfähigen Leitungen auf dem Gitter. Bei einigen Ausführungsformen beträgt die Breite W512 der rechteckigen Dorn-Insel 312 etwa 30 nm bis etwa 150 nm.
  • 16 zeigt einen Dorn und Abstandshalter, die denen von 15 ähnlich sind, mit der Ausnahme, dass die Abstandshalterstreifen 326' von der Gitterlinie GL2 versetzt sind. Zum Beispiel hat der Abstandshalterstreifen 326' eine Symmetrieachse SA, die von der Gitterlinie GL2 versetzt ist. Insbesondere befindet sich der Abstandshalterstreifen 326' auf keiner der virtuellen Gitterlinien GL1 bis GL3 (d. h., er ist außerhalb des Gitters angeordnet. Die Außerhalb-des-Gitters-Anordnung des Abstandshalterstreifens 326' resultiert zumindest teilweise aus der Breite W512' der rechteckigen Dorn-Insel 312'. Die Breite W512' der rechteckigen Dorn-Insel 312' kann zum Beispiel so gewählt werden, dass sich der Abstandshalterstreifen 326' außerhalb des Gitters befindet. Auf diese Weise befindet sich beim Übertragen der Struktur des Auf-dem-Gitter-Abstandshalterstreifens 326' auf eine darunter befindliche leitfähige Schicht die resultierende leitfähige Leitung außerhalb des Gitters. Bei einigen Ausführungsformen beträgt die Breite W512' der rechteckigen Dorn-Insel 312' etwa 20 nm bis etwa 100 nm.
  • Wie in den 15 und 16 gezeigt ist, kann die Breite der rechteckigen Dorn-Insel so gewählt werden, dass ein Auf-dem-Gitter-Abstandshalterstreifen oder ein Außerhalb-des-Gitters-Abstandshalterstreifen entsteht, was wiederum zu einer leitfähigen Auf-dem-Gitter-Leitung oder einer leitfähigen Außerhalb-des-Gitters-Leitung führt. Dadurch kann die rechteckige Dorn-Insel die Flexibilität beim Entwerfen eines Layouts für Abstandshalterstreifen und/oder leitfähige Leitungen verbessern.
  • Die vorstehend erörterten Herstellungsverfahren für leitfähige Leitungen in dem BEOL-Prozess sind Beispiele zum Herstellen eines Dorns mit einer rechteckigen Insel. Die vorstehend erörterten Prinzipien der rechteckigen Dorn-Insel können auch in die SADP-Hartmaskenherstellung für FEOL-Bauelemente (FEOL: Front End of Line), Logikbauelemente und/oder Speicher-Bauelemente integriert werden. Die vorstehenden Ausführungen lassen erkennen, dass die vorliegende Erfindung Vorzüge bietet. Es ist jedoch klar, dass andere Ausführungsformen andere Vorzüge bieten können, hier nicht unbedingt alle Vorzüge erörtert werden und kein spezieller Vorzug für alle Ausführungsformen erforderlich ist. Ein Vorzug ist, dass das Entfernen von Abstandshalterstreifen aus rechteckigen Bereichen entfallen kann, sodass unerwünschte Abstandshalterbeschädigungen und/oder -rückstände reduziert werden, die zum Beispiel durch fotolithografische Überdeckungsfehler in dem Entfernungsprozess entstehen. Dadurch werden das fotolithografische Überdeckungsfenster und das Ätzprozessfenster verbessert. Ein weiterer Vorzug ist, dass durch Wählen der Breite der rechteckigen Dorn-Inseln Auf-dem-Gitter-Abstandshalterstreifen oder Außerhalb-des-Gitters-Abstandshalterstreifen erhalten werden können.
  • Gemäß einigen Ausführungsformen weist ein Verfahren die folgenden Schritte auf. Ein erster Dorn wird über einer Target-Schicht über einem Substrat hergestellt, wobei der erste Dorn eine Dorn-Insel und einen ersten Dornstreifen aufweist, wobei die Dorn-Insel eine erste Seitenwand und eine zweite Seitenwand aufweist, die senkrecht zu der ersten Seitenwand ist, und sich der ersten Dornstreifen von der ersten Seitenwand der Dorn-Insel erstreckt. Ein erster Abstandshalter wird entlang der ersten und der zweiten Seitenwand der Dorn-Insel und einer Seitenwand des ersten Dornstreifens hergestellt. Der erste Dornstreifen wird von der Target-Schicht entfernt. Die Target-Schicht wird strukturiert, wenn der erste Abstandshalter über der Target-Schicht bestehen bleibt.
  • Gemäß einigen Ausführungsformen weist ein Verfahren die folgenden Schritte auf. Ein erster Dorn wird über einer Target-Schicht über einem Substrat hergestellt, wobei der erste Dorn eine erste Dorn-Insel, einen ersten Dornstreifen und einen zweiten Dornstreifen aufweist, wobei die erste Dorn-Insel eine erste Seitenwand und eine zweite Seitenwand aufweist, die der ersten Seitenwand gegenüberliegt, und sich der erste und der zweite Dornstreifen von der ersten bzw. der zweiten Seitenwand der ersten Dorn-Insel erstrecken und versetzt sind. Ein erster Abstandshalter wird so hergestellt, dass er entlang Seitenwänden des ersten Dornstreifens, der ersten Dorn-Insel und des zweiten Dornstreifens verläuft. Der erste Dorn wird von der Target-Schicht entfernt. Die Target-Schicht wird unter Verwendung zumindest des ersten Abstandshalters als eine Ätzmaske geätzt.
  • Gemäß einigen Ausführungsformen weist eine Halbleiterstruktur ein Halbleitersubstrat, eine IMD-Schicht, eine erste leitfähige Durchkontaktierung, eine leitfähige Leitung und eine zweite leitfähige Durchkontaktierung auf. Die IMD-Schicht ist über dem Halbleitersubstrat angeordnet. Die erste leitfähige Durchkontaktierung ist in der IMD-Schicht angeordnet. Die leitfähige Leitung ist über der ersten Durchkontaktierung angeordnet, wobei die leitfähige Leitung einen ersten Streifenteil, einen Durchkontaktierungs-Aufsetzteil und eine erste abgerundete Ecke aufweist, die den ersten Streifenteil mit dem Durchkontaktierungs-Aufsetzteil verbindet, wobei die erste abgerundete Ecke bei Betrachtung von einer Position über der leitfähigen Leitung einen stumpfen Eckwinkel hat. Die zweite leitfähige Durchkontaktierung ist über dem Durchkontaktierungs-Aufsetzteil angeordnet.

Claims (19)

  1. Verfahren mit den folgenden Schritten: Herstellen eines ersten Dorns (142) über einer Target-Schicht (120) über einem Substrat (102), wobei der erste Dorn (142) eine Dorn-Insel (142a) und einen ersten Dornstreifen (142b) aufweist, wobei die Dorn-Insel (142a) eine erste Seitenwand und eine zweite Seitenwand aufweist, die senkrecht zu der ersten Seitenwand ist, und sich der erste Dornstreifen (142b) von der ersten Seitenwand der Dorn-Insel (142a) erstreckt; Herstellen eines ersten Abstandshalters (192, 208) entlang der ersten und der zweiten Seitenwand der Dorn-Insel (142a) und einer Seitenwand des ersten Dornstreifens (142b); Entfernen des ersten Dorns (142) von der Target-Schicht (120); und Strukturieren der Target-Schicht (120), wobei der erste Abstandshalter (192, 208) während des Entfernens des ersten Dorns (142) von der Target-Schicht (120) über der Target-Schicht (120) bestehen bleibt, wobei das Herstellen des ersten Abstandshalters (192, 208) Folgendes umfasst: Herstellen eines ringförmigen Abstandshalters um den ersten Dorn (142), wobei der ringförmige Abstandshalter ein abgerundetes Ende um ein Ende des ersten Dornstreifens (142b) sowie eine abgerundete Ecke (C312) um eine Ecke der Dorn-Insel (142a) aufweist; und Entfernen des abgerundeten Endes des ringförmigen Abstandshalters, wobei die abgerundete Ecke (C312) des ringförmigen Abstandshalters um die Ecke der Dorn-Insel (142a) nach dem Entfernen des abgerundeten Endes bestehen bleibt, wobei das Verfahren weiterhin Folgendes aufweist: Herstellen einer strukturierten Maske (ML24) über einem ersten Teil des ersten Abstandshalters (192, 208), welcher entlang der ersten Seitenwand der Dorn-Insel (142a) hergestellt wird, wobei die abgerundete Ecke (C312) einen zweiten Teil des ersten Abstandshalters (192, 208), welcher entlang der Seitenwand des ersten Dornstreifens hergestellt wird, so mit der strukturierten Maske (ML24) verbindet, dass die abgerundete Ecke (C312) einen stumpfen Eckwinkel (θ12) hat.
  2. Verfahren nach Anspruch 1, wobei das Herstellen des ersten Dorns (142) so durchgeführt wird, dass ein zweiter Dorn benachbart zu dem ersten Dorn (142) entsteht, wobei der erste Dorn (142) weiterhin einen zweiten Dornstreifen (142c) aufweist, der sich von einer dritten Seitenwand der Dorn-Insel (142a) erstreckt, die von der ersten Seitenwand weg zeigt, und ein Abstand zwischen dem ersten Dornstreifen (142b) und dem zweiten Dorn von einem Abstand zwischen dem zweiten Dornstreifen (142c) und dem zweiten Dorn verschieden ist.
  3. Verfahren nach Anspruch 1 oder 2, wobei der erste Dorn (142) weiterhin einen bzw. den zweiten Dornstreifen (142c) aufweist, der sich von einer dritten Seitenwand der Dorn-Insel (142a) erstreckt, die von der ersten Seitenwand weg zeigt, und das Herstellen des ersten Abstandshalters (192, 208) so durchgeführt wird, dass ein erster und ein zweiter Abstandshalterstreifen des ersten Abstandshalters (192, 208) entlang dem ersten bzw. dem zweiten Dornstreifen (142b, 142c) voneinander versetzt entstehen.
  4. Verfahren nach Anspruch 3, wobei das Herstellen des ersten Abstandshalters ( 192, 208) so durchgeführt wird, dass ein dritter Abstandshalterstreifen des ersten Abstandshalters (192, 208) entlang der ersten Seitenwand der Dorn-Insel (142a) entsteht, und das Verfahren weiterhin Folgendes umfasst: Herstellen einer Maske, um den dritten Abstandshalterstreifen des ersten Abstandshalters (192, 208) zu bedecken, wobei das Strukturieren der Target-Schicht (120) nach dem Herstellen der Maske erfolgt.
  5. Verfahren nach Anspruch 4, wobei nach dem Strukturieren der Target-Schicht (120) ein Teil der strukturierten Target-Schicht unter der Maske über dem Substrat (102) bestehen bleibt, und das Verfahren weiterhin Folgendes umfasst: Herstellen einer leitfähigen Durchkontaktierung (294) über dem Teil der strukturierten Target-Schicht.
  6. Verfahren nach einem der vorhergehenden Ansprüche, wobei die Dorn-Insel (142a) weiterhin eine bzw. die dritte Seitenwand aufweist, die von der ersten Seitenwand weg zeigt, das Herstellen des ersten Abstandshalters (192, 208) so durchgeführt wird, dass ein zweiter Abstandshalter entlang der dritten Seitenwand der Dorn-Insel (142a) entsteht, und das Strukturieren der Target-Schicht (120) durchgeführt wird, wobei der zweite Abstandshalter während des Entfernens des ersten Dorns (142) von der Target-Schicht (120) über der Target-Schicht (120) bestehen bleibt.
  7. Verfahren nach Anspruch 6, wobei der erste Dorn (142) weiterhin einen bzw. den zweiten Dornstreifen (142c) aufweist, der sich von der dritten Seitenwand der Dorn-Insel (142a) erstreckt, und das Herstellen des zweiten Abstandshalters so durchgeführt wird, dass ein erster und ein zweiter Abstandshalterstreifen des zweiten Abstandshalters entlang dem ersten bzw. dem zweiten Dornstreifen (142b, 142c) voneinander versetzt entstehen.
  8. Verfahren nach Anspruch 7, wobei das Herstellen des zweiten Abstandshalters so durchgeführt wird, dass ein dritter Abstandshalterstreifen des zweiten Abstandshalters entlang der dritten Seitenwand der Dorn-Insel (142a) entsteht, und das Verfahren weiterhin Folgendes umfasst: Herstellen einer Maske, um den dritten Abstandshalterstreifen des zweiten Abstandshalters zu bedecken, wobei das Strukturieren der Target-Schicht (120) nach dem Herstellen der Maske durchgeführt wird.
  9. Verfahren mit den folgenden Schritten: Herstellen eines ersten Dorns (142) über einer Target-Schicht (120) über einem Substrat (102), wobei der erste Dorn (142) eine erste Dorn-Insel (142a), einen ersten Dornstreifen (142b) und einen zweiten Dornstreifen (142c) aufweist, wobei die erste Dorn-Insel (142a) eine erste Seitenwand und eine zweite Seitenwand aufweist, die der ersten Seitenwand gegenüberliegt, und sich der erste und der zweite Dornstreifen (142b, 142c) von der ersten bzw. der zweiten Seitenwand der ersten Dorn-Insel (142a) voneinander versetzt erstrecken; Herstellen eines ersten Abstandshalters (192, 208), der entlang Seitenwänden des ersten Dornstreifens (142b), der ersten Dorn-Insel (142a) und des zweiten Dornstreifens (142c) verläuft; Entfernen des ersten Dorns (142) von der Target-Schicht (120); und Ätzen der Target-Schicht (120) unter Verwendung zumindest des ersten Abstandshalters (192, 208) als eine Ätzmaske, wobei das Herstellen des ersten Abstandshalters (192, 208) Folgendes umfasst: Herstellen eines ringförmigen Abstandshalters um den ersten Dorn (142), wobei der ringförmige Abstandshalter abgerundete Enden um je ein Ende des ersten und zweiten Dornstreifens (142b, 142c) sowie wenigstens eine abgerundete Ecke (C312) um wenigsten eine Ecke der ersten Dorn-Insel (142a) aufweist; und Entfernen der abgerundeten Enden des ringförmigen Abstandshalters, wobei die wenigstens eine abgerundete Ecke (C312) des ringförmigen Abstandshalters um die wenigstens eine Ecke der ersten Dorn-Insel (142a) nach dem Entfernen der abgerundeten Enden bestehen bleibt, wobei das Verfahren weiterhin Folgendes aufweist: Herstellen einer strukturierten Maske (ML24) über einem ersten Teil des ersten Abstandshalters (192, 208), welcher entlang der ersten Seitenwand der ersten Dorn-Insel (142a) verläuft, wobei die abgerundete Ecke (C312) einen zweiten Teil des ersten Abstandshalters (192, 208), welcher entlang einer Seitenwand des ersten Dornstreifens (142b) verläuft, so mit der strukturierten Maske (ML24) verbindet, dass die abgerundete Ecke (C312) einen stumpfen Eckwinkel (θ12) hat.
  10. Verfahren nach Anspruch 9, wobei das Herstellen des ersten Dorns (142) so durchgeführt wird, dass ein dritter Dornstreifen benachbart zu dem ersten Dorn (142) entsteht, wobei der dritte Dornstreifen eine Länge hat, die größer als eine Länge einer Kombination aus der ersten Dorn-Insel (142a) und dem ersten Dornstreifen (142b) ist.
  11. Verfahren nach Anspruch 10, wobei das Herstellen des ersten Dorns (142) so durchgeführt wird, dass eine zweite Dorn-Insel entsteht, die mit dem dritten Dornstreifen verbunden ist, wobei ein Bereich zwischen der ersten Dorn-Insel und der zweiten Dorn-Insel kein Material des ersten Dorns aufweist und der Bereich eine Breite hat, die größer als ein Abstand zwischen dem ersten Dornstreifen und dem dritten Dornstreifen ist.
  12. Verfahren nach Anspruch 10 oder 11, wobei das Herstellen des ersten Abstandshalters (192, 208) so durchgeführt wird, dass ein zweiter Abstandshalter entsteht, der entlang dem dritten Dornstreifen verläuft, der erste Abstandshalter (192, 208) einen ersten Abstandshalterstreifen in Kontakt mit dem ersten Dornstreifen (142b) und einen zweiten Abstandshalterstreifen in Kontakt mit dem zweiten Dornstreifen (142c) aufweist und ein Abstand zwischen dem ersten Abstandshalterstreifen und dem zweiten Abstandshalter kleiner als ein Abstand zwischen dem zweiten Abstandshalterstreifen und dem zweiten Abstandshalter ist.
  13. Verfahren nach Anspruch 12, wobei das Herstellen des ersten Dorns (142) so durchgeführt wird, dass eine bzw. die zweite Dorn-Insel entsteht, die mit dem dritten Dornstreifen verbunden ist, wobei das Herstellen des zweiten Abstandshalters so durchgeführt wird, dass der zweite Abstandshalter einen dritten Abstandshalterstreifen entlang dem dritten Dornstreifen und einen vierten Abstandshalterstreifen entlang der zweite Dorn-Insel und senkrecht zu dem ersten Abstandshalterstreifen aufweist, und das Verfahren weiterhin Folgendes umfasst: vor dem Ätzen der Target-Schicht (120) Herstellen einer Maske, um den vierten Abstandshalterstreifen zu bedecken.
  14. Verfahren nach Anspruch 13, wobei der dritte Abstandshalterstreifen nicht von der Maske bedeckt wird.
  15. Verfahren nach einem der Ansprüche 9 bis 11, wobei das Herstellen des ersten Abstandshalters (192, 208) so durchgeführt wird, dass der erste Abstandshalter (192, 208) einen ersten Abstandshalterstreifen, der entlang dem ersten Dornstreifen (142b) verläuft, einen zweiten Abstandshalterstreifen, der entlang der ersten Dorn-Insel (142a) verläuft, und einen dritten Abstandshalterstreifen, der entlang dem zweiten Dornstreifen (142c) verläuft, aufweist, und das Verfahren weiterhin Folgendes umfasst: vor dem Ätzen der Target-Schicht (120) Herstellen einer Maske, um den zweiten Abstandshalterstreifen zu bedecken.
  16. Verfahren nach Anspruch 15, wobei der erste und der dritte Abstandshalterstreifen nicht von der Maske bedeckt werden.
  17. Verfahren nach Anspruch 15 oder 16, wobei nach dem Ätzen der Target-Schicht (120) ein Durchkontaktierungs-Aufsetzteil der Target-Schicht (120) über dem Substrat (102) bestehen bleibt und eine Struktur hat, die im Wesentlichen gleich einer Struktur der Maske ist, und das Verfahren weiterhin Folgendes umfasst: Herstellen einer leitfähigen Durchkontaktierung über dem Durchkontaktierungs-Aufsetzteil.
  18. Halbleiterstruktur mit: einem Halbleitersubstrat (102); einer IMD-Schicht, IMD, Zwischenmetall-Dielektrikum, (110M) über dem Halbleitersubstrat (102); einer ersten leitfähigen Durchkontaktierung (116M) in der IMD-Schicht (110M); einer leitfähigen Leitung (220) über der ersten leitfähigen Durchkontaktierung (116M), wobei die leitfähige Leitung (220) einen ersten Streifenteil (222), einen Durchkontaktierungs-Aufsetzteil (226) und eine erste abgerundete Ecke (C422) aufweist, die den ersten Streifenteil (222) mit dem Durchkontaktierungs-Aufsetzteil (226) verbindet, wobei die erste abgerundete Ecke (C422) bei Betrachtung von einer Position über der leitfähigen Leitung (220) einen stumpfen Eckwinkel (θ22) hat; und einer zweiten leitfähigen Durchkontaktierung (292) über dem Durchkontaktierungs-Aufsetzteil (226).
  19. Halbleiterstruktur nach Anspruch 18, wobei die leitfähige Leitung (220) weiterhin einen zweiten Streifenteil (224) und eine zweite abgerundete Ecke (C424) aufweist, wobei der Durchkontaktierungs-Aufsetzteil (226) zwischen dem ersten (222) und dem zweiten Streifenteil (224) angeordnet ist, und die zweite abgerundete Ecke (C424) den zweiten Streifenteil (224) mit dem Durchkontaktierungs-Aufsetzteil (226) verbindet, wobei die zweite abgerundete Ecke (C424) bei Betrachtung von einer Position über der leitfähigen Leitung (220) einen stumpfen Eckwinkel (θ24) hat.
DE102019101593.6A 2018-08-15 2019-01-23 Selbstjustierter Doppelstrukturierungsprozess und mit diesem hergestellte Halbleiterstruktur Active DE102019101593B4 (de)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862764740P 2018-08-15 2018-08-15
US62/764,740 2018-08-15
US16/239,751 2019-01-04
US16/239,751 US10818505B2 (en) 2018-08-15 2019-01-04 Self-aligned double patterning process and semiconductor structure formed using thereof

Publications (2)

Publication Number Publication Date
DE102019101593A1 DE102019101593A1 (de) 2020-02-20
DE102019101593B4 true DE102019101593B4 (de) 2024-03-14

Family

ID=69320646

Family Applications (1)

Application Number Title Priority Date Filing Date
DE102019101593.6A Active DE102019101593B4 (de) 2018-08-15 2019-01-23 Selbstjustierter Doppelstrukturierungsprozess und mit diesem hergestellte Halbleiterstruktur

Country Status (1)

Country Link
DE (1) DE102019101593B4 (de)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060046422A1 (en) 2004-08-31 2006-03-02 Micron Technology, Inc. Methods for increasing photo alignment margins
US20070028205A1 (en) 2005-07-27 2007-02-01 Ayako Nakano Data processing method in semiconductor device, program of the same, and manufacturing method of semiconductor device
US20080296732A1 (en) 2007-05-31 2008-12-04 Micron Technology, Inc. Methods of isolating array features during pitch doubling processes and semiconductor device structures having isolated array features
US20100244269A1 (en) 2009-03-31 2010-09-30 Samsung Electronics Co., Ltd. Semiconductor device having integral structure of contact pad and conductive line

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060046422A1 (en) 2004-08-31 2006-03-02 Micron Technology, Inc. Methods for increasing photo alignment margins
US20070028205A1 (en) 2005-07-27 2007-02-01 Ayako Nakano Data processing method in semiconductor device, program of the same, and manufacturing method of semiconductor device
US20080296732A1 (en) 2007-05-31 2008-12-04 Micron Technology, Inc. Methods of isolating array features during pitch doubling processes and semiconductor device structures having isolated array features
US20100244269A1 (en) 2009-03-31 2010-09-30 Samsung Electronics Co., Ltd. Semiconductor device having integral structure of contact pad and conductive line

Also Published As

Publication number Publication date
DE102019101593A1 (de) 2020-02-20

Similar Documents

Publication Publication Date Title
DE102018115204A1 (de) Strukturierungsverfahren für halbleiter-bauelemente und daraus resultierende strukturen
DE112012005734B4 (de) Lithographieprozess und Lithographische Struktur mit doppelter Hartmaske
DE102017128235A1 (de) Strukturierungsverfahren für ein halbleiterbauelement und daraus resultierende strukturen
DE102008054320B4 (de) Verfahren zum Herstellen eines Kondensators
DE102016100766A1 (de) Strukturierung von durchkontaktierungen durch mehrfachfotolithografie und mehrfachätzung
DE102013103976B4 (de) Halbleiterbauelement mit selbstausgerichteten Verbindungen und Sperrabschnitten
DE102018124819A1 (de) Verfahren zum Steuern eines Ende-Zu-Ende-Abstands in einer Halbleitervorrichtung
DE102019200054A1 (de) Verfahren zum Strukturieren von Metallisierungsleitungen mit variabler Breite
DE102019203596A1 (de) Mehrfachstrukturierung mit Dornschnitten, die unter Verwendung einer Blockmaske gebildet werden
US8841214B2 (en) Dual damascene process
DE102017125781A1 (de) Verfahren zum Entfernen einer Ätzmaske
DE102021101467A1 (de) Halbleiterstrukturierung und resultierende strukturen
DE112016006630T5 (de) Verfahren zum Herstellen einer Halbleitereinrichtung
DE102004001853B3 (de) Verfahren zum Herstellen von Kontaktierungsanschlüssen
CN110838465B (zh) 半导体结构和形成半导体结构的方法
DE102007007696B4 (de) Halbleiterbauelement und Verfahren zum Herstellen eines Halbleiterbauelements
DE102004028026A1 (de) Zweischichtige Metallhartmasken zur Verwendung in Dual-Damascene-Ätzschemata
DE102019101593B4 (de) Selbstjustierter Doppelstrukturierungsprozess und mit diesem hergestellte Halbleiterstruktur
CN112951720A (zh) 半导体结构的形成方法、半导体器件
DE102017127390B4 (de) Verfahren zur Herstellung einer Halbleitervorrichtung
DE102021114103A1 (de) Metallische hartmasken zum reduzieren der leitungskrümmung
DE102021110022A1 (de) Interconnect-struktur für halbleitervorrichtungen
DE102019123165A1 (de) Verbindungsbauelement und verfahren
DE102019120765B4 (de) Verfahren zum bilden eines halbleiterbauelements
DE102016114435B4 (de) Gerichteter Selbstanordnungsprozess mit größenbegrenzten Leitstrukturen

Legal Events

Date Code Title Description
R012 Request for examination validly filed
R016 Response to examination communication
R016 Response to examination communication
R018 Grant decision by examination section/examining division