TW202011608A - 用於接觸件之不同上部與下部間隔件 - Google Patents

用於接觸件之不同上部與下部間隔件 Download PDF

Info

Publication number
TW202011608A
TW202011608A TW108117518A TW108117518A TW202011608A TW 202011608 A TW202011608 A TW 202011608A TW 108117518 A TW108117518 A TW 108117518A TW 108117518 A TW108117518 A TW 108117518A TW 202011608 A TW202011608 A TW 202011608A
Authority
TW
Taiwan
Prior art keywords
spacer
source
gate
drain
item
Prior art date
Application number
TW108117518A
Other languages
English (en)
Other versions
TWI723401B (zh
Inventor
許國偉
輝 臧
海艇 王
史考特 畢索爾
Original Assignee
美商格芯(美國)集成電路科技有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商格芯(美國)集成電路科技有限公司 filed Critical 美商格芯(美國)集成電路科技有限公司
Publication of TW202011608A publication Critical patent/TW202011608A/zh
Application granted granted Critical
Publication of TWI723401B publication Critical patent/TWI723401B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

本發明之各種程序形成包含範例設備的不同結構,該設備包括(但還有其它組件)具有通道區域的第一層、在第一層中通道區域的相對側面上的源極/汲極結構、在通道區域上的閘極絕緣體、以及在閘極絕緣體上的閘極堆疊。閘極堆疊可包括閘極導體、以及在閘極導體上的堆疊絕緣體或閘極接觸件。閘極堆疊具有鄰近源極/汲極結構的下部側壁和遠離源極/汲極結構的上部側壁。進一步地,下部間隔件是在源極/汲極接觸件與閘極堆疊的下部側壁之間;而上部間隔件在源極/汲極接觸件與閘極堆疊的上部側壁之間。在一些結構中,上部間隔件可部分地與下部間隔件重疊。

Description

用於接觸件之不同上部與下部間隔件
本揭露是關於電晶體和相關裝置,並且更特定地,是關於沿著接觸件(contact)的間隔件。
積體電路裝置使用用於許多不同功能的電晶體,並且這些電晶體可採用從平面電晶體至使用「鰭片(fin)」風格結構的電晶體的許多不同形式。鰭式電晶體的鰭片是薄而長的六面形狀(類似於長方形),其從基板延伸、或具有為基板的一部分的底部表面;其中,六面形狀的側面的長度大於寬度、頂部和底部具有類似側面長度的長度(但具有非常窄的寬度)、並且末端面距離基板的高度大約與側面的寬度相等,但只大約與頂部及/或底部等寬。這種鰭片結構中可能發生圓化以及不均勻成形(特別是在角落和頂部),並且通常這種結構具有圓化、錐形化形狀;然而,這種結構與平面裝置是極為不同的(但這兩種類型的裝置均是極為有用的)。
層間介電質(ILD)絕緣體層通常形成在含有電晶體的層上方,並且以通過這種ILD層至電晶體的組件的通孔作出電性連接。然而,在形成通過ILD的這種電性連接期間,下覆電晶體裝置的絕緣體可能會被有害地影響,而弱化了這種電晶體的運作。
本文中的範例方法將一層(原位形成、或之後摻雜的半導體材料)圖案化成鰭片以形成「第一」層或結構、形成源極/汲極結構在第一層中的通道區域的相對側面上、以及形成閘極絕緣體在通道區域上。並且,這些方法形成閘極導體在閘極絕緣體上以及形成堆疊絕緣體在閘極導體上,以形成閘極堆疊。
進一步地,這種方法形成第一間隔件在閘極堆疊之間的凹口中的這些閘極堆疊的側壁上。閘極堆疊具有鄰近源極/汲極結構的下部側壁和遠離源極/汲極結構的上部側壁。在本文中,方法從凹口的底部處的源極/汲極結構移除第一間隔件,並接著形成犧牲材料在第一間隔件上,而以這種犧牲材料填充(現已用第一間隔件加襯的)凹口。接下來,這些方法降低凹口中的第一間隔件和犧牲材料的高度,以僅在閘極堆疊的下部側壁上留下第一間隔件(以形成下部間隔件),並且使閘極堆疊的上部側壁被曝露。這允許這些方法形成上部間隔件在閘極堆疊的上部側壁上。並且,這些方法可在形成上部間隔件前,額外地降低犧牲材料相對於下部間隔件的高度,並且這種處理使上部間隔件與下部間隔件的一部分重疊(在下部間隔件交會上部間隔件處的位置)。
犧牲材料之後被移除,以曝露凹口的底部處的源極/汲極結構。這允許形成源極/汲極接觸件(導體材料)在下部間隔件、上部間隔件和源極/汲極結構上,而以源極/汲極接觸件填充(現已用第一間隔件和第二間隔件加襯的)凹口。如果犧牲材料相對於下部間隔件的高度被額外地降低(如上方選擇性地指示的),則這將使上部間隔件延伸進入上部間隔件交會下部間隔件處的源極/汲極接觸件內。
進一步地,這些方法形成介電層在源極/汲極接觸件上、使用蝕刻程序圖案化介電層以形成開口至源極/汲極接觸件、以及形成導體在開口中。此蝕刻程序可相較於用於上部間隔件的材料更能損壞用於下部間隔件的材料。然而,下部間隔件在蝕刻期間被更抗蝕刻的上部間隔件保護,因此,使用用於沿著凹口的上部側壁的上部間隔件的更抗蝕刻材料可防止顯著的蝕刻損壞,同時仍然允許較不抗蝕刻、但較高電性效能的材料被使用於沿著閘極堆疊的下部側壁的下部間隔件。
這些各種程序形成包含範例設備的不同結構,其包括(但還有其它組件)具有通道區域的第一層或結構、在第一層中通道區域的相對側面上的源極/汲極結構、在通道區域上的閘極絕緣體、以及在閘極絕緣體上的閘極堆疊。舉例來說,第一層可為半導體材料的鰭片。
閘極堆疊可包括閘極導體和閘極導體上的堆疊絕緣體。閘極堆疊具有鄰近源極/汲極結構的下部側壁和遠離源極/汲極結構的上部側壁。進一步地,下部間隔件是在源極/汲極接觸件與閘極堆疊的下部側壁之間;並且上部間隔件是在源極/汲極接觸件與閘極堆疊的上部側壁之間。
在一些結構中,上部間隔件可部分地與下部間隔件重疊,並且在這種結構中,上部間隔件延伸進入上部間隔件交會下部間隔件處的源極/汲極接觸件內。額外地,上部間隔件是由相對於下部間隔件,被蝕刻程序損壞較少的材料所形成。舉例來說,上部間隔件可為金屬氧化物或氮化物材料(例如,鋁氧化物、鉿氧化物、矽氮化物等),而下部間隔件可為低k絕緣體材料(例如,矽氧化物)。並且,下部側壁和上部側壁可大約等長。
100‧‧‧層
102‧‧‧通道區域
102A、102B‧‧‧通道
104‧‧‧絕緣體、隔離材料
105‧‧‧CMOS結構、設備
106‧‧‧堆疊絕緣體
108‧‧‧側壁間隔件
110‧‧‧鰭片、第一層
112‧‧‧絕緣體層、絕緣體
114‧‧‧閘極導體
114A、114B‧‧‧閘極
116‧‧‧閘極絕緣體
120‧‧‧共同汲極、源極/汲極結構
120A、120B‧‧‧源極
120C‧‧‧共享汲極
122‧‧‧遮罩
124‧‧‧第一間隔件材料、第一間隔件、下部間隔件
126‧‧‧犧牲材料
130‧‧‧共形材料、上部間隔件、第二間隔件
132‧‧‧源極/汲極接觸件
133‧‧‧開口、凹口
140‧‧‧介電層
144‧‧‧遮罩
146‧‧‧開口
147‧‧‧區域
148‧‧‧通孔導體
200、202、204、206、208、210、212、214、216、218、220、222、224、226、229、230‧‧‧項目
U‧‧‧上部
L‧‧‧下部
O‧‧‧重疊
W1、W2‧‧‧寬度
本文中的實施例從接下來的詳細描述並參考圖式將更佳地了解,其不必然是按照比例繪示,並且其中:第1A圖是依據本文中的實施例的積體電路結構的頂視(平面)概念示意圖;第1B圖是依據本文中的實施例沿著積體電路結構的第1A圖中的線Y-Y的剖視概念示意圖;第1C圖是依據本文中的實施例沿著積體電路結構的第1A圖中的線X1-X1的剖視概念示意圖;第1D圖是依據本文中的實施例沿著積體電路結構的第1A圖中的線X2-X2的剖視概念示意圖;第2-16圖是依據本文中的實施例沿著第1A圖中的線X1-X1的積體電路結構的形成的不同階段的剖視概念示意圖;第17和18圖是依據本文中的實施例沿著積體電路結構的第1A圖中的線X1-X1的剖視概念示意圖的放大部分;以及第19圖是例示本文中的實施例的流程圖。
如上方所提及的,在形成電性連接通過層間介電質(ILD)期間,下覆電晶體裝置的絕緣體會被有害地影響,而弱化了這種電晶體的運作。舉例來說,絕緣間隔件通常將閘極導體與側向鄰近用於源極/汲極結構的接觸件分離。然而,當通孔開口形成通過ILD時,此間隔件可被損壞,潛在地引致不希望出現的電性連接開始形成在閘極導體與源極/汲極接觸件之間(短路)。
為了避免這種短路,可限制通孔開口蝕刻程序,以防止蝕刻間隔件;然而,如果這種處理被過度限制,則可能導致通孔開口的形成不完全,接著可能會防止後續在通孔開口內形成的導體作出與源極/汲極接觸件適合的電性連接(斷路)。這種短路的其它解決方案包括對這種間隔件使用更抗蝕刻材料;然而,當這種更抗蝕刻材料被放置鄰近裝置元件時(例如,側向地鄰近閘極導體、源極/汲極結構等),它們會增加這種裝置元件所經歷的有效電容(Ceff)、減慢電晶體的效能。因此,裝置設計者必須面臨在增加斷路的可能性及/或減少裝置效能、或於沿著源極/汲極接觸件的整個長度使用連續單一材料間隔件時增加斷路的可能性之間的困難選擇。
有鑑於這種議題,本發明人已經發現可在閘極導體與源極/汲極接觸件之間形成高效能、低電容的下部間隔件,並結合上覆閘極堆疊絕緣體與源極/汲極接觸件之間的更抗蝕刻上部間隔件,以求維持高裝置效能,同時又能避免前述的短路和斷路。
有各種類型的電晶體,它們如何被使用在電路中有些微差異。舉例來說,雙極性電晶體具有被標註為基極、集極和射極的端點。基極端點處的小電流(也就是,在基極與射極之間流動)可控制、或切換集極端點與射極端點之間的更大電流。另一個範例是場效電晶體,其具有被標註為閘極、源極和汲極的端點。閘極處的電壓可控制源極與汲極之間的電流。在這些電晶體內,半導體(通道區域)是位在導電的源極區域與類似地導電的汲極(或導電的源極/射極區域)之間,並且當半導體是在導電狀態時,半導體允許電性電流在源極與汲極、或集極與射極之間流動。閘極是導電性元件,其藉由「閘極氧化物」(其為絕緣體)而與半導體電性分離;並且閘極內的電流/電壓改變使得通道區域成為導電的,以允許電性電流在源極與汲 極之間流動。類似地,在基極與射極之間流動的電流使得半導體成為導電的,以允許電流在集極與射極之間流動。
正極型電晶體「P型電晶體」使用在本徵半導體基板內例如硼、鋁或鎵等的雜質(以創造不足的價電子)作為半導體區域。類似地,「N型電晶體」是負極型電晶體,其在本徵半導體基板內使用例如銻、砷或磷等的雜質(以創造過多的價電子)作為半導體區域。
大致上,電晶體結構是藉由沉積或布植雜質進入基板以形成至少一個半導體通道區域來形成,並且與基板的頂部(上部)表面下方的淺溝槽隔離區域接壤。本文中的「基板」可為適合用於給定目的(不論現在已知或將來才發展的)的任何材料,並且不論是摻雜的或未摻雜的,基板可為例如矽基晶圓(塊狀材料)、陶瓷材料、有機材料、氧化物材料、氮化物材料等。隔離結構大致上是使用高度絕緣材料(此允許基板的不同主動區域彼此電性隔離)形成。並且,硬遮罩可由任何硬度大於基板和在其餘的結構中所使用的絕緣體材料的適合材料(不論是現在已知或將來才發展者)形成,例如,氮化物、金屬、或有機硬遮罩。
在本文中,當圖案化任何材料時,將被圖案化的材料可以任何已知方式生長或沉積,並且圖案化層(例如,有機光阻)可形成在材料上方。圖案化層(光阻)可曝露至在曝光圖案中所提供的光輻射的一些圖案(例如,圖案化曝光、雷射曝光等),並且之後使用化學劑來顯影光阻。此程序改變光阻曝露至光的部分的物理特性。之後,光阻的一個部分可被清洗掉,留下光阻的其它部分,以保護將被圖案化的材料(其中,被清洗掉的光阻的部分係視光阻是否為負光阻(發光的部分仍在)或正光阻(發光的部分被清洗掉)而定)。之後,實施材料移除程序(例如,溼蝕刻、非等向性蝕刻(方向相依(orientation dependent)蝕刻)、電漿蝕刻(反應式離子蝕刻(RIE)等)), 以移除材料在將被圖案化的電阻下方的未受保護的部分。接續地,移除光阻以留下依據曝光圖案而被圖案化的下覆材料(或其負影像)。
為了本文中的目的,「側壁間隔件」大致為藉由沉積或生長共形絕緣層(例如,上方所提到的任何絕緣體)並且之後實施方向性蝕刻程序(非等向性)(其以相較於從直立表面移除材料更大的速率從水平表面蝕刻材料,藉此沿著結構的直立側壁留下絕緣材料)形成的結構。留在直立側壁上的此材料係被稱為側壁間隔件。
第1A-17圖使用範例來顯示場效電晶體(FET),並且更具體而言,是顯示共享汲極的互補式金屬氧化物半導體(CMOS)鰭式FET(FinFET)。雖然在圖式中僅例示一個或有限數目的電晶體,但本領域中具有通常技術者將了解許多不同類型的電晶體也可與本文中的實施例同時地形成,並且圖式是意圖顯示同時形成多個不同類型的電晶體;然而,圖式為清楚起見已經被簡化成僅顯示有限數目的電晶體,並且允許讀者更容易地認識所例示的不同特徵。此並不意圖限制本發明,因為如本領域中具有通常技術者所將了解的,本發明可應用於包括圖式中所顯示的許多各個類型的電晶體的結構。
如上方所註記的,本文所呈現的一個範例結構在閘極導體與源極/汲極接觸件之間使用高性能、低電容下部間隔件,並結合在上覆的閘極堆疊絕緣體與源極/汲極接觸件之間的更抗蝕刻的上部間隔件。第1A-1D圖例示部分地形成的鰭式結構的一個範例。具體而言,第1A圖是依據本文中的實施例的積體電路結構的頂(平面)視概念示意圖,第1B圖是積體電路結構沿著第1A圖的線Y-Y的剖視概念示意圖,第1C圖是積體電路結構沿著第1A圖的線X1-X1的剖視概念示意圖,而第1D圖是積體電路結構沿著第1A圖的線X2-X2的剖視概念示意圖。
為了形成第1A-1D圖中所顯示的結構,可使用不同的處理。在一些範例方法中,層100(原位形成、或之後摻雜的半導體材料)被圖案化成如第1B圖中所顯示的鰭片110。如第1C圖中所顯示的,此形成「第一」層或結構(例如,鰭片110)。注意到雖然第一層110可為鰭片結構,但它並不需要是鰭片結構,並且第一層110在一些實作中可只是平面層。因此,鰭片110在本文中有時被大致上簡單地稱為第一層110。如可在第1B和1C圖中看見的,可形成絕緣體或隔離材料104並降低其高度,以露出鰭片110。
為了本文中的目的,「半導體」是一種材料或結構,其可包括布植或原位(例如,磊晶生長)的雜質,(視電子和電洞載子濃度而定)雜質允許材料有時是導體,並且有時是絕緣體。如本文中所使用的,「布植程序」可採取任何適合形式(不論是現在已知或將來才發展的),並且可例如為離子布植等。磊晶生長發生在富含將被生長的材料的氣體的加熱(並且有時是加壓的)環境中。
閘極絕緣體116(例如,閘極氧化物)被沉積或生長在(直接地接觸)第一層110上,並且,閘極導體114(例如,特定功函數金屬)是形成在(覆蓋、直接地接觸)閘極絕緣體116上。注意到在一些方法中,閘極導體114先前可以是占位(placeholding)犧牲結構(例如,虛擬閘極)。額外地,絕緣體(例如,矽氮化物)是形成在(覆蓋、直接接觸)閘極導體114上(並且這種絕緣體在本文中有時被稱為「堆疊」絕緣體106),並從而形成閘極堆疊114、106。側壁間隔件108(例如,低k絕緣體)是沿著(側向地鄰近、直接接觸)閘極堆疊114、106設置。在一些處理中,這種側壁間隔件108在形成閘極堆疊114、106前形成在虛擬閘極上。
在第1A、1C和1D圖中,可看到側壁間隔件108是在閘極堆疊114、106的相對側面上。進一步地,第1A圖例示鰭片110是狹長的(長度大於寬度)、大約平行的結構,並且類似地,閘極堆疊114、106也是狹長的、大約平行的結構。並且,第1A圖例示鰭片110在大約垂直於閘極堆疊114、106的指向的方向(指向)延伸。
為了本文中的目的,「絕緣體」是相對性用語,其意指相較於「導體」允許實質較少的(<95%)電性電流流動的材料或結構。本文所提到的介電質(絕緣體)可例如從乾氧環境或蒸汽生長並之後被圖案化。或者,本文中的介電質可從許多適宜高介電質常數(高k)材料的任何一者形成(生長或沉積而成),高k材料包括,但不限於:矽氧化物、矽氮化物、矽氧氮化物、金屬氧化物(例如,鉭氧化物等)。本文中的介電質的厚度可隨著需要的裝置性能而變化。
本文中所提到的導體可由任何導電性材料形成,例如,多晶矽(聚合矽)、無定形矽、無定形矽和聚合矽的組合、多晶矽鍺、藉由適合摻質的出現而給予的導電性等。或者,本文中的導體可為一種或更多種金屬,例如,鎢、鉿、鉭、鉬、鈦、鎳、金屬矽化物、或這些金屬的任何合金,並且可使用物理氣相沉積、化學氣相沉積、或本領域已知的任何其它技術來加以沉積。進一步地,本文中的一些導體可部分地或完全地由具有特定功函數的金屬形成。導體的功函數可被選擇成使用特定量的能量來從固體材料移除電子,並從而加強電晶體效能。
第一層110鄰近閘極導體114(在閘極導體114下面)的部分被指定為通道區域102。使用側壁間隔件108作為對準/圖案化結構,可以自對準方式而作出各種布植進入第一層110,以在第一層110中的通道區 域102的相對側面上形成源極/汲極結構120。可形成額外的絕緣體層112(例如,氧化物)以電性地保護這種結構。
第2-16圖是沿著第1A圖的線X1-X1的剖視示意圖,其概念性地例示形成範例積體電路結構的不同階段。如第2圖中所顯示的,本文中的方法形成共同汲極120在通道區域(使得源極/汲極結構在通道區域102之間是連續的)之間,其可被使用在例如一些CMOS結構(例如,反相器)中。
如第3圖中所顯示的,遮罩122在一些堆疊絕緣體106的上方被圖案化。在第4圖中,實施材料移除程序(蝕刻、溼處理等)通過遮罩122中的開口,以形成完全地通過絕緣體112的開口或凹口133,以曝露一些(但非全部)的源極/汲極結構120。在第5圖中,生長或沉積第一間隔件材料124(例如,矽二氧化物等),以共形地對凹口133加襯。在第6圖中,使用方向性蝕刻程序(例如,非等向性),以使第一間隔件124只留在閘極堆疊114、106之間的凹口133中的這些閘極堆疊114、106的側壁上,並且這會從凹口133的底部處的源極/汲極結構120移除第一間隔件124。
如第7圖中所顯示的,這種處理之後形成(例如,沉積)犧牲材料126(例如,光學聚合層(OPL)或其它適合材料)在第一間隔件124上,而以這種犧牲材料126填充(現已用第一間隔件124加襯的)凹口。接下來,如第8圖中所顯示的,這些方法實施任何適合的材料移除程序,以降低凹口133中的第一間隔件124和犧牲材料126的高度,以使第一間隔件124只留在閘極堆疊114、106的下部側壁上(以形成下部間隔件124),並使閘極堆疊114、106的上部側壁曝露。並且,如第9圖中所顯示的,這些方法可實施材料移除程序,其選擇性攻擊犧牲材料126(在沒有實質地影響第一 間隔件124材料下),以相對於第一間隔件124而額外地降低犧牲材料126的高度。
此處理定義閘極堆疊114、106具有更接近(鄰近)源極/汲極結構120的下部側壁部分和更離開(遠離)源極/汲極結構120的上部側壁部分。因為第一間隔件124現在只殘留在閘極堆疊114的下部側壁上,因此它們在本文中有時被稱為下部間隔件124。
如第10圖中所顯示的,這些方法之後形成(沉積或生長)比下部間隔件124相對地更抗蝕刻的共形材料130,例如,鉿氧化物、鋁氧化物、矽氮化物等。藉由在形成上部間隔件130前,相對於下部間隔件124額外地降低犧牲材料126的高度,這種處理使得上部間隔件130與下部間隔件124的一部分(在下部間隔件124與上部間隔件130交會的位置處)重疊。
如第11圖中所顯示的,使用方向性材料移除程序以使材料130僅留在閘極堆疊114、106的上部側壁上,以在閘極堆疊114、106的上部側壁上形成(在本文中有時被稱為)上部間隔件130。使用相同(或不同的)材料移除程序來移除犧牲材料126,以曝露在凹口133的底部處的源極/汲極結構120。
如第12圖中所顯示的,源極/汲極接觸件132(例如,鈦矽化物、鎢等)沉積在下部間隔件124、上部間隔件130和源極/汲極結構120上,而以源極/汲極接觸件132填充(現已用第一間隔件124和第二間隔件130加襯的)凹口133。第13圖顯示平坦化程序(例如,化學機械研磨(CMP)等)後的結構。如果犧牲材料126的高度相對於下部間隔件124被額外地降低(如上方所選擇性指示者),則此將使得上部間隔件130延伸進入上部間隔件130與下部間隔件124交會處的源極/汲極接觸件132內。鑑於此重 疊,源極/汲極接觸件132具有筆直上部側壁和共線的筆直下部側壁,上部側壁和下部側壁兩者被進入源極/汲極接觸件132內的凹坑(indent)加以分離。
進一步地,如第14圖中所顯示的,這些方法形成(沉積或生長)介電層140(例如,ILD)在源極/汲極接觸件132上。如第15A圖中所顯示的,此處理使用經圖案化的遮罩144來圖案化介電層140,以使用蝕刻程序形成開口146至源極/汲極接觸件132。注意到遮罩144和開口146在第15A圖中是故意地顯示為未對準(mis-aligned)的,因為這在製造期間是經常發生的。
第15A圖中所顯示的蝕刻程序可相較於用於上部間隔件130的材料,更能損壞用於下部間隔件124的材料。舉例來說,如第15B圖中所顯示的,如果上部間隔件130沒有在正確位置(並且有相同未對準的遮罩144),則沿著閘極堆疊114、106的側壁的整個長度延伸的第一間隔件124的部分可能會被不期望地移除,從而生成一旦在其中形成後續的通孔導體就會發生短路的區域147。然而,在第15A圖中所顯示的蝕刻期間,下部間隔件124被更抗蝕刻的上部間隔件130保護,因此,使用用於沿著凹口的上部側壁的上部間隔件130的更抗蝕刻材料可防止顯著的蝕刻損壞,同時仍然允許較不抗蝕刻、但較高電性效能(較低Ceff)的材料被使用於沿著閘極堆疊114、106的下部側壁的下部間隔件124。
第16圖例示本文中的處理形成通孔導體148在通孔開口146中。如果這種通孔導體148是形成在第15B圖中所顯示的結構中,則填充區域147的通孔導體148會在閘極導體114與源極/汲極接觸件132之間引發短路(藉由通孔導體148在閘極導體114與源極/汲極接觸件132之間形成電性連接)。
第16圖也例示CMOS結構105,其包括第一電晶體(源極120A、通道102A、閘極114A和共享汲極120C)及第二(相對極性)電晶體(源極120B、通道102B、閘極114B和共享汲極120C)。可使用這種結構來實施數個邏輯運作器,例如,反相器。進一步地,雖然第2-16圖中的範例例示一個特定電晶體結構,但本領域中具有通常技術者將了解閘極堆疊114、106與源極/汲極接觸件132之間的下部間隔件124和上部間隔件130可被使用在具有絕緣體在將被電性分離的導體之間的任何電晶體結構中,並且特別地在希望下部間隔件124中有較低電容以及上部間隔件130中有較高抗蝕刻的所有結構中。
第17和18圖為結構上以源極/汲極結構120的一者為中心的放大部分視圖。第17圖顯示(閘極堆疊114、106的、或源極/汲極接觸件132的)側壁的下部部分L比(閘極堆疊114、106的、或源極/汲極接觸件132的)側壁的上部部分U更接近源極/汲極結構120。並且,下部部分L與上部部分U可大約等長(例如,50/50)或可不等長(例如,60/40、70/30、80/20等)。
在本文中的一些結構中,側壁間隔件的下部部分L至少與閘極導體114的頂部的高度一樣長(至少與閘極導體114從第一層110延伸的距離一樣長)。換言之,下部間隔件124至少與閘極導體114(從第一層110)一樣高,以防止上部間隔件130與閘極導體114接觸。期望的是防止上部間隔件130與閘極導體114接觸,以避免增加電晶體的有效電容。
第17圖也例示上部間隔件130在下部間隔件124上的重疊使得上部間隔件130延伸進入源極/汲極接觸件132內。上部間隔件130的延伸進入源極/汲極接觸件132內會使源極/汲極接觸件沿著上部間隔件130與下部間隔件124重疊處的區域的寬度從W1減少至W2。並且,因為 上部間隔件130覆蓋下部間隔件124的頂部時會形成角落,所以上部間隔件130延伸進入源極/汲極接觸件132內的長度會大於上部間隔件130在下部間隔件124上的重疊O的長度。上部間隔件130延伸進入源極/汲極接觸件132內大於重疊O的長度的量視上部間隔件130的厚度而定(例如,視下部間隔件124的頂部上方的角落的厚度而定)。
如先前所註記的,上部間隔件130可與下部間隔件124重疊,但如果跳過第9圖中所顯示的處理,上部間隔件130也可不與下部間隔件124重疊。第18圖顯示上部間隔件130沒有與下部間隔件124重疊的結構。當上部間隔件130沒有重疊在下部間隔件124上時,上部間隔件130不會延伸進入源極/汲極接觸件132內。
再次參考第16圖,這些各種程序形成包括範例設備105的不同結構,設備105包括(但還有其它組件):具有通道區域102的第一層110或結構、在第一層110中通道區域102的相對側面上的源極/汲極結構120、在通道區域106上的閘極絕緣體116、以及在閘極絕緣體116上的閘極堆疊114、106。舉例來說,第一層110可為半導體材料的鰭片。
閘極堆疊114、106可包括閘極導體114和在閘極導體114上的堆疊絕緣體106。閘極堆疊114、106具有鄰近源極/汲極結構120的下部側壁L和遠離源極/汲極結構120的上部側壁U。進一步地,下部間隔件124在源極/汲極接觸件132與閘極堆疊114、106的下部側壁L之間;而上部間隔件130在源極/汲極接觸件132與閘極堆疊114、106的上部側壁U之間。
在一些結構中,上部間隔件130可與下部間隔件124部分地重疊,而在這種結構中,上部間隔件130延伸進入上部間隔件130交會下部間隔件124處的源極/汲極接觸件132。額外地,形成上部間隔件130 的材料相對於下部間隔件124,被蝕刻程序損壞較少。舉例來說,上部間隔件130可為金屬氧化物或氮化物材料(例如,鋁氧化物、鉿氧化物、矽氮化物等),而下部間隔件124可為低k絕緣體材料(例如,矽氧化物)。並且,下部側壁L和上部側壁U可以大約等長。
第19圖是例示上方所描述的處理的流程圖。如項目200中所顯示的,本文中的方法將層(原位形成、或之後摻雜的半導體材料)圖案化成鰭片,以形成「第一」層或結構。在項目202中,這些方法形成閘極絕緣體在第一層的通道區域上。如先前所註記的,在項目204中,犧牲閘極可形成在閘極絕緣體上。以此接續,在項目206中,形成犧牲閘極間隔件在犧牲閘極上。
接下來,在項目208中,這些方法使用犧牲閘極和間隔件作為自對準特徵,以形成源極/汲極結構在第一層中的通道區域的相對側面上。在項目210中,形成絕緣體在源極/汲極結構上方。接下來,在項目212中,移除犧牲閘極,並將其取代為形成閘極導體在閘極絕緣體上,並且形成堆疊絕緣體在閘極導體上以形成閘極堆疊。在項目214中,移除源極/汲極結構上方的絕緣體,以在閘極堆疊之間留下閘極間(inter-gate)凹口。
進一步地,如項目216中所顯示的,這些方法形成第一間隔件在閘極堆疊之間的凹口中的這種閘極堆疊的側壁上。閘極堆疊具有鄰近源極/汲極結構的下部側壁和遠離源極/汲極結構的上部側壁。在項目216中,這些方法也從凹口的底部處的源極/汲極結構移除第一間隔件。
在項目218中,形成犧牲材料在第一間隔件上,而以這種犧牲材料填充(現已用第一間隔件加襯的)凹口。之後,在項目220中,這些方法降低凹口中的第一間隔件和犧牲材料的高度,以使第一間隔件僅留在閘極堆疊的下部側壁上(以形成下部間隔件),並使閘極堆疊的上部側壁被 曝露。並且,如項目222中的選擇性步驟(由虛線方塊所顯示),這種方法在形成上部間隔件前,可相對於下部間隔件而額外地降低犧牲材料的高度,並且這種處理使得上部間隔件與下部間隔件的一部分(在下部間隔件交會上部間隔件的位置處)重疊。不管在220後、還是222後,這些方法之後在項目224中形成上部間隔件在閘極堆疊的上部側壁上。
之後在項目226中移除犧牲材料,以曝露凹口的底部處的源極/汲極結構。在項目228中,這允許源極/汲極接觸件(導體材料)形成在下部間隔件、上部間隔件和源極/汲極結構上,而以源極/汲極接觸件填充(現已用第一間隔件和第二間隔件加襯的)凹口。如果在項目222中,(如上方選擇性指示的)犧牲材料的高度相對於下部間隔件被額外地降低,則將使得上部間隔件延伸進入上部間隔件交會下部間隔件處的源極/汲極接觸件內。
進一步地,在項目230中,這種方法形成介電層在源極/汲極接觸件上、使用蝕刻程序圖案化介電層以形成開口至源極/汲極接觸件、以及形成導體在開口中。在項目230中的此蝕刻程序可比用於上部間隔件的材料更能損壞用於下部間隔件的材料。然而,下部間隔件在蝕刻期間被更抗蝕刻的上部間隔件保護,因此,使用用於沿著凹口的上部側壁的上部間隔件的更抗蝕刻材料,可防止顯著的蝕刻損壞,同時仍然允許較不抗蝕刻、但較高的電性效能材料被用於沿著閘極堆疊的下部側壁的下部間隔件。
圖式中的流程圖和方塊圖例示依據各種實施例的裝置和方法的可能實作的架構、功能性和運作。就此方面而言,流程圖或方塊圖中的各個方塊可代表模組、區段、或指令的部分,其包含用於實作特定邏輯功能的一個或更多個可執行指令。在一些替代實作中,方塊圖中所註記的功能可以圖式中所註記的不同次序發生。舉例來說,視所涉及的功能性而定,連續顯示的兩個方塊事實上可實質地同時執行,或方塊有時可以相反 次序執行。也將注意的是,方塊圖及/或流程圖例中的各個方塊以及方塊圖及/或流程圖例示中的方塊的組合,可藉由特別目的的基於硬體的系統加以實作,此系統實施指定功能或實施或實踐特別目的的硬體和電腦指令的組合。
本文中所使用的術語的目的是僅描述特別的實施例,而不意圖限制於前述。如本文中所使用的,單數型式「一」、「一個」和「該」也意圖包括複數型式,除非上下文另外清楚地指示。再者,如本文中所使用的術語,例如「右」、「左」、「直立」、「水平」、「頂部」、「底部」、「上部」、「下部」、「在下」、「下方」、「下覆」、「上方」、「上覆」、「平行」、「垂直」等術語是意圖描述當它們在圖式中指向和例示時的相對位置(除非另外指示),而例如「接觸」、「直接接觸」、「毗鄰」、「直接鄰近」、「立即鄰近」等術語是意圖指示至少一個元件實體地接觸另一個元件(沒有其它元件分離所描述的元件)。本文中所使用的術語「側向地」是描述元件的相對位置,並且更特別地,(如那些元件在圖式中所指向和例示的)是指示某元件是位在另一個元件的側面,而不是在其它元件的上方或下方。舉例來說,位於側向地鄰近另一個元件的某元件將在其它元件的側邊,位於側向立即鄰近另一個元件的某元件是直接地在其它元件的側邊,而側向圍繞另一個元件的某元件是鄰近並且接壤其它元件的外側壁。
本文中的實施例可使用在各種電子應用中,包括、但不限於先進感測器、記憶體/資料儲存器、半導體、微處理器、和其它應用。生成的裝置和結構(例如,積體電路(IC)晶片)可由製作者以原晶圓形式(也就是,以具有多個未封裝晶片的單一晶圓的形式)分佈成裸晶粒、或以封裝形式分佈。在後者的情形中,晶片是安裝在單一晶片封裝件(例如,具有引線固定至主機板的塑膠承載件、或其它高階承載件)中、或在多晶片封裝件(例如, 具有表面互連和埋置互連的一者或兩者的陶瓷承載件)中。在任何情形中,晶片可整合至其它晶片、分離的電路元件、及/或其它訊號處理裝置,以作為中間產品(例如,主機板)或終端產品的部件。終端產品可為包含積體電路晶片的任何產品,範圍從玩具及其它低端應用至具有顯示器、鍵盤或其它輸入裝置的先進電腦產品及中央處理器。
在下方申請專利範圍中的所有手段或步驟加上功能元件的對應結構、材料、動作或均等物是意圖包括用來實施功能並結合如所特定地請求的其它請求的元件的任何結構、材料或動作。本實施例的描述是為了例示和描述的目的而呈現,而不意圖是窮盡或限制所揭露的形式的實施例。對於本領域中具有通常技術者而言,不致偏離本文中的實施例的範疇和精神的許多修飾和變化是顯而易見的。實施例是為了最佳解釋其原則和實際的應用,並且致能本領域的其它具有通常技術者得以了解具有適合設想得到的特別用途的各種修飾的各種實施例而被選擇及描述。
雖然前述已經以有限的實施例予以詳細描述,但應立即了解到本文中的實施例並沒有被限制於本揭露。反而,本文中的元件可加以修飾,以併入至今尚未描述的、與本文中的精神和範疇相稱的任何變化、改變、替換或均等配置。額外地,雖然已經描述各種實施例,但應了解本文中的態樣可僅被一些所描述的實施例所包括。因此,下方的申請專利範圍不應視為被前述描述所限制。對單數型式的元件的引用,除非有特定地陳述,否則並不意圖是指「一個且只有一個」,而是指「一個或更多個」。本揭露通篇所描述的各種實施例的元件的所有結構性和功能性均等物(對於本領域中具有通常技術者為已知、或後來才知道),均藉由參照而明確地併入本文中並且意圖由本揭露所涵蓋。因此,可了解到可如由附錄的申請專利範圍所限定的前述的範疇內,在所揭露的特別實施例中作出改變。
200、202、204、206、208、210、212、214、216、218、220、222、224、226、229、230‧‧‧項目

Claims (20)

  1. 一種設備,包含:第一層,具有通道區域;源極/汲極結構,在該第一層中該通道區域的相對側面上;閘極堆疊,在該通道區域上,其中,該閘極堆疊具有鄰近該源極/汲極結構的下部側壁和遠離該源極/汲極結構的上部側壁;源極/汲極接觸件,在該源極/汲極結構上;下部間隔件,在該閘極堆疊的該下部側壁與該源極/汲極接觸件之間;以及上部間隔件,在該閘極堆疊的該上部側壁與該源極/汲極接觸件之間,其中,該下部間隔件與該上部間隔件具有不同蝕刻特性。
  2. 如申請專利範圍第1項所述之設備,其中,該上部間隔件延伸進入該上部間隔件交會該下部間隔件處的該源極/汲極接觸件內。
  3. 如申請專利範圍第1項所述之設備,其中,該上部間隔件包含相對於該下部間隔件被蝕刻程序損壞較少的材料。
  4. 如申請專利範圍第1項所述之設備,其中,該上部間隔件包含金屬氧化物或氮化物材料,並且其中,該下部間隔件包含低k絕緣體材料。
  5. 如申請專利範圍第1項所述之設備,其中,該上部間隔件包含鋁氧化物、鉿氧化物和矽氮化物的一者,並且其中,該下部間隔件包含矽氧化物。
  6. 如申請專利範圍第1項所述之設備,其中,該下部側壁和該上部側壁大約等長。
  7. 如申請專利範圍第1項所述之設備,其中,該第一層包含鰭片,該鰭片由包含半導體的材料組成。
  8. 一種設備,包含:第一層,具有通道區域;源極/汲極結構,在該第一層中該通道區域的相對側面上;閘極絕緣體,在該通道區域上;閘極堆疊,在該閘極絕緣體上,其中,該閘極堆疊包含在該閘極絕緣體上的閘極導體和在該閘極導體上的堆疊絕緣體,並且其中,該閘極堆疊具有鄰近該源極/汲極結構的下部側壁和遠離該源極/汲極結構的上部側壁;源極/汲極接觸件,在該源極/汲極結構上;下部間隔件,在該閘極堆疊的該下部側壁與該源極/汲極接觸件之間;以及上部間隔件,在該閘極堆疊的該上部側壁與該源極/汲極接觸件之間,其中,該下部間隔件與該上部間隔件具有不同蝕刻特性,並且其中,該上部間隔件與該下部間隔件部分地重疊。
  9. 如申請專利範圍第8項所述之設備,其中,該上部間隔件延伸進入該上部間隔件交會該下部間隔件處的該源極/汲極接觸件內。
  10. 如申請專利範圍第8項所述之設備,其中,該上部間隔件包含相對於該下部間隔件被蝕刻程序損壞較少的材料。
  11. 如申請專利範圍第8項所述之設備,其中,該上部間隔件包含金屬氧化物或氮化物材料,並且其中,該下部間隔件包含低k絕緣體材料。
  12. 如申請專利範圍第8項所述之設備,其中,該上部間隔件包含鋁氧化物、鉿氧化物和矽氮化物的一者,並且其中,該下部間隔件包含矽氧化物。
  13. 如申請專利範圍第8項所述之設備,其中,該下部側壁與該上部側壁是大約等長。
  14. 如申請專利範圍第8項所述之設備,其中,該第一層包含鰭片,該鰭片由包含半導體的材料組成。
  15. 一種方法,包含:形成源極/汲極結構在第一層中的通道區域的相對側面上;形成閘極絕緣體在該通道區域上;形成閘極導體在該閘極絕緣體上並且形成堆疊絕緣體在該閘極導體上,以形成閘極堆疊;形成第一間隔件在該閘極堆疊之間的凹口中的該閘極堆疊的側壁上,其中,該閘極堆疊具有鄰近該源極/汲極結構的下部側壁和遠離該源極/汲極結構的上部側壁;形成犧牲材料在該第一間隔件上,而以該犧牲材料填充該凹口;降低該凹口中的該第一間隔件和該犧牲材料的高度,以留下該第一間隔件在該下部側壁上,並且使該上部側壁被曝露;形成上部間隔件在該上部側壁上,其中,該第一間隔件與該上部間隔件具有不同蝕刻特性;移除該犧牲材料,以曝露該源極/汲極結構;形成源極/汲極接觸件在該第一間隔件、該上部間隔件和該源極/汲極結構上,而以該源極/汲極接觸件填充該凹口;形成介電層在該源極/汲極接觸件上; 圖案化該介電層,以形成至該源極/汲極接觸件的開口;以及形成導體在該開口中。
  16. 如申請專利範圍第15項所述之方法,進一步包含在形成該上部間隔件前,額外地降低該犧牲材料相對於該第一間隔件的高度,以使該上部間隔件與該第一間隔件在該第一間隔件交會該上部間隔件處的部分重疊。
  17. 如申請專利範圍第16項所述之方法,其中,該額外地降低該犧牲材料的該高度形成該上部間隔件延伸進入該上部間隔件交會該第一間隔件處的該源極/汲極接觸件。
  18. 如申請專利範圍第15項所述之方法,其中,圖案化該介電層包括損壞該第一間隔件多於該上部間隔件的蝕刻程序。
  19. 如申請專利範圍第15項所述之方法,進一步包含在以該犧牲材料填充該凹口前,從該凹口的底部處的該源極/汲極結構移除該第一間隔件。
  20. 如申請專利範圍第15項所述之方法,進一步包含圖案化半導體材料成鰭片,以形成該第一層。
TW108117518A 2018-06-21 2019-05-21 用於接觸件之不同上部與下部間隔件 TWI723401B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/014,076 US10522644B1 (en) 2018-06-21 2018-06-21 Different upper and lower spacers for contact
US16/014,076 2018-06-21

Publications (2)

Publication Number Publication Date
TW202011608A true TW202011608A (zh) 2020-03-16
TWI723401B TWI723401B (zh) 2021-04-01

Family

ID=68806022

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108117518A TWI723401B (zh) 2018-06-21 2019-05-21 用於接觸件之不同上部與下部間隔件

Country Status (3)

Country Link
US (1) US10522644B1 (zh)
DE (1) DE102019207381B4 (zh)
TW (1) TWI723401B (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102612592B1 (ko) * 2018-10-15 2023-12-12 삼성전자주식회사 반도체 소자
US10892338B2 (en) 2018-10-24 2021-01-12 Globalfoundries Inc. Scaled gate contact and source/drain cap
US10811319B2 (en) * 2018-11-29 2020-10-20 Globalfoundries Inc. Middle of line structures
US11152284B1 (en) 2020-05-07 2021-10-19 Sandisk Technologies Llc Three-dimensional memory device with a dielectric isolation spacer and methods of forming the same
US11257926B2 (en) 2020-06-08 2022-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned contact structures
US11316023B2 (en) * 2020-06-15 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Dumbbell shaped self-aligned capping layer over source/drain contacts and method thereof
US20220336607A1 (en) * 2021-04-20 2022-10-20 Qualcomm Incorporated Transistor cell with self-aligned gate contact

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5766969A (en) 1996-12-06 1998-06-16 Advanced Micro Devices, Inc. Multiple spacer formation/removal technique for forming a graded junction
US6399512B1 (en) 2000-06-15 2002-06-04 Cypress Semiconductor Corporation Method of making metallization and contact structures in an integrated circuit comprising an etch stop layer
US6635566B1 (en) 2000-06-15 2003-10-21 Cypress Semiconductor Corporation Method of making metallization and contact structures in an integrated circuit
US6492270B1 (en) 2001-03-19 2002-12-10 Taiwan Semiconductor Manufacturing Company Method for forming copper dual damascene
US7091098B2 (en) 2004-04-07 2006-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with spacer having batch and non-batch layers
US8129764B2 (en) 2008-06-11 2012-03-06 Aptina Imaging Corporation Imager devices having differing gate stack sidewall spacers, method for forming such imager devices, and systems including such imager devices
US8436404B2 (en) 2009-12-30 2013-05-07 Intel Corporation Self-aligned contacts
US9871121B2 (en) * 2014-03-10 2018-01-16 Qualcomm Incorporated Semiconductor device having a gap defined therein
US9269792B2 (en) * 2014-06-09 2016-02-23 International Business Machines Corporation Method and structure for robust finFET replacement metal gate integration
US10622458B2 (en) * 2017-05-19 2020-04-14 International Business Machines Corporation Self-aligned contact for vertical field effect transistor
US10256158B1 (en) 2017-11-22 2019-04-09 Globalfoundries Inc. Insulated epitaxial structures in nanosheet complementary field effect transistors

Also Published As

Publication number Publication date
DE102019207381B4 (de) 2023-12-21
TWI723401B (zh) 2021-04-01
US20190393321A1 (en) 2019-12-26
US10522644B1 (en) 2019-12-31
DE102019207381A1 (de) 2019-12-24

Similar Documents

Publication Publication Date Title
TWI685967B (zh) 在互補場效電晶體中之磊晶結構
US11682697B2 (en) Fin recess last process for FinFET fabrication
US11114550B2 (en) Recessing STI to increase FIN height in FIN-first process
TWI723401B (zh) 用於接觸件之不同上部與下部間隔件
KR101637679B1 (ko) Fⅰnfet을 형성하기 위한 메커니즘들을 포함하는 반도체 디바이스및 그 형성 방법
US20200402859A1 (en) Contact Structure and Method of Fabricating the Same
KR102575420B1 (ko) 반도체 장치 및 그 제조 방법
TWI313041B (en) Self-aligned gate isolation
US11342326B2 (en) Self-aligned etch in semiconductor devices
KR20160119889A (ko) 반도체 장치 및 반도체 장치의 제조 방법
US10818659B2 (en) FinFET having upper spacers adjacent gate and source/drain contacts
TWI749363B (zh) 在閘極與源極/汲極接觸之間具有絕緣層的finfet
TW202008596A (zh) 在片之間具有間隔件之奈米片場效電晶體
US10475791B1 (en) Transistor fins with different thickness gate dielectric
US10186485B2 (en) Planarized interlayer dielectric with air gap isolation
TW202236599A (zh) 半導體裝置結構
TWI409948B (zh) 製造具有不同高度接觸線之高密集度mosfet電路的結構與方法
TW202137570A (zh) 半導體元件及其製造方法
US10832943B2 (en) Gate contact over active region with self-aligned source/drain contact
TW202113943A (zh) 半導體裝置
TWI670770B (zh) 用於形成自對準接觸物的擴大犧牲閘極覆蓋物
US10269812B1 (en) Forming contacts for VFETs
US10629694B1 (en) Gate contact and cross-coupling contact formation
CN110581130A (zh) 半导体装置
TWI702724B (zh) 在閘極切割期間使用源/汲極接觸蓋