TW202008509A - 半導體結構的形成方法 - Google Patents

半導體結構的形成方法 Download PDF

Info

Publication number
TW202008509A
TW202008509A TW108126907A TW108126907A TW202008509A TW 202008509 A TW202008509 A TW 202008509A TW 108126907 A TW108126907 A TW 108126907A TW 108126907 A TW108126907 A TW 108126907A TW 202008509 A TW202008509 A TW 202008509A
Authority
TW
Taiwan
Prior art keywords
layer
dielectric layer
conductive
dielectric
precursor
Prior art date
Application number
TW108126907A
Other languages
English (en)
Inventor
劉中偉
柯宇倫
邱意為
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202008509A publication Critical patent/TW202008509A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76804Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics by forming tapered via holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

此處提供多層內連線系統中的金屬間介電層,其採用的低介電常數的介電材料與其化學與物理特性的形成方法。此處所述的沉積技術包括電漿輔助化學氣相沉積、電漿輔助原子層沉積、與原子層沉積製程,其前驅物如四乙氧基矽烷及二乙氧基甲基矽烷可提供必要的氧原子,而可不採用氧氣作為反應物之一。所述的沉積技術可更包含採用氧氣的電漿輔助化學氣相沉積、電漿輔助原子層沉積、與原子層沉積,其採用的前驅物可含有埋置的矽-氧-矽鍵如(CH3 O)3 -Si-O-Si-(CH3 O)3 或(CH3 )3 -Si-O-Si-(CH3 )3

Description

半導體結構的形成方法
本發明實施例關於內連線結構,更特別關於金屬間介電層的組成與其形成方法。
半導體產業持續創新半導體技術,比如減少結構(如線路、空間、與孔洞)的最小尺寸之多重圖案化、三維電晶體(如鰭狀場效電晶體)、更多內連線層、與埋置於半導體基板上堆疊的內連線系統中的電子構件,以增加積體電路中的電子構件(如電晶體、二極體、電阻、電容、或類似物)與內連線結構(如接點、通孔、線路、接合墊、或類似物)的密度。縮小尺寸可增加電子構件的固有速度,且可由任何給定的成本實施較高的積體電路功能。然而縮小尺寸通常具有新挑戰如隔離、漏電流、可信度、寄生串聯電阻、與寄生耦合電阻。
本發明一實施例提供之半導體結構的形成方法,包括:形成導電單元於基板上的介電層中;沉積介電材料於導電單元上,且沉積介電材料的步驟包括:將基板置入製程腔室;將第一前驅物導入製程腔室,且第一前驅物包括矽原子與氧原子;以及將第二前驅物導入製程腔室,以反應形成介電材料,第二前驅物包括碳氫化合物,其中沉積介電材料的步驟不含氣態氧;移除介電材料的一部份,以露出導電單元;以及形成接點穿過介電材料至導電單元。
本發明一實施例提供之半導體裝置的形成方法,包括:形成介電材料於基板上的導電單元上,且形成介電材料的步驟包括:將基板置入製程腔室;將氣態氧導入製程腔室;將第一前驅物導入製程腔室,且第一前驅物包括埋置的矽-氧-矽鍵;以及將第二前驅物導入製程腔室,且第二前驅物包括碳氫化合物;以及形成接點穿過介電材料至導電單元。
本發明一實施例提供之半導體裝置的形成方法,包括:形成介電材料於基板上的導電單元上,其中介電材料為氧化矽的衍生物,其中形成介電材料的步驟包括將基板置入製程腔室並進行原子層沉積製程,且進行原子層沉積製程的步驟包括:進行一或多個反應循環,且反應循環包括進行連續的三對交替的反應脈衝與淨化脈衝,且連續的三對交替的反應脈衝與淨化脈衝包括:在第一反應脈衝時將第一前驅物導入製程腔室,且第一前驅物包括氣態氧;在完成第一反應脈衝之後,在第一淨化脈衝時導入第一淨化氣體;在完成第一淨化脈衝之後,在第二反應脈衝時將第二前驅物導入製程腔室,且第二前驅物包括埋置的矽-氧-矽鍵;在完成第二反應脈衝之後,在第二淨化脈衝時導入第二淨化氣體;在完成第二淨化脈衝之後,在第三反應脈衝時將第三前驅物導入製程腔室,且第三前驅物包括碳氫化合物;以及在完成第三反應脈衝之後,在第三淨化脈衝時導入第三淨化氣體;以及在形成介電材料的步驟完成之後,形成接點穿過介電材料至導電單元。
下述內容提供的不同實施例或實例可實施本發明的不同結構。下述特定構件與排列的實施例係用以簡化本發明內容而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸的實施例,或兩者之間隔有其他額外構件而非直接接觸的實施例。另一方面,本發明之多個實例可重複採用相同標號以求簡潔,但多種實施例及/或設置中具有相同標號的元件並不必然具有相同的對應關係。
此外,本發明實施例之結構形成於另一結構上、連接至另一結構、及/或耦接至另一結構中,結構可直接接觸另一結構,或可形成額外結構於結構及另一結構之間。此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。
本發明實施例說明形成多層內連線系統中的層間介電層與金屬間介電層所用的低介電常數絕緣層,且含有導電線路、接點、與通孔的多層內連線系統用於使電子裝置內連線並輸送積體電路中的電能與電子訊號,如電路設計所規範。圖1A顯示埋置於半導體基板上的介電層中的導電內連線結構,而半導體基板上可形成鰭狀場效電晶體60 (見圖1A的右側)。導電單元(如接點74)電性連接至源極/汲極區54。接點73電性連接至閘極64。圖1A之左側的閘極64可連接至未圖示於圖1A之剖視圖中的電子裝置,比如鰭狀場效電晶體、金氧半電容、金屬-絕緣層-金屬電容、電阻、或類似物。接點73與74可垂直延伸穿過一或多個介電層(如第一層間介電層76與第二層間介電層78,一起稱作層間介電層10),如下詳述。接點73與74連接基板50上的電子裝置至垂直的相鄰內連線層(稱作第零金屬層)的導電單元。如圖1所示的一些實施例,接點73與74連接至第零金屬層的導電線路88。在第零金屬層上的垂直相鄰內連線層為第一金屬層。第一金屬層的垂直導電單元稱作第一通孔104,可電性連接其下方的第零金屬層的導電線路88至其上方的第一金屬層的導電線路108。內連線系統的後續較高層稱作第二金屬層與第三金屬層等等,其可堆疊於多層內連線系統中,如圖1A之頂部的刪節號所示。舉例來說,第二金屬層的第二通孔204連接其下方的第一金屬層的導電線路108至其上方的第二金屬層的導電線路208。
如圖1A所示,第零金屬層的導電結構(如第零金屬層的導電線路88)埋置於第零金屬間介電層20中,第一金屬層的導電結構(如第一通孔104與第一金屬層的導電線路108)埋置於第一金屬間介電層100中,第二金屬層的導電結構(如第二通孔204與第二金屬層的導電線路208)埋置於第二金屬間介電層200中,以此類推。如下詳述,金屬間介電層可包含蝕刻停止層於個別的金屬間介電層的底部。舉例來說,圖1A顯示第零金屬間介電層20中的蝕刻停止層21、第一金屬間介電層100中的蝕刻停止層111、與第二金屬間介電層200中的蝕刻停止層211。每一金屬間介電層的基體絕緣層(如基體第零金屬間介電層86、基體第一金屬間介電層110、與基體第二金屬間介電層210)包含的介電材料可稱作低介電常數的介電層。在本發明所述的實施例中,低介電常數的介電層通常指的是主要含有矽-氧鍵的非晶絕緣材料,其介電常數比計量的非晶氧化矽的介電常數(3.9)低。
內連線系統的效能指標之一為密集的金屬線路之電阻電容的充電/放電時間常數(設計規則所容許的最小間距),其中電阻(單位長度的電阻)為密集排列的金屬線路之正規化串聯電阻,而電容(單位長度的電容)為密集排列的金屬線路之正規化線路間寄生電容。在緊密排列的長線路上傳輸高速訊號的可用帶寬受限於電容電阻時間常數。舉例來說,電阻電容時間常數會限制金屬線路的最大密度或最大長度,比如傳遞積體電路中的高頻類比訊號或高資料比率的數位訊號所用的金屬線路。較大的電阻電容時間常數在傳遞高速訊號時會造成訊號過度失真,進而限制積體電路的效能。綜上所述,採用低介電常數的介電層形成基體第零金屬間介電層86、基體第一金屬間介電層110、與基體第二金屬間介電層210具有優點,因為線路間電容與橫向相鄰的線路之間的絕緣材料之介電常數成正比。調整製程步驟以形成低介電常數的介電層,通常會劣化一些其他材料特性(如介電崩潰電場及/或機械強度)。綜上所述,若對電路效能的影響不足以彌補其他介電品質的損失,則特定層中的導電結構之間不包含低介電常數的介電絕緣層。舉例來說,圖1A所示的內連線系統的層間介電層10不含低介電常數的介電層。
雖然本發明實施例的方法在採用多層內連線系統連接電子裝置(如鰭狀場效電晶體60)的結構中形成低介電常數的介電層,其他實施例可採用類似概念搭配其他多層內連線系統與其他電子裝置。
圖1A所示的基板50可包含基體半導體基板或絕緣層上半導體基板。絕緣層上矽基板包括絕緣層於半導體薄層下,而半導體薄層可為絕緣層上半導體基板的主動層。基體半導體與主動層的半導體材料通常包含結晶矽,但可包含一或多種其他半導體材料如鍺、矽鍺合金、半導體化合物(砷化鎵、砷化鋁、砷化銦、氮化鎵、氮化鋁、或類似物)、上述之合金(砷化鋁鎵、氮化鋁鎵、砷化銦鎵、或類似物)、半導體氧化物(氧化鋅、氧化錫、氧化鈦、氧化鎵、或類似物)、或上述之組合。半導體材料可摻雜或未摻雜。亦可採用其他基板如多層基板、組成漸變基板、或混合方向基板。
圖1A所示的鰭狀場效電晶體60為三維的金氧半場效電晶體結構,其形成於半導體凸起的帶狀物(如鰭狀物58)中。圖1B顯示鰭狀場效電晶體60的三維圖。鰭狀場效電晶體60包括兩個閘極結構68形成於三個鰭狀物58的側壁與上表面上,且每一閘極結構68包括閘極介電層66與閘極64。閘極結構68亦延伸於淺溝槽隔離區62上。淺溝槽隔離區62形成於基板50上,且部份地填入鰭狀物58之外的凹陷。間隔物72沿著閘極結構68的兩側壁。圖1B所示的源極/汲極區54係自對準間隔物72的半導體區,其選擇性磊晶成長於鰭狀物58上。源極/汲極區54與閘極結構68隔有間隔物72。在圖1B所示的例子中,相鄰鰭狀物58上的磊晶成長結構合併。圖1C所示的例子較佳地顯示鰭狀物的凸起結構,其跳過磊晶成長源極/汲極區54所用的製程步驟。綜上所述,圖1C中的鰭狀場效電晶體60的源極/汲極區為鰭狀物58的區域54’。圖1B與1C中的鰭狀場效電晶體60包含於第一層間介電層76中。用於形成這些結構的方法將詳述如下。圖1A的剖面圖沿著圖1B中的鰭狀物58之縱軸,其方向平行於源極/汲極區54之間的電流方向(如軸A-A’所示)。
鰭狀物58的形成方法,可採用光微影與蝕刻技術以圖案化基板。舉例來說,可採用間隔物影像轉移圖案化技術。在此方法中,形成犧牲層於基板上,並採用合適的光微影與蝕刻製程圖案化犧牲層以形成芯。採用自對準製程沿著芯的側部形成間隔物。接著以合適的選擇性蝕刻製程移除犧牲層。接著可採用保留的間隔物作為硬遮罩,蝕刻溝槽至基板50中以圖案化個別的鰭狀物58,且蝕刻方法可採用非等向反應性離子蝕刻。圖1A顯示單一鰭狀物58,但基板50可包含任何數目的鰭狀物。
沿著鰭狀物58的兩側形成淺溝槽隔離區62,如圖1A所示。淺溝槽隔離區62的形成方法可為沉積一或多種介電材料(如氧化矽)以完全填入鰭狀物周圍的溝槽,接著使介電材料的上表面凹陷。淺溝槽隔離區62的介電材料之沉積方法,可採用高密度電漿化學氣相沉積、低壓化學氣相沉積、次壓化學氣相沉積、可流動的化學氣相沉積、旋轉塗佈、類似方法、或上述之組合。在沉積之後,可進行退火製程或固化製程。在一些例子中,淺溝槽隔離區62可包含襯墊層,比如氧化矽表面所成長的熱氧化物襯墊層。舉例來說,凹陷製程可採用平坦化製程(如化學機械研磨)與之後的選擇性蝕刻製程(如濕蝕刻、乾蝕刻、或上述之組合),而選擇性蝕刻製程可使淺溝槽隔離區62的介電材料上表面凹陷,使鰭狀物58的上側部份自周圍的絕緣淺溝槽隔離區62凸起。在一些實施例中,可由平坦化製程移除形成鰭狀物58所用的圖案化硬遮罩。
在一些實施例中,圖1A與1B所示的鰭狀場效電晶體60之閘極結構68具有高介電常數的介電層與金屬閘極的閘極結構,其形成方法可採用置換閘極製程流程。在置換閘極製程流程中,高介電常數的介電層與金屬閘極的閘極結構68將取代犧牲虛置閘極結構(未圖示)。在形成淺溝槽隔離區62之後,可採用合適的沉積技術如化學氣相沉積、電漿輔助化學氣相沉積、原子層沉積、電漿輔助原子層沉積、或類似方法依序沉積虛置閘極介電層(如氧化矽、氮化矽、或類似物)與虛置閘極層(如非晶矽、多晶矽、或類似物),或熱氧化半導體基板以形成虛置閘極介電層,或採用上述方法之組合。可由化學機械研磨製程平坦化虛置閘極材料,之後可採用化學氣相沉積、物理氣相沉積、電漿輔助化學氣相沉積、原子層沉積、電漿增強原子層沉積、類似方法、或上述之組合形成硬遮罩層(如氮化矽、碳化矽、或類似物)於虛置閘極層上。接著採用合適的光微影與蝕刻技術(如反應性離子蝕刻),圖案化硬遮罩並將圖案轉移至虛置閘極介電層與虛置閘極材料,以形成含有虛置閘極介電層、虛置閘極、與硬遮罩的虛置閘極結構。可沿著凸起高於淺溝槽隔離區62的鰭狀物58之側壁與頂部形成虛置閘極結構,且虛置閘極結構可延伸於鰭狀物58之間的淺溝槽隔離區62的表面上。
在一些實施例中,鰭狀場效電晶體60的源極/汲極區54與間隔物72可自對準虛置閘極結構。在圖案化虛置閘極結構之後,可形成間隔物72。間隔物介電層的沉積方法可採用任何合適沉積技術如化學氣相沉積、原子層沉積、物理氣相沉積、類似方法、或上述之組合,且間隔物材料層可包括一或多種介電材料如氧化矽、氮化矽、氮氧化矽、碳化矽、碳氮化矽、類似物、或上述之組合。非等向蝕刻製程可自虛置閘極結構的頂部移除間隔物介電層,並沿著虛置閘極結構保留間隔物72。虛置閘極結構橫向延伸至鰭狀物的表面之一部份上(如圖1A的右側所示),或淺溝槽隔離介電層的表面之一部份上(如圖1A的左側所示)。
源極/汲極區54為直接接觸半導體的鰭狀物58之半導體區。在一些實施例中,源極/汲極區54可包含重摻雜區與較輕摻雜的源極/汲極延伸區(或輕摻雜源極/汲極區)。一般而言,可採用間隔物72分隔重摻雜區與虛置閘極結構。可在形成間隔物72之前形成輕摻雜源極/汲極區,使輕摻雜源極/汲極區延伸於間隔物72下。在一些實施例中,輕摻雜源極/汲極區更延伸至虛置閘極結構下的半導體材料之一部份中。舉例來說,輕摻雜源極/汲極區的形成方法,可採用離子佈植製程以佈植摻質如砷、磷、硼、銦、或類似物。
源極/汲極區54可包含磊晶成長區。舉例來說,在形成輕摻雜源極/汲極區之後可形成間隔物72,接著可形成重摻雜源極/汲極區以自對準間隔物72。重摻雜源極/汲極區的形成方法可為先蝕刻鰭狀物以形成凹陷,接著以選擇性磊晶成長製程沉積結晶的半導體材料於凹陷中。結晶的半導體材料可填入凹陷,且通常延伸超出鰭狀物的初始表面以形成隆起的源極/汲極結構,如圖1A所示。結晶的半導體材料可為元素(如矽、鍺、或類似元素)或合金(如碳化矽、矽鍺、或類似物)。選擇性磊晶成長製程可採用任何合適的磊晶成長法如氣相/固相/液相磊晶、有機金屬化學氣相沉積、分子束磊晶、或類似方法。可將高劑量(介於約1014 cm-2 至1016 cm-2 之間)的摻質導入重摻雜的源極/汲極區54中,且摻雜方法可為在選擇性磊晶成長時原位摻雜、在選擇性磊晶程長之後進行離子佈植、或上述之組合。
在圖1A中,沉積第一層間介電層76以填入虛置閘極結構(未圖示)之間的空間,並填入自淺溝槽隔離區62凸起的鰭狀物58之部份之間的空間。在一些實施例中,在沉積第一層間介電層76之前,可採用合適的沉積技術如化學氣相沉積、物理氣相沉積、原子層沉積、電漿輔助化學氣相沉積、電漿輔助原子層沉積、或類似方法沉積接點蝕刻停止層11,且接點蝕刻停止層11的合適介電材料可為氮化矽、碳化矽、類似物、或上述之組合。可進行平坦化製程如化學機械研磨,自虛置閘極上移除多餘的第一層間介電層76與任何殘留的硬遮罩材料以形成上表面,其中虛置閘極材料的上表面露出並與第一層間介電層76及間隔物72的上表面實質上共平面(在製程變異內)。在露出虛置閘極結構的上表面之後,可採用一或多道蝕刻技術如濕蝕刻、乾蝕刻、或上述之組合移除虛置閘極結構,以產生凹陷於個別的間隔物72之間,且置換閘極結構之後將形成於凹陷中,如下所述。
在圖1A中,高介電常數的介電層與金屬閘極的閘極結構68,包括占據凹陷的閘極介電層66與導電的閘極64。可依序沉積置換的閘極介電層66與置換的導電閘極64,以完全填充凹陷。在一些實施例中,閘極介電層66可包含一或多種介電材料如高介電常數的介電層。高介電常數的介電層的例子包括鉿、鋁、鋯、鑭、鎂、鋇、鈦、鉛、或上述之組合的氧化物或矽酸鹽。閘極介電層66的形成方法可採用任何合適的沉積技術,比如化學氣相沉積、遠端電漿化學氣相沉積、分子束沉積、原子層沉積、或類似方法。
在一些實施例中,導電的閘極64可為多層金屬閘極堆疊,其包含阻障層、功函數層、與閘極填充層依序形成於閘極介電層66的頂部上。阻障層所用的材料例包括氮化鈦、氮化鉭、鈦、鉭、類似物、或上述之多層組合。功函數層所用的材料例子包括用於p型金氧半電晶體的氮化鈦、氮化鉭、釕、鉬、或鋁,或者用於n型金氧半電晶體的鈦、銀、鉭鋁、碳化鉭鋁、氮化鈦鋁、碳化鉭、碳氮化鉭、氮化鉭矽、錳、或鋯。閘極填充層可填入凹陷的其餘部份,且閘極填充層可包含金屬如銅、鋁、鎢、鈷、釕、類似物、上述之組合、或上述之多層。導電的閘極64之形成方法可採用化學氣相沉積、遠端電漿化學氣相沉積、電漿輔助化學氣相沉積、物理氣相沉積、原子層沉積、電漿輔助原子層沉積、電鍍、無電鍍、或類似方法。
可採用化學機械研磨製程等方法,自第一層間介電層76的上表面上移除閘極64與閘極介電層66的多餘部份。上述步驟形成的結構如圖1A所示,具有實質上平坦的表面,其包括第一層間介電層76、間隔物72、與埋置於個別間隔物72之間的閘極64與閘極介電層66的保留部份所露出的上表面。鰭狀物58之頂部上的高介電常數的介電層與金屬閘極的閘極結構68 (如圖1A右側所示),沿著凸起高於淺溝槽隔離區62的鰭狀物58的側壁延伸並延伸於鰭狀物58上,如圖1B與1C的三維圖所示。圖1左側中的高介電常數的介電層與金屬閘極的閘極結構68延伸於淺溝槽隔離區62上,比如延伸於相鄰的鰭狀物之間。閘極64可連接至圖1A的剖視圖未顯示的電子裝置(如鰭狀場效電晶體、金氧半電容、金屬-絕緣層-金屬電容、電阻、或類似物)。
可沉積第二層間介電層78於第一層間介電層76上,如圖1A所示。在一些實施例中,形成層間介電層10 (第一層間介電層76與第二層間介電層78)所用的絕緣材料,可包括氧化矽、磷矽酸鹽玻璃、硼矽酸鹽玻璃、硼磷矽酸鹽玻璃、未摻雜的矽酸鹽玻璃、或類似物。形成層間介電層10所用的介電材料之沉積方法,可採用任何合適方法如化學氣相沉積、電漿輔助化學氣相沉積、可流動的化學氣相沉積、旋轉塗佈、類似方法、或上述之組合。在一些實施例中,可採用平坦化製程如化學機械研磨,以平坦化第二層間介電層78。
如圖1A所示,可形成接點74延伸穿過半導體的鰭狀物58上的接點蝕刻停止層11與層間介電層102的介電層。延伸穿過第二層間介電層78的接點73 (如圖1A的左側所示)電性連接至淺溝槽隔離區62上的閘極64。在一些實施例中,接點73所用的開口延伸穿過第二層間介電層78,而接點74所用的開口更穿過第一層間介電層76與接點蝕刻停止層11,且上述開口的形成方法為採用合適光微影與蝕刻技術的單一圖案化步驟。蝕刻製程可為兩個連續階段。在第一階段中,採用非等向乾蝕刻製程以選擇性地移除層間介電層10 (對閘極64及接點蝕刻停止層11具有選擇性),可露出接點蝕刻停止層11與閘極64的部份。在蝕刻製程的第二階段中,改變蝕刻劑以選擇性地移除接點蝕刻停止層11 (對接點蝕刻停止層11下的半導體與閘極64具有選擇性),可露出源極/汲極區54。如圖1A所示,形成於源極/汲極區上的接點74所用的開口,比形成於閘極64上的接點73所用的開口深。
在一些其他實施例中,可採用兩個分開的圖案化步驟以形成兩種接點73與74所用的開口。舉例來說,可採用第一圖案化遮罩層(如圖案化光阻層),露出層間介電層10的表面的部份,其之後將形成接點73與74。採用閘極64作為蝕刻停止層,並採用第一圖案化遮罩進行非等向蝕刻技術,以移除第二層間介電層78的一部份並露出閘極64的上表面的一部份。在閘極結構68之外的露出區域中,第一層間介電層76實質上可維持低於開口底部。層間介電層10中的開口不只形成於源極/汲極區54上(之後形成源極/汲極的接點74處),亦形成於閘極結構68與半導體的鰭狀物58之間的空間中的層間介電層10的部份中。第一圖案可用於橫向延伸接點73所用的開口,以在閘極64之外的層間介電層10的部份中形成溝槽。保留於這些溝槽底部的第一層間介電層76可使半導體區(如基板50與鰭狀物58)以及後續製程步驟中填入溝槽與接點開口的導電材料絕緣,如下所述。位於裝置電極(如閘極64與源極/汲極區54)之間的層間介電層10中的導電結構,可作為局部內連線層(未圖示)以形成短距的電子裝置(如鰭狀場效電晶體)之間的電性連接。可形成第二圖案化層(如光阻層),以選擇性地暴露層間介電層10的部份至蝕刻劑,完成移除層間介電層10與接點蝕刻停止層11的一部份,並露出源極/汲極區54的一部份,進而完成源極/汲極的接點74所需的開口。
在一些實施例中,可順應性地沉積導電襯墊層於層間介電層10中的開口,且開口用於接點73與74及局部內連線結構。接著可沉積導電填充材料於導電襯墊層上,以完全填入開口。襯墊層可包括金屬以助增進黏著性,且可作為阻障層以避免接點74的導電填充材料向外擴散至周圍的介電材料中。在一些實施例中,襯墊層可包含一或多種金屬層。導電襯墊層可另外包含金屬如氮化鈦、氮化鉭、鉭、其他合適金屬、或上述之合金。可採用任何可接受的沉積技術(如化學氣相沉積、原子層沉積、電漿輔助原子層沉積、電漿輔助化學氣相沉積、物理氣相沉積、電鍍、無電鍍、類似方法、或上述之組合)以沉積導電填充材料(如鎢、鋁、銅、釕、鎳、鈷、上述之合金、上述之組合、或類似物)於導電襯墊層上,以填入接點開口。
在一些實施例中,在填充接點開口之前可順應性地沉積金屬層,而金屬層可與接點開口底部露出的源極/汲極區54中的重摻雜半導體反應。可進行熱步驟,使金屬與半導體反應形成金屬矽化物區,以助形成低電阻的歐姆接點。舉例來說,在露出的半導體為矽或矽鍺的實施例中,可採用金屬如鈦、鎳、鉑、鈷、其他合適金屬、或上述之合金形成導電金屬矽化物。舉例來說,可採用濕式化學蝕刻選擇性地移除任何未反應的金屬。
在完成沉積導電填充材料之後,可採用平坦化製程如化學機械研磨自第二層間介電層78的表面上移除所有導電材料的多餘部份。上述步驟形成的導電插塞埋置於層間介電層10中,即圖1A所示的接點74。在此例中,採用相同製程步驟同時形成接點至淺溝槽隔離區62上的電極與鰭狀物58上的電極。然而其他實施例可分開形成兩種接點。
用於製作多層內連線系統的製程流程,可稱作後段製程。可採用多種後段製程整合方案之任一者,端視積體電路設計的需求而定。在此例的後段製程方案中,接點73與74可作為垂直導電連接物(見圖1A),亦可橫向延伸接點73以作為局部內連線線路(未圖示)。在此例中,形成於半導體基板上的電極裝置的電極(如導電的閘極64與源極/汲極區)可採用接點73與74直接連接至第零金屬層的導電線路88,而第零金屬層不具有垂直的導電通孔。形成於第零金屬層上的內連線層(如第一金屬層)包含橫向的導電線路(如第一金屬層的導電線路108)與垂直的導電通孔(如第一通孔104)。應理解的是,此後段製程整合方案只是舉例。其他實施例可採用其他後段製程整合方案。舉例來說,一些實施例的接點73與74可專門作為垂直連接器,而用於連接相鄰鰭狀場效電晶體的局部內連線結構可能不可行。在一些其他實施例中,可省略第零金屬層,而導電的閘極64與源極/汲極區54可經由接點73與74直接連接至第一通孔104。此處所述的發明特徵如搭配圖1A說明的上述後段製程整合方案,可用於實施採用一些其他後段製程整合方案的其他實施例。
形成圖1A所示的第零金屬層與第一金屬層的內連線層所用的製程步驟,將搭配圖2至11說明如下。圖2至11顯示圖1A的虛線框區域於中間製程步驟的剖視圖。形成較高的內連線層(如第二金屬層)所採用的製程與材料,可與形成第一金屬層所採用的製程與材料類似。
圖2至6顯示形成層間介電層10與其中的導電結構所用的所有製程步驟完成後,用於形成內連線層的第零金屬層的中間製程步驟。如圖2所示,可沉積多個絕緣層於平坦化的上表面上,且平坦化的上表面包括絕緣部份(如第二層間介電層78)與導電部份(如含有局部內連線結構(未圖示)的閘極的接點73與源極/汲極的接點74)的平坦化上表面。在一些實施例中,第零金屬間介電層20的形成方法,係先依序形成蝕刻停止層21與基體第零金屬間介電層86。對後續蝕刻步驟中移除基體第零金屬間介電層86的一部份所用的蝕刻劑而言,蝕刻停止層21所用的介電層之蝕刻速率,實質上小於上方的基體第零金屬間介電層86的蝕刻速率。舉例來說,在沉積蝕刻停止層21之前可進行電漿表面預處理,其採用氬氣、氦氣、氮氣、一氧化二氮、或類似物。在預處理步驟時,可將表面暴露至射頻功率介於約100瓦至約300瓦之間的射頻電漿,且暴露時間介於近似3秒至100秒之間。蝕刻停止層21可包含一或多種絕緣材料,比如氮化矽、碳氮化矽、碳氧化矽、氮化鋁、氧化鋁、上述之組合、或類似物。蝕刻停止層21的厚度可介於約1nm至約50nm之間,且其形成方法可採用合適的沉積技術如原子層沉積、電漿輔助原子層沉積、電漿輔助化學氣相沉積、類似方法、或上述之組合。
基體第零金屬間介電層86可包含低介電常數的介電層以利電路效能,如上所述。在一些實施例中,低介電常數的介電層之形成方法可為電漿輔助化學氣相沉積技術,其採用約50瓦至約2000瓦之間的射頻功率。沉積溫度可介於約50℃至約500℃之間,且沉積採用的氣體總壓力可介於約0.5Torr至約20Torr之間。載氣可包含氦氣、氬氣、氫氣、氮氣、一氧化二氮、一氧化氮、或上述之組合,且載氣流速介於約1000sccm至約100000sccm之間。
在一些實施例中,低介電常數的介電層之形成方法可採用電漿輔助化學氣相沉積技術,其中前驅物氣體不含氣態氧。第一前驅物氣體可包含氧如四乙氧基矽烷或二乙氧基甲基矽烷,其可提供氧原子結合至低介電數的介電層中,以形成矽-氧鍵。第一前驅物導入製程腔室的流速可介於約50sccm至約5000sccm之間。含碳氫化合物Cx Hy (x可介於約3至約10之間,且y可介於約8至30之間)的第二前驅物氣體(如丙烷、α-萜品烯、二環庚二烯、二乙基環己烷)可與第一前驅物組合。第二前驅物導入製程腔室的流速介於約50sccm至約5000sccm之間。
在一些其他實施例中,電漿輔助化學氣相沉積製程的前驅物氣體可包含留速不超過1000sccm的氧氣,且氧氣流速與所有其他前驅物總流速之間的比例不超過1:25。在這些實施例中,第一前驅物氣體可包含埋置的矽-氧-矽鍵的化學劑,比如(CH3 O)3 -Si-O-Si-(CH3 O)3 、(CH3 )3 -Si-O-Si-(CH3 )3 、四乙氧基烷 、二乙氧基甲基矽烷、或上述之組合)。第一前驅物導入製程腔室的流速可介於約50sccm至約5000sccm之間。含碳氫化合物的第二前驅物氣體(如丙烷、α-萜品烯、或二環庚二烯)可與第一前驅物及氧氣組合。第二前驅物導入製程腔室的流速可介於約50sccm至約5000sccm之間。
在其他實施例中,低介電常數的介電層之形成方法可採用電漿輔助原子層沉積或原子層沉積技術。原子層沉積及/或電漿輔助原子層沉積技術採用一系列的製程步驟,以沉積單層材料。此系列稱作反應循環,其中每一製程步驟稱作脈衝。進行多道反應循環,直到沉積所需量的材料。每一反應循環包括採用第一前驅物的第一反應脈衝、採用第二前驅物的第二反應脈衝、與其他反應脈衝,端視所需的反應脈衝數目而定。在每一反應脈衝之後可進行淨化脈衝,以在之後的反應脈衝所用的後續反應物進料至製程腔室之前,清潔製程腔室的任何殘留反應物與副產物。在兩個連續反應脈衝之間可進行淨化,其採用的氣體可為氦氣、氬氣、氮氣、一氧化二氮、一氧化氮、或任何上述之組合,且氣體流速介於約100sccm至約10000sccm之間。可以理解的是,每一淨化脈衝中所用的淨化氣體,可為相同的淨化氣體或不同的淨化氣體。舉例來說,若在每一反應循環中進行兩次淨化脈衝,則第一淨化脈衝(在第一反應脈衝之後)可採用第一淨化氣體,而第二淨化脈衝(在第二反應脈衝之後)可採用第二淨化氣體,且第二淨化氣體與第一淨化氣體可為相同的淨化氣體或不同的淨化氣體。電漿輔助原子層沉積製程採用的射頻功率可介於約20瓦至約1000瓦之間,而溫度可介於約50℃至約500℃之間。原子層沉積及/或電漿輔助原子層沉積技術所用的最小溫度為約200℃。電漿輔助原子層沉積及/或原子層沉積時的製程總壓力可介於約0.1Torr至約10Torr之間。
在一些實施例中,低介電常數的介電層之形成方法可採用電漿輔助原子層沉積及/或原子層沉積技術,其中前驅物氣體不含氣態氧。第一反應脈衝所用的第一前驅物氣體可包含氧如四乙氧基矽烷或二乙氧基甲基矽烷。第一前驅物導入製程腔室的流速可介於約10sccm至約1000sccm之間。第二反應脈衝所用的的第二前驅物氣體(如丙烷、α-萜品烯、或二環庚二烯)含碳氫化物。第二前驅物導入製程腔室的流速介於約10sccm至約1000sccm之間。在此例中,每一循環可依序採用兩種反應脈衝,且在每一反應脈衝之後進行淨化脈衝。在一些其他實施例中,電漿輔助原子層沉積及/或原子層沉積製程可包含氧氣,且氧氣流速不超過1000sccm。綜上所述,第一反應脈衝所用的第一前驅物可為氧氣,或者氧氣與四乙氧基矽烷或二乙氧基甲基矽烷的組合。第二反應脈衝所用的第二前驅物可包含埋置的矽-氧-矽鍵的化學劑,比如(CH3 O)3 -Si-O-Si-(CH3 O)3 、(CH3 )3 -Si-O-Si-(CH3 )3 、三甲基矽烷、四甲基矽烷、丙基胺基矽烷、或二(二乙基胺基)矽烷。第二前驅物導入製程腔室的流速可介於約10sccm至約1000sccm之間。第三反應脈衝所用的第三前驅物(如丙烷、α-萜品烯、或二環庚二烯)可包括含碳氫化物的氣體。第三前驅物導入製程腔室的流速可介於約10sccm至約1000sccm之間。在此例中,每一電漿輔助原子層沉積及/或原子層沉積反應循環中依序採用三個反應脈衝,且在每一反應脈衝之後進行淨化脈衝。舉例來說,一些實施例在每一反應循環的個別反應脈衝時,可依序進行導入前驅物的步驟,比如依序導入氧氣、導入(CH3 )3 -Si-O-Si-(CH3 )3 氣體、並導入丙烷氣體。
在完成沉積製程之後,可將基體第零金屬間介電層86所用的低介電常數的介電層,暴露至波長介於約150nm至約400nm之間的極紫外線以硬化低介電常數的介電層,且暴露時間最多約1000秒。環境氣體可包含氬氣、氦氣、氮氣、一氧化二氮、一氧化氮、一氧化碳、二氧化碳、類似物、或上述之組合,溫度可介於約200℃至約500℃之間,而壓力可介於約1Torr至約50Torr之間。可在含有一或多種添加劑(如矽烷、乙矽烷、三甲基矽烷、四甲基矽烷、二乙氧基甲基矽烷、及/或類似物)的環境氣體(如氬氣、氦氣、氮氣、一氧化二氮、氫氣、一氧化碳、二氧化碳、類似物、或上述之組合)中,對基體第零金屬間介電層86所用的紫外線固化的低介電常數的介電層進行熱處理或電漿處理。熱處理的時間不超過1000秒,溫度介於約200℃至約500℃之間,且壓力介於約0.5Torr至約20Torr之間。電漿處理的時間不超過200秒,溫度介於約50℃至約500℃之間,壓力介於約0.1Torr至約20Torr之間,且射頻功率介於約50瓦至約2000瓦之間。
上述沉積製程所形成的低介電常數的介電材料,其介電常數可介於約2.9至約3.2之間。金屬間介電層的機械強度如楊氏係數,高到足以承受製程時的機械應力而不會產生多餘變形(如碎裂或分層)。在平坦化步驟時的化學機械研磨墊向下施力,或者相鄰材料的界面在熱處理時因熱膨脹係數差異,均會產生機械應力。採用上述沉積製程所形成的低介電常數的介電層,其楊氏係數可介於約3GPa至約5GPa之間。用於形成基體第零金屬間介電層86的低介電常數的介電材料,可為氧化矽的衍生物。形成於低介電常數的介電層中的所有化學鍵中,以上述沉積製程產生的化學鍵有約83%至約95%可為矽-氧鍵,且有約5%至約17%可為矽-甲基鍵。此外,低介電常數的介電基質中只有少量矽-碳-矽鍵(介於約0.5%至約3%之間)。形成於低介電常數的介電材料中的鍵結組成具有優點,如下詳述。
在一些實施例中,可形成一或多個犧牲硬遮罩層於基體第零金屬間介電層86上。在圖2中,第一犧牲硬遮罩層81與第二犧牲硬遮罩層83形成於基體第零金屬間介電層86上。第一犧牲硬遮罩層81與第二犧牲硬遮罩層83包含的材料(如氮化矽、碳化矽、碳氮化矽、碳氧化矽、氮化鋁、氮化鈦、或類似物)可與氧化矽具有蝕刻選擇性。可採用圖案化的光阻遮罩形成開口85於第二犧牲硬遮罩層83中,如圖3所示。在圖4中,圖案化的第二犧牲硬遮罩層83可用於移除第一犧牲硬遮罩層81的部份與第零金屬間介電層20的部份,以形成開口87。舉例來說,多步驟的蝕刻技術可採用非等向的反應性離子蝕刻,一旦露出蝕刻停止層21的上表面,即停止移除基體第零金屬間介電層86的部份所用的蝕刻步驟。接著可改變蝕刻劑以移除蝕刻停止層21,並露出其下方的導電結構(如圖4中的接點74)之表面的一部份。
如圖5所示,導電材料填入開口87 (見圖4)。導電的擴散阻障層82可順應性地襯墊開口,接著沉積導電填充層84於導電的擴散阻障層82上以完全填入開口。導電的擴散阻障層82可阻擋及/或阻礙導電填充層84的材料向外擴散至第零金屬間介電層20。在一些實施例中,可沉積導電的晶種薄層(未圖示)於導電的擴散阻障層82上,以助起始電鍍製程步驟,其可用於將導電填充層84的導電材料完全填入開口。在一些實施例中,導電晶種層可與導電填充層的導電材料相同。導電的擴散阻障層82可包含一或多層的氮化鉭、鉭、氮化鈦、鈦、鈷、類似物、或上述之組合,而導電填充層84可包含金屬如銅、鋁、鎢、鈷、釕、類似物、或上述之組合。擴散阻障層82與導電填充層84所用的導電材料之沉積方法,可為任何合適方法如化學氣相沉積、電漿輔助化學氣相沉積、物理氣相沉積、原子層沉積、電漿輔助原子層沉積、電鍍、無電鍍、或類似方法。
如圖6所示,可由平坦化製程(如化學機械研磨)移除開口87 (見圖4與5)之外的第二犧牲硬遮罩層83上的多餘導電材料。可進一步採用相同的平坦化製程步驟移除第一犧牲硬遮罩層81與第二犧牲硬遮罩層83 (見圖5),以形成實質上共平面(在製程變異內)的上表面,包括介電區(如第零金屬間介電層20)的上表面與導電區(導電的擴散阻障層82與導電填充層84)的上表面。導電的擴散阻障層82與導電填充層84一起形成埋置於第零金屬間介電層中的第零金屬層的導電線路88,如圖6與圖1A所示。
圖7至11顯示用於形成內連線層的第一金屬層之中間製程步驟。圖7顯示的第一金屬間介電層100包括蝕刻停止層111與基體第一金屬間介電層110、第一犧牲硬遮罩層1101、與第二犧牲硬遮罩層1103。形成這些層狀物所用的製程與材料,可與形成第零金屬層的內連線層所用的製程與材料相同,如搭配圖2說明的內容。在圖8中,採用光微影與蝕刻技術圖案化第二犧牲硬遮罩層1103以形成開口,且光微影與蝕刻技術與圖案化第二犧牲硬遮罩層83的對應步驟類似,如搭配圖3說明的內容。
如圖9所示,可採用合適的光微影與蝕刻技術(如採用碳氟化物的非等向反應性離子蝕刻),圖案化第一金屬間介電層100以形成通孔與線路所用的開口。通孔所用的開口可為延伸穿過第二金屬間介電層的垂直孔洞(如圖9所示的孔洞1109),其可露出第零金屬層的導電線路88之導電上表面。線路所用的開口可為形成於第一金屬間介電層100的上側部份中的縱向溝槽(如圖9中的溝槽1107)。在一些實施例中,用於圖案化孔洞1109與溝槽1107於第一金屬間介電層100中的方法可採用通孔優先方案,其可採用第一圖案化遮罩(如光阻遮罩)並蝕刻孔洞1109以用於第一通孔104 (見圖1A)。可採用多步驟的蝕刻技術,其與形成開口87所用的蝕刻製程類似,如搭配圖4說明的上述內容。在蝕刻第一金屬層的導電線路108所用的溝槽1107時,可採用第二圖案化遮罩(如圖案化的第二犧牲硬遮罩層1103)。其他實施例可採用不同方法,比如溝槽優先方案、不完整的通孔優先方案、或埋置蝕刻停止層方案。
如圖10所示,導電的擴散阻障層113順應性地襯墊溝槽1107與孔洞1109 (見圖9),而導電填充層115沉積於導電的擴散阻障層113上以完全填入溝槽1107與孔洞1109。形成導電的擴散阻障層113及導電填充層115所用的製程及材料,與形成導電的擴散阻障層82及導電填充層84所用的製程及材料類似,如搭配圖5說明的上述內容。
在圖11中,進行平坦化製程,其與形成內連線層的第零金屬層的製程類似,如搭配圖6說明的上述內容。在平坦化製程時可移除第一犧牲硬遮罩層1101、第二犧牲硬遮罩層1103及第一金屬間介電層100上的任何多餘導電材料,以形成實質上平坦(在製程變異內)的上表面,包括第一金屬間介電層的介電區與含有導電的擴散阻障層113及導電填充層115的部份之導電區的上表面。平坦化製程形成的第一通孔104與導電的第一金屬層的導電線路108埋置於第一金屬間介電層100中,如圖11與圖1A所示。
埋置於金屬間介電層中的導電結構之幾何尺寸,取決於移除個別金屬間介電層所用的介電層的部份之蝕刻製程(如非等向反應性離子蝕刻),與低介電常數的介電材料之間的作用。在圖12中,密集排列的第零金屬層之導電線路88的區域中的導電結構其幾何形狀以虛線框標示,其具有尺寸b、c、d、與g。此區域的放大圖如圖13所示。在圖12與13中,第零金屬層所允許的最小間距為尺寸b,其可介於約24nm至約30nm之間。如圖13所示,在靠近頂部處向外擴散的第零金屬層的導電線路所用的溝槽邊緣,會造成第零金屬層的導電線路88的固有形狀。固有形狀的結果之一,為密集的第零金屬層的導電線路88之間的低介電常數的介電基體第零金屬間介電層86之上表面可稍微凸起。低介電常數的介電基體第零金屬間介電層86的弧形表面,可自其峰值高度(在相鄰的第零金屬層的導電線路88之間的中間處)延伸至小深度(如圖12所示的尺寸g,其可介於約0.2nm至約1.8nm之間)。第零金屬層的線路之頂部線寬(定義於靠近直線邊緣的頂部的高度),如圖13所示的尺寸c可介於約21nm至約29nm之間。在一些實施例中,低介電常數的介電基體第零金屬間介電層86的線寬,為兩個相鄰的第零金屬層線路之間的空間(如b-c),其可介於約1nm至約3nm之間。低介電常數的介電基體第零金屬間介電層86的表面曲率,可為尺寸g與相鄰的第零金屬層的導電線路88之間的空間的一半之間的比例(如g:(b-c)/2)。上述比例越小,表示表面越平坦。在一些實施例中,上述比例可介於約0.4至約1.2之間,即較小的曲率。溝槽邊緣可接近垂直,其側壁角度可介於約80°至約90°之間。綜上所述,第零金屬線路的底部線寬的尺寸d與頂部線寬的尺寸c大致相同,如圖13所示。底部線寬的尺寸d定義為低介電常數的基體第零金屬間介電層86與蝕刻停止層21 (靠近第零金屬線路的底部)之間的界面附近。底部線寬可介於約23nm至約31nm之間。如圖13所示,蝕刻停止層21幾乎沒有底切,使邊緣具有平滑且非頸狀的輪廓。
在形成個別內連線層的導電結構之製程步驟,比如具有能量化離子的非等向反應性離子蝕刻時,或採用化學機械研磨墊對沉積於低介電常數介電層中的開口中的導電材料進行鑲嵌製程時,基體金屬間介電層(如基體第零金屬間介電層86)可暴露至化學與物理應力。損傷低介電常數的介電層,可能會劣化鑲嵌結構的結構完整性。舉例來說,可在低介電常數的基體金屬間介電層與其下的個別蝕刻停止層之間的界面,發現導電結構的側壁中具有頸狀物。上述低介電常數的介電基體第零金屬間介電層86與蝕刻停止層21之間的界面具有平滑且非頸狀的輪廓,指出此處所述的實施例中的低介電常數的介電組成的優點在於不易受製程損傷。綜上所述,採用低介電常數的介電層製作積體電路裝置,可改善其製程良率與長效可信度(比如時間相關的介電崩潰)。
製程誘發低介電常數的介電層損傷,其可能增加介電層的介電常數。如上所述,低介電常數可減少緊密排列的長金屬線路的線路間電容,以增加在緊密排列的長線路中傳輸高速訊號的可行帶寬。介電常數增加的原因可能是移除金屬間介電層的中間製程步驟時,其所用的非等向反應性離子蝕刻製程的能量化離子造成矽-甲基鍵斷裂所致,如上所述。圖14比較單位長度的正規化線路間電容C,其為多種緊密排列的第零金屬層線路的電導(1/電阻)的函數。採用兩組積體電路進行電性量測。一組將低介電常數的材料結合至金屬間介電層的製作方法,如本發明實施例所述。另一組將低介電常數的介電層結合至金屬間介電層的製作方法,採用的沉積參數調整為明顯增加低介電常數材料中的矽-甲基鍵比例。對沉積於未圖案化的基體矽晶圓上的低介電常數介電膜進行量測,上述兩組具有實質上相同的介電常數量測值(在量測的不準確性內)。虛線指的是圖14中「低矽-甲基鍵比例」的例子,其為本發明實施例中採用低介電常數的介電材料所形成的裝置。圓圈符號指的是圖14中「高矽-甲基鍵比例」的例子,其為低介電常數的介電材料中具有較高比例的矽-甲基鍵的改質組成之資料。本發明實施例觀察到固定的電導(1/電阻)所用的碳較低。採用此處所述的實施例中的低介電常數的介電層與其形成方法,有利於改善積體電路的效能。
在一實施例中,半導體結構的形成方法包括:形成導電單元於基板上的介電層中;沉積介電材料於導電單元上,且沉積介電材料的步驟包括:將基板置入製程腔室;將第一前驅物導入製程腔室,且第一前驅物包括矽原子與氧原子;以及將第二前驅物導入製程腔室,以反應形成介電材料,第二前驅物包括碳氫化合物,其中沉積介電材料的步驟不含氣態氧;移除介電材料的一部份,以露出導電單元;以及形成接點穿過介電材料至導電單元。在一實施例中,沉積介電材料的步驟有至少一部份為化學氣相沉積製程。在一實施例中,沉積介電材料的步驟有至少一部份為原子層沉積製程。在一實施例中,第一前驅物包括四乙氧基矽烷。在一實施例中,第二前驅物包括丙烷。在一實施例中,在形成接點之後,介電材料的上表面曲率大於0。在一實施例中,第二前驅物包括α-萜品烯。在一實施例中,形成於介電材料中的化學鍵有約83%至約95%的矽-氧鍵,以及約5%至約17%的矽-甲基鍵。
在一實施例中,半導體裝置的形成方法,包括形成介電材料於基板上的導電單元上,且形成介電材料的步驟包括:將基板置入製程腔室;將氣態氧導入製程腔室;將第一前驅物導入製程腔室,且第一前驅物包括埋置的矽-氧-矽鍵;以及將第二前驅物導入製程腔室,且第二前驅物包括碳氫化合物;以及形成接點穿過介電材料至導電單元。在一實施例中,第二前驅物包括二環庚二烯。在一實施例中,氣態氧的第一流速小於1000sccm。在一實施例中,導入氣態氧、導入第一前驅物、與導入第二前驅物的步驟係依序進行。在一實施例中,導入氣態氧、導入該第一前驅物、與導入第二前驅物的步驟係同時進行。在一實施例中,氧氣流速以及第一前驅物的第一流速與第二前驅物的第二流速之總流速之間的比例小於1:25。在一實施例中,形成於介電材料中的約83%至約95%的化學鍵為矽-氧鍵,而約5%至約17%的化學鍵為矽-甲基鍵。
在一實施例中,半導體裝置的形成方法包括:形成介電材料於基板上的導電單元上,其中介電材料為氧化矽的衍生物,其中形成介電材料的步驟包括將基板置入製程腔室並進行原子層沉積製程,且進行原子層沉積製程的步驟包括:進行一或多個反應循環,且反應循環包括進行連續的三對交替的反應脈衝與淨化脈衝,且連續的三對交替的反應脈衝與淨化脈衝包括:在第一反應脈衝時將第一前驅物導入製程腔室,且第一前驅物包括氣態氧;在完成第一反應脈衝之後,在第一淨化脈衝時導入第一淨化氣體;在完成第一淨化脈衝之後,在第二反應脈衝時將第二前驅物導入製程腔室,且第二前驅物包括埋置的矽-氧-矽鍵;在完成第二反應脈衝之後,在第二淨化脈衝時導入第二淨化氣體;在完成第二淨化脈衝之後,在第三反應脈衝時將第三前驅物導入製程腔室,且第三前驅物包括碳氫化合物;以及在完成第三反應脈衝之後,在第三淨化脈衝時導入第三淨化氣體;以及在形成介電材料的步驟完成之後,形成接點穿過介電材料至導電單元。在一實施例中,形成介電材料的步驟所形成的介電材料之楊氏係數介於約3GPa至約5GPa之間。在一實施例中,形成介電材料的步驟所形成的介電材料之介電常數介於2.9與3.2之間。在一實施例中,第二前驅物包括(CH3 O)3 -Si-O-Si-(CH3 O)3 。在一實施例中,第二前驅物包括(CH3 )3 -Si-O-Si-(CH3 )3 。在一實施例中,原子層沉積反應循環包括至少一電漿輔助原子層反應脈衝。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。
A-A’‧‧‧軸 b、c、d、g‧‧‧尺寸 10、102‧‧‧層間介電層 11‧‧‧接點蝕刻停止層 20‧‧‧第零金屬間介電層 21、111、211‧‧‧蝕刻停止層 50‧‧‧基板 54‧‧‧源極/汲極區 54'‧‧‧區域 58‧‧‧鰭狀物 60‧‧‧鰭狀場效電晶體 62‧‧‧淺溝槽隔離區 64‧‧‧閘極 66‧‧‧閘極介電層 68‧‧‧閘極結構 72‧‧‧間隔物 73、74‧‧‧接點 76‧‧‧第一層間介電層 78‧‧‧第二層間介電層 81、1101‧‧‧第一犧牲硬遮罩層 82、113‧‧‧擴散阻障層 83、1103‧‧‧第二犧牲硬遮罩層 84、115‧‧‧導電填充層 85、87‧‧‧開口 86‧‧‧基體第零金屬間介電層 88‧‧‧第零金屬層的導電線路 100‧‧‧第一金屬間介電層 104‧‧‧第一通孔 108‧‧‧第一金屬層的導電線路 110‧‧‧基體第一金屬間介電層 200‧‧‧第二金屬間介電層 204‧‧‧第二通孔 208‧‧‧第二金屬層的導電線路 210‧‧‧基體第二金屬間介電層 1107‧‧‧溝槽 1109‧‧‧孔洞
圖1A係一些實施例中,積體電路的多層內連線結構與半導體基板的剖視圖。 圖1B與1C係一些實施例中,鰭狀場效電晶體的三維圖。 圖2至6係一些實施例中,內連線層於多種中間製作階段的剖視圖。 圖7至11係一些實施例中,內連線層於多種中間製作階段的剖視圖。 圖12與13係一些實施例中,積體電路的多層內連線結構與半導體基板的剖視圖。 圖14顯示一些實施例中,金屬間介電層中的矽-甲基鍵含量,對正規化的線路間電容與密集排列的金屬線路之電導(1/電阻)特性的相對關係所造成的影響。
20‧‧‧第零金屬間介電層
21、111‧‧‧蝕刻停止層
50‧‧‧基板
74‧‧‧接點
78‧‧‧第二層間介電層
82、113‧‧‧擴散阻障層
84、115‧‧‧導電填充層
86‧‧‧基體第零金屬間介電層
88‧‧‧第零金屬層的導電線路
100‧‧‧第一金屬間介電層
104‧‧‧第一通孔
108‧‧‧第一金屬層的導電線路
110‧‧‧基體第一金屬間介電層

Claims (1)

  1. 一種半導體結構的形成方法,包括: 形成一導電單元於一基板上的一介電層中;以及 沉積一介電材料於該導電單元上,且沉積該介電材料的步驟包括: 將該基板置入一製程腔室; 將一第一前驅物導入該製程腔室,且該第一前驅物包括矽原子與氧原子; 將一第二前驅物導入該製程腔室,以反應形成該介電材料,該第二前驅物包括碳氫化合物,其中沉積該介電材料的步驟不含氣態氧; 移除該介電材料的一部份,以露出該導電單元;以及 形成一接點穿過該介電材料至該導電單元。
TW108126907A 2018-07-31 2019-07-30 半導體結構的形成方法 TW202008509A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862712345P 2018-07-31 2018-07-31
US62/712,345 2018-07-31
US16/449,160 US11043373B2 (en) 2018-07-31 2019-06-21 Interconnect system with improved low-k dielectrics
US16/449,160 2019-06-21

Publications (1)

Publication Number Publication Date
TW202008509A true TW202008509A (zh) 2020-02-16

Family

ID=69228918

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108126907A TW202008509A (zh) 2018-07-31 2019-07-30 半導體結構的形成方法

Country Status (3)

Country Link
US (2) US11043373B2 (zh)
CN (1) CN110783271A (zh)
TW (1) TW202008509A (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI749845B (zh) * 2020-11-03 2021-12-11 南亞科技股份有限公司 積體電路導線結構及其製造方法
TWI767686B (zh) * 2020-08-14 2022-06-11 台灣積體電路製造股份有限公司 接點插塞
TWI768711B (zh) * 2020-02-19 2022-06-21 台灣積體電路製造股份有限公司 半導體結構及其製造方法
TWI774261B (zh) * 2021-01-15 2022-08-11 台灣積體電路製造股份有限公司 半導體配置及其形成方法
TWI775540B (zh) * 2021-05-06 2022-08-21 台灣積體電路製造股份有限公司 半導體結構及其製造方法
US11508572B2 (en) 2020-04-01 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10872762B2 (en) * 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
US10923393B2 (en) * 2018-09-24 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Contacts and interconnect structures in field-effect transistors
KR102582668B1 (ko) * 2018-10-01 2023-09-25 삼성전자주식회사 집적회로 소자의 제조 방법
JPWO2021245893A1 (zh) * 2020-06-04 2021-12-09
US11456206B2 (en) * 2020-07-22 2022-09-27 Nanya Technology Corporation Semiconductor structure and method of manufacturing the same

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8951342B2 (en) * 2002-04-17 2015-02-10 Air Products And Chemicals, Inc. Methods for using porogens for low k porous organosilica glass films
US20040253378A1 (en) * 2003-06-12 2004-12-16 Applied Materials, Inc. Stress reduction of SIOC low k film by addition of alkylenes to OMCTS based processes
US7335980B2 (en) * 2004-11-04 2008-02-26 International Business Machines Corporation Hardmask for reliability of silicon based dielectrics
US8481423B2 (en) * 2007-09-19 2013-07-09 International Business Machines Corporation Methods to mitigate plasma damage in organosilicate dielectrics
US20090096106A1 (en) * 2007-10-12 2009-04-16 Air Products And Chemicals, Inc. Antireflective coatings
US20090140418A1 (en) * 2007-11-29 2009-06-04 Li Siyi Method for integrating porous low-k dielectric layers
JPWO2009119583A1 (ja) * 2008-03-26 2011-07-28 Jsr株式会社 化学気相成長法用材料ならびにケイ素含有絶縁膜およびその製造方法
JP2013520841A (ja) * 2010-02-25 2013-06-06 アプライド マテリアルズ インコーポレイテッド プラズマ化学気相堆積による、有機官能基と共にシリコンを含有するハイブリッド前駆体を使用する超低誘電材料
US8927442B1 (en) * 2013-07-25 2015-01-06 International Business Machines Corporation SiCOH hardmask with graded transition layers
US9633838B2 (en) * 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
US9754822B1 (en) * 2016-03-02 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method
US10249489B2 (en) * 2016-11-02 2019-04-02 Versum Materials Us, Llc Use of silyl bridged alkyl compounds for dense OSG films
KR20180093798A (ko) * 2017-02-13 2018-08-22 램 리써치 코포레이션 에어 갭들을 생성하는 방법

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI768711B (zh) * 2020-02-19 2022-06-21 台灣積體電路製造股份有限公司 半導體結構及其製造方法
US11430691B2 (en) 2020-02-19 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Polishing interconnect structures in semiconductor devices
US11508572B2 (en) 2020-04-01 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
TWI800797B (zh) * 2020-04-01 2023-05-01 台灣積體電路製造股份有限公司 半導體裝置及其製造方法
TWI767686B (zh) * 2020-08-14 2022-06-11 台灣積體電路製造股份有限公司 接點插塞
TWI790157B (zh) * 2020-08-14 2023-01-11 台灣積體電路製造股份有限公司 接點插塞
US11798846B2 (en) 2020-08-14 2023-10-24 Taiwan Semiconductor Manufacturing Co., Ltd. Contact plug
TWI749845B (zh) * 2020-11-03 2021-12-11 南亞科技股份有限公司 積體電路導線結構及其製造方法
TWI774261B (zh) * 2021-01-15 2022-08-11 台灣積體電路製造股份有限公司 半導體配置及其形成方法
US11670547B2 (en) 2021-01-15 2023-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor arrangement and method of making
TWI775540B (zh) * 2021-05-06 2022-08-21 台灣積體電路製造股份有限公司 半導體結構及其製造方法
US11776895B2 (en) 2021-05-06 2023-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for manufacturing the same

Also Published As

Publication number Publication date
US11043373B2 (en) 2021-06-22
US20200043721A1 (en) 2020-02-06
US20210313174A1 (en) 2021-10-07
CN110783271A (zh) 2020-02-11

Similar Documents

Publication Publication Date Title
TW202008509A (zh) 半導體結構的形成方法
US10418277B2 (en) Air gap spacer formation for nano-scale semiconductor devices
CN107154395B (zh) 半导体结构及其制造方法
TWI546919B (zh) 半導體元件及其製造方法
US11164776B2 (en) Metallic interconnect structure
US10714389B2 (en) Structure and method using metal spacer for insertion of variable wide line implantation in SADP/SAQP integration
US11961803B2 (en) Semiconductor structure having high breakdown voltage etch-stop layer
TW201921498A (zh) 選擇性氧化鋁蝕刻的使用
US9177918B2 (en) Apparatus and methods for low k dielectric layers
US20220367380A1 (en) Hardened interlayer dielectric layer
US20240021494A1 (en) Semiconductor devices and method for forming the same
TWI787876B (zh) 形成半導體裝置的方法和半導體裝置
CN113053803A (zh) 用于金属化的双层衬里
US9853025B1 (en) Thin film metallic resistors formed by surface treatment of insulating layer
KR102587403B1 (ko) 금속화를 위한 이중층 라이너
TWI804087B (zh) 電晶體裝置及其製造方法
TWI819796B (zh) 半導體結構的形成方法
TW202236505A (zh) 半導體結構的形成方法
TW202310177A (zh) 形成半導體元件結構的方法
TW202240669A (zh) 半導體裝置的製造方法