TW202006893A - 影像感測器與其形成方法 - Google Patents

影像感測器與其形成方法 Download PDF

Info

Publication number
TW202006893A
TW202006893A TW108125046A TW108125046A TW202006893A TW 202006893 A TW202006893 A TW 202006893A TW 108125046 A TW108125046 A TW 108125046A TW 108125046 A TW108125046 A TW 108125046A TW 202006893 A TW202006893 A TW 202006893A
Authority
TW
Taiwan
Prior art keywords
layer
dielectric
image sensor
gate
semiconductor substrate
Prior art date
Application number
TW108125046A
Other languages
English (en)
Other versions
TWI773909B (zh
Inventor
王兆圻
賴佳平
曾仲銓
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202006893A publication Critical patent/TW202006893A/zh
Application granted granted Critical
Publication of TWI773909B publication Critical patent/TWI773909B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14609Pixel-elements with integrated switching, control, storage or amplification elements
    • H01L27/1461Pixel-elements with integrated switching, control, storage or amplification elements characterised by the photosensitive area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14603Special geometry or disposition of pixel-elements, address-lines or gate-electrodes
    • H01L27/14605Structural or functional details relating to the position of the pixel elements, e.g. smaller pixel elements in the center of the imager compared to pixel elements at the periphery
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14603Special geometry or disposition of pixel-elements, address-lines or gate-electrodes
    • H01L27/14607Geometry of the photosensitive area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1462Coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14625Optical elements or arrangements associated with the device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14636Interconnect structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14643Photodiode arrays; MOS imagers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14685Process for coatings or optical elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14689MOS based technologies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14609Pixel-elements with integrated switching, control, storage or amplification elements
    • H01L27/14612Pixel-elements with integrated switching, control, storage or amplification elements involving a transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1463Pixel isolation structures

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Solid State Image Pick-Up Elements (AREA)
  • Facsimile Heads (AREA)

Abstract

影像感測器包括光二極體位於半導體基板中;以及內連線結構位於半導體基板上。內連線結構包括接點蝕刻停止層;多個介電層位於接點蝕刻停止層上;以及多個金屬化層位於介電層中。介電層的至少一者包括低介電常數的介電材料。開口延伸穿過介電層,以露出二極體的主動區上的接點蝕刻停止層的一部份。蓋層位於開口的側壁上。蓋層包括的介電材料的抗濕氣性大於低介電常數的介電材料的抗濕氣性。

Description

影像感測器與其形成方法
本發明實施例關於影像感測器,更特別關於穿過其內連線結構之介電層的開口側壁上的蓋層。
互補式金氧半影像感測器將光能轉換成數位數據,以呈現數位影像。互補式金氧半影像感測器廣泛應用於數位相機、手機、監控相機、以及醫學、汽車、與其他應用。單光子雪崩二極體具有匹秒時間解析度的單光子偵測能力,可用於進階的互補式金氧半影像感測器。
本發明一實施例提供之影像感測器,包括:光二極體,位於半導體基板中;內連線結構,位於半導體基板上,且內連線結構包括:接點蝕刻停止層;多個介電層,位於接點蝕刻停止層上,其中介電層的至少一者包括低介電常數的介電材料;以及多個金屬化層,位於介電層中;開口,延伸穿過介電層,開口露出光二極體的主動區上的接點蝕刻停止層的部份;以及蓋層,位於開口的側壁上,其中蓋層包括的介電材料的抗濕氣性大於低介電常數的介電材料的抗濕氣性。
本發明一實施例提供之影像感測器,包括:光二極體,位於半導體基板中;閘極堆疊,位於光二極體上,且閘極堆疊包括閘極介電層與閘極介電層上的閘極;內連線結構,位於半導體基板上,且內連線結構包括:接點蝕刻停止層;以及多個介電層,位於接點蝕刻停止層上,其中介電層的至少一者包括低介電常數的介電材料;開口,延伸穿過介電層、接點蝕刻停止層、與閘極,且開口露出光二極體的主動區上的閘極介電層的一部份;以及蓋層,至少存在於開口的側壁上,其中蓋層包括的介電材料之抗濕氣性大於低介電常數的介電材料之抗濕氣性。
本發明一實施例提供之影像感測器的形成方法,包括:形成光二極體於半導體基板中;將內連線結構置於半導體基板上,其中內連線結構包括:接點蝕刻停止層,位於光二極體上;以及多個介電層,位於接點蝕刻停止層上,其中介電層的至少一者包括低介電常數的介電材料;圖案化至少介電層,包括定義開口於光極體的主動區上;以及沉積蓋層於開口的側壁上,其中蓋層包括的介電材料的抗濕氣性大於低介電常數的介電材料的抗濕氣性。
下述內容提供的不同實施例或實例可實施本發明的不同結構。下述特定構件、材料、數值、步驟、配置、或類似物的實施例係用以簡化本發明內容而非侷限本發明。亦可實施其他構件、材料、數值、步驟、配置、或類似物。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸的實施例,或兩者之間隔有其他額外構件而非直接接觸的實施例。另一方面,本發明之多個實例可重複採用相同標號以求簡潔,但多種實施例及/或設置中具有相同標號的元件並不必然具有相同的對應關係。
此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。
單光子雪崩二極體可偵測非常低強度的入射光,包括偵測單光子。單光子雪崩二極體影像感測器包括陣列配置的多個單光子雪崩二極體於基板中。基本上,多個單光子雪崩二極體為含有p-n接面的光二極體,其可在高於崩潰電壓的反向偏壓下操作。在操作時,光子產生的載子會移動至p-n接面的空乏區(如倍增接面區)並觸發雪崩效應,以高時間準確性地偵測訊號流。快速淬息雪崩以避免損傷p-n接面。接著以超過崩潰電壓再充電接面,以再活化p-n接面。單光子雪崩二極體影像感測器亦包括內連線結構於基板頂部上,以耦接單光子雪崩二極體至周邊電路。單光子雪崩二極體影像感測器具有內連線結構的一側,通常稱作前側。
單光子雪崩二極體影像感測器採用前照式的方式,其自前側接收光,且通常具有低量子效率與低敏感度的問題。在前照式設置中,入射光穿過含有介電層及埋置其中的金屬結構之內連線結構,接著撞擊單原子雪崩二極體。內連線結構可吸收及/或反射入射光的部份,即降低光到達單光子雪崩二極體的量。因此降低單光子雪崩二極體影像感測器的量子效率與敏感度。為改善單光雪崩二極體影像感測器的效能,移除直接位於單光子雪崩二極體上的內連線結構中的介電層的部份,以讓更多光穿過內連線結構並接觸單光子雪崩二極體而不會被內連線結構吸收及/或反射。然而內連線結構中的介電層組成通常為孔洞的低介電常數的介電材料,濕氣可輕易穿過露出的低介電常數的介電材料側壁以達積體電路,造成可信度的問題。
本發明關於單光子雪崩二極體,其包含至少位於內連線結構側壁上的蓋層,以改善影像感測器的可信度。蓋層包括介電材料,其吸濕性低於內連線結構中採用的低介電常數的介電材料之吸濕性。因此蓋層有助於降低或避免內連線結構吸收濕氣。蓋層亦設置以將入射光反射回單光子雪崩二極體的主動區,因此可增加單光子反光二極體偵測光子的機率。
圖1係一些實施例中,影像感測器100的剖視圖。影像感測器100包括一或多個單光子雪崩二極體110位於半導體基板102中。單光子雪崩二極體110電性耦接至位於半導體基板102的前側104上的內連線結構130。在一些實施例中,單光子雪崩二極體110設置為被來自半導體基板102的前側的光照射,並採用前照式影像感測的方式操作。蓋層172位於內連線結構130的側壁與最頂部的表面上,以避免內連線結構130吸收濕氣。
在一些實施例中,半導體基板102為基體半導體基板。在一些實施例中,半導體基板102包括磊晶層。舉例來說,一些實施例的半導體基板102具有磊晶層於基體半導體基板上。在一些實施例中,半導體基板102為絕緣層上矽基板。在一些實施例中,半導體基板為矽基板。在其他實施例中,半導體基板102的組成為其他半導體如碳化矽、矽鍺、III-V族半導體材料(如砷化鎵、砷化銦、或磷化銦)、或類似物。在一些實施例中,半導體基板102的厚度介於約10微米至約200微米之間。
在一些實施例中,以第一導電型態如p型或n型的摻質輕摻雜半導體基板102。此處所述的用語「輕摻雜」指的是摻雜濃度低於1×1015 原子/cm3 。p型指的是添加至本質半導體以產生價電子的空缺的雜質。p型摻質如雜質的例子包括但不限於硼、二氟化硼、鎵、或銦。n型指的是添加至本質半導體以產生自由電子的雜質。n型摻質如雜質的例子包括但不限於銻、砷、或磷。在一些實施例中,半導體基板102摻雜p型摻質如硼或二氟化硼。
單光子雪崩二極體110包括第一導電型態的第一深井112位於半導體基板中,以及第一導電型態的第一重摻雜區114位於第一深井112的頂部區域中。在一些實施例中,第一深井112自半導體基板102的前側表面(如半導體基板102的前側104)延伸至半導體基板102中,且第一重摻雜區114位於第一深井112的頂部區域中並沿著半導體基板102的前側配置。在一些實施例中,第一深井112與第一重摻雜區114摻雜p型摻質如硼或二氟化硼。
在一些實施例中,第一深井112的摻雜濃度介於約1×1016 原子/cm3 至約1×1018 原子/cm3 之間。在一些例子中,若第一深井112的摻雜濃度過高,則降低反向偏壓所產生的崩潰電壓。在一些例子中,若第一深井112的摻雜濃度過低,則降低正向偏壓所產生的正向電流。在一些實施例中,第一深井112具有一致的摻雜輪廓,且不同深度的摻雜濃度實質上一致。在一些實施例中,第一深井112具有梯度的摻雜輪廓,其摻雜濃度自半導體基板102的前側向第一深井112的底部垂直減少。
為了提供適當的歐姆接點至內連線結構130,第一重摻雜區114的摻雜濃度大於第一深井112的摻雜濃度。在一些實施例中,第一重摻雜區114的摻雜濃度比第一深井112的摻雜濃度高約50倍至約200倍。在一些實施例中,第一重摻雜區114的摻雜濃度介於約5×1018 原子/cm3 至約1×1021 原子/cm3 之間。在一些例子中,若第一重摻雜區114的摻雜濃度過低,則第一重摻雜區114與內連線結構130之間的接點電阻高。在一些例子中,若第一重摻雜區114的摻雜濃度過高,則第一重摻雜區114與內連線結構130之間的歐姆接點效果不變但增加成本。
單光子雪崩二極體110更包括埋置摻雜層116,其延伸於第一深井112下並接觸第一深井112。摻雜埋置摻雜層116以具有第二導電型態,且第二導電型態與第一導電型態相反。舉例來說,當第一導電型態為p型導電性時,第二導電型態為n型導電性。另一方面,當第一導電型態為n型導電性時,第二導電型態為p型導電性。在一些實施例中,埋置摻雜層116摻雜n型摻質如磷或砷。在一些實施例中,埋置摻雜層116的摻雜濃度介於約1×1015 原子/cm3 至約1×1017 原子/cm3 之間。在一些例子中,若埋置摻雜層116的摻雜濃度過高,則反向偏壓所產生的崩潰電壓降低。在一些例子中,若埋置摻雜層116的摻雜濃度過低,則正向偏壓所產生的正向電流降低。
發生載子倍增的倍增接面區117,可形成於第一深井112與埋置摻雜層116之間的界面。在操作時,可施加高於崩潰電壓的反向偏壓至單光子雪崩二極體110,而入射光子自半導體基板102的前側104撞擊單光子雪崩二極體110以產生載子。光子產生的載子移動至倍增接面區117並觸發雪崩電流,其放大入射光子所產生的訊號。周邊電路之後可偵測雪崩電流及/或放大訊號。
在一些實施例中,單光子雪崩二極體110包括第二導電型態的第二深井118,以及第二導電型態的第二重摻雜區120位於第二深井118的頂部區域中。在一些實施例中,第二深井118與第二重摻雜區120摻雜n型摻質。在一些實施例中,第二深井118的摻雜濃度介於約1×1016 原子/cm3 至約1×1018 原子/cm3 之間。在一些例子中,若第二深井118的摻雜濃度過高,則降低反向偏壓所產生的崩潰電壓。在一些例子中,若第深井118的摻雜濃度過低,則降低正向偏壓所產生的正向電流。第二深井118自半導體基板102的前側表面延伸至半導體基板102中,並接觸埋置摻雜層116的周邊部份。第二深井118與第一深井112橫向地隔有一段距離。在一些實施例中,第二重摻雜區120位於第二深井118的頂部區域中,並沿著半導體基板102的前側表面配置。第二重摻雜區120可作為單光子雪崩二極體110所用的接點區。
為了提供合適的歐姆接點至內連線結構130,可摻雜第二重摻雜區120,使第二重摻雜區120的摻雜濃度大於第二深井118的摻雜濃度。在一些實施例中,第二重摻雜區120的摻雜濃度比第二深井118的摻雜濃度高約50倍至約200倍。在一些實施例中,第二重摻雜區120的摻雜濃度介於約5×1018 原子/cm3 至約1×1021 原子/cm3 之間。在一些例子中,若第二重摻雜區120的摻雜濃度過低,則第二重摻雜區120與內連線結構130之間的接點電阻高。在一些例子中,若第二重摻雜區120的摻雜濃度過高,則第二重摻雜區120與內連線結構130之間的歐姆接點效果不變但增加成本。位於第一深井112與第二深井118之間的半導體基板102的部份124,可作為保護環以避免單光子雪崩二極體110的邊緣崩潰。
在一些實施例中,單光子雪崩二極體110亦包含第三重摻雜區122圍繞第二重摻雜區120,且第三重摻雜區122與第二重摻雜區120分隔一段距離。第三重摻雜區122與第一重摻雜區114的導電型態相同。在一些實施例中,第三重摻雜區122包括p型摻質。第三重摻雜區122可作為單光子雪崩二極體110所用的另一接點區。為了提供合適的歐姆接點至內連線結構130,一些實施例的第三重摻雜區122的摻雜濃度介於約1×1019 原子/cm3 至約1×1021 原子/cm3 之間。在一些例子中,若第三重摻雜區122的摻雜濃度過低,則第三重摻雜區122與內連線結構130之間的接點電阻高。在一些例子中,若第三重摻雜區122的摻雜濃度過高,則第三重摻雜區122與內連線結構130之間的歐姆接點效果不變但增加成本。因此第一重摻雜區114、第二重摻雜區120、與第三重摻雜區122可作為單光子雪崩二極體110所用的低電阻接點區。
本技術領域中具有通常知識者應理解,上述的單光子雪崩二極體裝置結構僅為本發明實施例中的一種單光子雪崩二極體裝置結構。可採用任何合適的單光子雪崩二極體裝置結構,其包含於本發明實施例的範疇中。
半導體基板102包含多個隔離結構108,以電性隔離第二重摻雜區120與第三重摻雜區122。隔離結構108可電性隔離單光子雪崩二極體110以及半導體基板102的周邊之影像感測器100的其他電路(比如停止雪崩效應與重置單光子雪崩二極體的偏壓所用的主動淬息電路,與處理光電子雪崩二極體輸送的訊號所用的讀出電路,未圖示)。在一些實施例中,隔離結構108為淺溝槽隔離結構,其自半導體基板102的前側表面延伸至第二重摻雜區120與第三重摻雜區122的層之下。在一實施例中,隔離結構108包括介電材料如氧化矽、氮化矽、或氮氧化矽。
內連線結構130包括介電層的堆疊中的第一接點插塞142、第二接點插塞144、與第三接點插塞146,且介電層包括阻擋保護層132、接點蝕刻停止層134、與層間介電層136。接點插塞連接單光子雪崩二極體110至上方的金屬化層152。接點插塞包括接觸第一重摻雜區114的第一接點插塞142、接觸第二重摻雜區120的第二接點插塞144、以及接觸第三重摻雜區122的第三接點插塞146。第一接點插塞142、第二接點插塞144、與第三接點插塞146各自與對應的第一重摻雜區114、第二重摻雜區120、與第三重摻雜區122形成歐姆接點。在一些實施例中,第一接點插塞142、第二接點插塞144、與第三接點插塞146包括導電材料如銅、鎢、鋁、或上述之合金。在一些實施例中,第一接點插塞142、第二接點插塞144、與第三接點插塞146亦包含阻障及/或黏著襯墊層(未圖示),以避免第一接點插塞142、第二接點插塞144、與第三接點插塞146擴散並提供第一接點插塞142、第二接點插塞144、與第三接點插塞146較佳的黏著性。在一些實施例中,阻障及/或黏著襯墊層包括氮化鈦。
阻擋保護層132沉積於半導體基板102上。阻擋保護層132設置以避免下方層免於形成周邊電路的矽化物所用的矽化製程影響。在一些實施例中,阻擋保護層132包括介電氧化物如氧化矽。在一些實施例中,阻擋保護層132的厚度介於約5nm至約50nm之間。在一些例子中,若阻擋保護層132的厚度過小,則無法達到避免矽化製程的效果。在一些例子中,若阻擋保護層132的厚度過大,則避免矽化製程的效果不變但成本增加。
接點蝕刻停止層134沉積於阻擋保護層132上,以控制形成第一接點插塞142、第二接點插塞144、與第三接點插塞146所用的蝕刻製程之蝕刻終點。在一些實施例中,接點蝕刻停止層134包括介電氮化物如氮化矽或氮氧化矽。在一些實施例中,接點蝕刻停止層134的厚度介於約10nm至約50nm之間。在一些例子中,若接點蝕刻停止層134的厚度過小,則無法達到控制蝕刻終點的效果。在一些例子中,若接點蝕刻停止層134的厚度過大,則控制蝕刻終點的效果不變但增加成本。
層間介電層136沉積於接點蝕刻停止層134上。在一些實施例中,層間介電層136包括的材料具有低介電常數,比如介電常數小於約3.9。在一些實施例中,層間介電層136的介電常數小於約3.0,或小於於約2.5。在一些實施例中,層間介電層136包含旋轉塗佈玻璃、摻雜氟的矽酸鹽玻璃、摻雜碳的氧化矽、Black Diamond®(Applied Materials,Satna Clara,加州)、乾凝膠、氣膠、非晶氟化碳、聚對二甲苯、雙苯并環丁烯、SiLK(Dow Chemical,Midland,密西根州)、或聚醯亞胺。在一些實施例中,層間介電層136的厚度介於約50nm至200nm之間。在一些例子中,若層間介電層136的厚度過小,則無法達到保護第一接點插塞142、第二接點插塞144、與第三接點插塞146的效果。在一些例子中,若層間介電層136的厚度過大,則保護第一接點插塞142、第二接點插塞144、與第三接點插塞146的效果不變但增加成本。
內連線結構130更包括多個金屬化層152,其位於層間介電層136上的金屬間介電層150中。金屬化層152形成於單光子雪崩二極體110的主動區之外的區域(如照射單光子雪崩二極體110的區域)。金屬化層152位於不在單光子雪崩二極體110的主動區上的半導體基板102的區域上。金屬化層152電性耦接單光子雪崩二極體110至周邊電路(未圖示)。金屬化層152包括金屬線路、金屬通孔(未圖示)、或金屬線路與垂直鄰接金屬線路的金屬通孔(未圖示)之組合。在一些實施例中,金屬線路與金屬通孔包括導電材料如銅、鎢、鋁、或上述之合金。在一些實施例中,金屬線路與金屬通孔包括銅或銅合金。
金屬間介電層150沉積於層間介電層136上。在一些實施例中,金屬間介電層150包括的低介電常數的介電材料,可與層間介電層136包括的低介電常數的介電材料相同或不同。舉例來說,金屬間介電層150包含旋轉塗佈玻璃、摻雜氟的矽酸鹽玻璃、摻雜碳的氧化矽、Black Diamond®(Applied Materials,Satna Clara,加州)、乾凝膠、氣膠、非晶氟化碳、聚對二甲苯、雙苯并環丁烯、SiLK(Dow Chemical,Midland,密西根州)、或聚醯亞胺。在一些實施例中,金屬間介電層150具有多個介電層的多層結構,且介電層各自由低介電常數的介電材料所組成並具有一金屬化層152位於其中。
此外,內連線結構130包括含有金屬墊162的鈍化層160於金屬間介電層150上。鈍化層160保護下方層免於物理與化學損傷。在一些實施例中,鈍化層160包括氧化矽、氮氧化矽、氮化矽、或碳氧化矽。在一些實施例中,鈍化層160為含有超過一層的多層結構,且每一層的組成為介電材料。在一些實施例中,鈍化層160的厚度介於約50nm至約100nm之間。在一些例子中,若鈍化層160的厚度過小,則無法達到保護下方層的效果。在一些例子中,若鈍化層160的厚度過大,則保護下方層的效果不變但增加成本。
金屬墊162位於不在單光子雪崩二極體110的主動區之上的半導體基板102的區域上,並接觸最頂部的金屬化層152。金屬墊亦提供外部連接所用的接合點位。在一些實施例中,金屬墊162包括鋁或鋁銅合金。
影像感測器100更包含開口170。開口170延伸穿過鈍化層160、金屬間介電層150、與層間介電層136,以露出單光子雪崩二極體110的主動區上的接點蝕刻停止層134的一部份。因此單光子雪崩二極體110的操作方法為經由開口170照射單光子雪崩二極體110的主動區。在一些實施例中,開口170具有實質上垂直的側壁。在一些實施例中,開口170可具有錐形側壁以利光反射。在一些實施例中,開口170的側壁可各自具有斜角,其介於約80度至90度之間。
蓋層172至少沿著開口170的側壁。蓋層172亦沿著鈍化層160的上表面並露出金屬墊162。在一些實施例中,鈍化層160的上表面不具有蓋層172的材料。蓋層172包括的介電材料的濕氣抗性,高於金屬間介電層150或層間介電層136的低介電常數的介電層的濕氣抗性,因此可作為濕氣阻障層。在一些實施例中,蓋層172比金屬間介電層150及/或層間介電層136吸收更少的濕氣。在一些實施例中,蓋層172包括氮化矽或氮氧化矽。蓋層172的材料、厚度、及/或側壁角度,亦設置為有利反射入射光至單光子雪崩二極體110的主動區,以增加單光子雪崩二極體110偵測光子的機率。蓋層172的厚度設置為足以避免濕氣吸收。在一些實施例中,蓋層172的厚度介於約10nm至約100nm之間。在一些例子中,若蓋層172的厚度過小,蓋層172不足以避免濕氣吸收。在一些例子中,若蓋層172的厚度過大,則避免濕氣吸收的效果不變但增加成本。
由於蓋層172的材料之抗濕氣性大於內連線結構130中採用的介電材料之抗濕氣性,可降低內連線結構130 中的介電層(如層間介電層136及/或金屬間介電層150)所吸收的濕氣。如此一來,可改善影像感測器100的可信度。此外,蓋層172亦可將入射光朝單光子雪崩二極體的主動區反射,以增加單光子雪崩二極體偵測入射光子的機率。在內連線結構130的側壁上導入蓋層172,亦可增加偵測光子的機率。
圖2係一些實施例中,影像感測器200的剖視圖。影像感測器200與影像感測器100相同或類似的構件,將以相同標號標示且不詳述。
與圖1的影像感測器100相較,影像感測器200包括位於單光子雪崩二極體110與內連線結構130之間的閘極堆疊。閘極堆疊包括閘極介電層126,與閘極介電層126上的閘極128。閘極堆疊(如閘極介電層126與閘極128)為虛置閘極(無電性功能的閘極)。其可由形成周邊電路的閘極之相同製程階段所形成。在影像感測器200中,開口170延伸穿過內連線結構130中的整個介電層(包括鈍化層160、金屬間介電層150、層間介電層136、接點蝕刻停止層134、與阻擋保護層132)與閘極128。因此開口170露出單光子雪崩二極體110的主動區上的閘極介電層126的一部份。與影像感測器100相較,自單光子雪崩二極體110的主動區移除阻擋保護層132與接點蝕刻停止層134的部份,可進一步減少單光子雪崩二極體110的主動區上的光吸收及/或阻擋的介電層厚度,使更多光到達單光子雪崩二極體110。如此一來,可進一步增進影像感測器的效能。
在一些實施例中,閘極介電層126包括高介電常數的介電材料。高介電常數的介電材料如氧化鉿、鉿矽酸鹽、鋯矽酸鹽、或氧化鋯的介電常數,高於氧化矽的介電常數。在一些實施例中,閘極介電層包括厚度介於約1nm至10nm之間的氧化鉿。在一些例子中,若閘極介電層126的厚度過小,則有蝕穿閘極介電層而短接邏輯裝置的風險。在一些例子中,若閘極介電層126的厚度過大,則降低邏輯裝置的速度。在一些實施例中,閘極介電層126亦包括界面層(未圖示)以減少閘極介電層126與半導體基板102之間的損傷。舉例來說,界面層包括氧化矽。在一些實施例中,閘極128包括多晶矽或摻雜多晶矽(具有一致或漸變的摻雜)。
圖3係一些實施例中,採用互補金氧半製程製作影像感測器的方法300之流程圖。本技術領域中具有通常知識者應理解,一些實施例可在方法300之前、之中、或之後進行額外步驟,且方法300的一些實施例可置換或省略一些所述步驟。圖4至10B係一些實施例中,以方法300製作影像感測器的多種階段的剖視圖。圖3與圖4至10B所示的方法300與其製作的影像感測器一起說明如下。
如圖3與4所示,方法300的步驟302形成摻雜區如第一深井112、第一重摻雜區114、埋置摻雜層116、與第三重摻雜區122於半導體基板102中。在一些實施例中,進行一系列的佈植製程及/或摻雜磊晶製程,經由半導體基板102的前側將摻質(如p型或n型的摻質)導入半導體基板102中,以形成第一深井112、第一重摻雜區114、與埋置摻雜層116。在採用摻雜的磊晶製程的一些實施例中,可在磊晶製程時添加摻質。在一些實施例中,可在磊晶製程之後佈植摻質。在一些實施例中,進行摻雜製程之後可採用快速熱退火製程活化第一深井112、第一重摻雜區114、埋置摻雜層116、與第三重摻雜區122中的摻質,以提供所需的導電特性。
在一些實施例中,隔離結構108的形成方法可為採用光微影製程蝕刻溝槽(未圖示)於半導體基板102中,並將一或多種介電材料填入溝槽。在一些實施例中,溝槽的形成方法可為施加光阻層(未圖示)於半導體基板102上、微影圖案化光阻層、並以非等向蝕刻如反應性離子蝕刻或電漿蝕刻將圖案轉移至半導體基板102的上側部份。介電材料的沉積方法可為化學氣相沉積或物理氣相沉積。接著可自半導體基板102的前側表面移除多餘的介電材料,且移除方法可為化學機械平坦化等方法。在平坦化步驟之後,隔離結構108的上表面與半導體基板102的前側表面共平面。在一些實施例中,隔離結構108為熱氧化製程所形成的場氧化物。
如圖3與5所示,步驟304沉積閘極材料堆疊於半導體基板102上。在一些實施例中,沉積閘極材料堆疊於二極體區與周邊電路區中,使閘極材料堆疊覆蓋整個半導體基板102。在一些實施例中,只沉積閘極材料堆疊於周邊電路區中,使二極體區不含任何閘極材料(未圖示)。在一些實施例中,閘極材料堆疊包括閘極介電層126L與閘極層128L。在一些實施例中,閘極介電層126L的形成方法採用合適的沉積製程,比如原子層沉積、化學氣相沉積、物理氣相沉積、或電漿輔助化學氣相沉積。在一些實施例中,閘極層128L的形成方法採用低壓化學氣相沉積製程。在至少一實施例中,低壓化學氣相沉積製程在標準低壓化學氣相沉積爐中進行,其溫度介於約580℃至650℃之間,壓力介於約200mTorr至約1Torr之間,並採用矽烷或二氯矽烷作為矽源氣體。
如圖3與圖6A及6B所示,步驟306進行多種圖案化技術,以圖案化閘極材料堆疊(如閘極層128L與閘極介電層126L)。在一些實施例中,採用合適製程(如旋轉塗佈)形成光阻層(未圖示)於閘極層128L上,再圖案化光阻層以形成圖案化的光阻結構於閘極層128L上。接著採用單一或多重的蝕刻製程,將圖案化的光阻結構圖案轉移至閘極層128L與閘極介電層126L。在一些實施例中,蝕刻製程為乾蝕刻如反應性離子蝕刻或電漿蝕刻。在圖6A所示的一些實施例中,完全移除二極體區中的閘極材料堆疊(如閘極介電層126L與閘極層128L)的一部份,以露出單光子雪崩二極體110的主動區。在圖6B所示的一些實施例,部份地移除二極體區中的閘極材料堆疊(如閘極介電層126L與閘極層128L)的一部份,使閘極堆疊仍覆蓋單光子雪崩二極體110的主動區。閘極堆疊包括閘極介電層126與閘極128,閘極介電層126為二極體區中的閘極介電層126L的保留部份,而閘極128為二極體區中的閘極層128L的保留部份。閘極堆疊(如閘極介電層126與閘極128)為虛置閘極(無電性功能)。在二極體區不含閘極材料堆疊(如閘極介電層126L與閘極層128L)的例子中,可省略自單光子雪崩二極體110的主動區完全移除閘極材料堆疊(如閘極介電層126L與閘極層128L)的步驟。
如圖3與圖7A及7B所示,步驟308以多種佈植製程或摻雜的磊晶製程形成額外摻雜區如第二深井118與第二重摻雜區120於半導體基板102中。佈植製程或摻雜的磊晶製程亦形成周邊電路中的互補式金氧半電晶體所用的源極/汲極區(未圖示)。因此形成單光子雪崩二極體110於半導體基板102中。在一些實施例中,進行摻雜製程之後可採用快速熱退火製程活化額外摻雜區(如第二深井118第二重摻雜區120)中的摻質,以提供所需的導電特性。值得注意的是,亦可在形成閘極材料堆疊(如閘極介電層126L與閘極層128L)之前形成第二深井118與第二重摻雜區120。舉例來說,可在形成摻雜區如第一深井112、第一重摻雜區114、埋置摻雜層116、與第三重摻雜區122的步驟302中形成第二深井118與第二重摻雜區120。在形成第一深井112、第一重摻雜區114、埋置摻雜層116、與第三重摻雜區122的相同步驟中形成額外摻雜區(如第二深井118與第二重摻雜區120)的例子中,可省略步驟308。
如圖3與圖8A及8B所示,步驟310形成內連線結構130於半導體基板102的前側104上。首先,採用合適的沉積製程依序沉積阻擋保護層132、接點蝕刻停止層134、與層間介電層136於半導體基板102上。在一些實施例中,阻擋保護層132、接點蝕刻停止層134、與層間介電層136的沉積方法可採用化學氣相沉積、物理氣相沉積、電漿輔助化學氣相沉積、或旋轉塗佈。如圖所示,自單光子雪崩二極體110的表面完全移除閘極材料堆疊(如閘極介電層126L與閘極層128L)的例子中,阻擋保護層132直接接觸單光子雪崩二極體110(見圖8A)。在閘極堆疊(如閘極介電層126與閘極128)保留於單光子雪崩二極體110的主動區上的例子中,阻擋保護層132接觸閘極堆疊(如閘極介電層126與閘極128),以及閘極堆疊(如閘極介電層126與閘極128)未覆蓋的單光子雪崩二極體110的部份。在一些實施例中,沉積層間介電層136之後可進行化學機械平坦化製程,以平坦化層間介電層136。
接著蝕刻層間介電層136、接點蝕刻停止層134、與阻擋保護層132以形成接點開口,接著將導電材料填入接點開口以提供第一接點插塞142、第二接點插塞144、與第三接點插塞146。在一些實施例中,採用沉積製程(如化學氣相沉積或物理氣相沉積)或電鍍製程(如電鍍或無電鍍)填入接點開口。接著進行化學機械平坦化製程,以自層間介電層136的上表面移除多餘的導電材料。
接著形成金屬化層152以電性連接至第一接點插塞142、第二接點插塞144、與第三接點插塞146。在一些實施例中,沉積金屬間介電層150於層間介電層136上,且沉積方法可為化學氣相沉積或旋轉塗佈。接著蝕刻金屬間介電層150以形成通孔孔洞及/或線路溝槽。接著可將導電材料填入通孔孔洞及/或線路溝槽,以提供金屬化層152。
接著形成金屬墊162於金屬間介電層150上,以電性連接至金屬化層152。在一些實施例中,金屬墊162的形成方法為沉積金屬層於金屬間介電層150上,再選擇性蝕刻金屬層。接著沉積鈍化層160於金屬間介電層150上,以橫向地圍繞金屬墊162。在其他實施例中,沉積鈍化層160於金屬間介電層150上、蝕刻鈍化層以定義墊開口於鈍化層160中、沉積導電材料以襯墊墊開口、並自鈍化層160的上表面移除多餘的導電材料,以形成金屬墊162。
如圖3與圖9A及9B所示,步驟312形成開口170於單光子雪崩二極體110的主動區之前。在圖9A所示的一實施例中,開口170延伸穿過鈍化層160、金屬間介電層150、與層間介電層136,以露出單光子雪崩二極體110的主動區上的接點蝕刻停止層134的一部份。如圖9B所示的另一實施例,閘極堆疊(如閘極介電層126與閘極128)覆蓋單光子雪崩二極體110的主動區,而開口170延伸穿過鈍化層160、金屬間介電層150、層間介電層136、接點蝕刻停止層134、阻擋保護層132、與閘極128,以露出單光子雪崩二極體110的主動區上的閘極介電層126的一部份。在任何狀況下,開口170亦露出金屬間介電層150與層間介電層136的側壁。在一些實施例中,採用多重蝕刻製程蝕刻材料層以定義開口170於其中。在一些實施例中,蝕刻製程為乾蝕刻如反應性離子蝕刻、濕式化學蝕刻、或上述之組合。
如圖3與圖10A及10B所示,步驟314沉積蓋層172於開口170的側壁與底部上以及金屬墊162與鈍化層160的露出表面上。在一些實施例中,蓋層172的沉積方法為順應性的沉積製程如化學氣相沉積或原子層沉積。接著蝕刻蓋層172,至少自開口170與金屬墊162移除蓋層172。在一些實施例中,亦自鈍化層的上表面移除蓋層172,只保留蓋層172於開口170所露出的內連線結構130之側壁上。在一些實施例中,選擇性蝕刻蓋層172的方法為乾蝕刻如反應性離子蝕刻。部份地移除蓋層172將再露出開口170的底部之接點蝕刻停止層134(如圖1所示)或開口170的底部之閘極介電層126(如圖2所示)。
本發明一實施例關於影像感測器。影像感測器包括光二極體位於半導體基板中,以及內連線結構位於半導體基板上。內連線結構包括接點蝕刻停止層;多個介電層位於接點蝕刻停止層上;以及多個金屬化層位於介電層中。介電層的至少一者包括低介電常數的介電材料。開口延伸穿過介電層以露出光二極體的主動區上的接點蝕刻停止層的一部份。蓋層位於開口的側壁上。蓋層包括的介電材料的抗濕氣性大於低介電常數的介電材料的抗濕氣性。在一些實施例中,蓋層包括氮化矽或氮氧化矽。在一些實施例中,內連線結構更包括阻擋保護層於光二極體與接點蝕刻停止層之間。在一些實施例中,阻擋保護層包括氧化矽。在一些實施例中,內連線結構更包括:鈍化層,位於介電層的最頂部的介電層上;以及多個金屬墊,位於鈍化層中。開口延伸穿過鈍化層。在一些實施例中,鈍化層包括氮化矽或氮氧化矽。在一些實施例中,光二極體包括:第一導電型態的第一深井,自半導體基板的表面延伸至半導體基板中;第一導電型態的第一重摻雜區,位於第一深井的頂部區域中;第二導電型態的埋置摻雜層,延伸於第一深井下並接觸第一深井,且第二導電型態與第一導電型態相反;第二導電型態的第二深井,與第一深井隔有一段距離並接觸埋置摻雜層的周邊;第二導電型態的第二重摻雜區,位於第二深井的頂部區域中;以及第一導電型態的第三重摻雜區,沿著半導體基板的表面與第二重摻雜區隔有一段距離。在一些實施例中,內連線結構更包括多個接點插塞。接點插塞包括第一接點插塞,接觸第一重摻雜區;第二接點插塞,接觸第二重摻雜區;以及第三接點插塞,接觸第三重摻雜區。在一些實施例中,影像感測器更包括多個隔離結構於半導體基板中。隔離結構的第一隔離結構分開第二重摻雜區與第三重摻雜區。
本發明另一實施例關於影像感測器。影像感測器包括光二極體位於半導體基板中;閘極堆疊位於光二極體上;以及內連線結構,位於半導體基板上。閘極堆疊包括閘極介電層與閘極介電層上的閘極。內連線結構包括接點蝕刻停止層;以及多個介電層,位於接點蝕刻停止層上。介電層的至少一者包括低介電常數的介電材料。開口延伸穿過介電層、接點蝕刻停止層、與閘極,以露出光二極體的主動區上的閘極介電層的一部份。蓋層至少存在於開口的側壁上。蓋層包括的介電材料之抗濕氣性大於低介電常數的介電材料之抗濕氣性。在一些實施例中,蓋層包括氮化矽或氮氧化矽。在一些實施例中,內連線結構中的介電層包括層間介電層位於接點蝕刻停止層上,以及金屬間介電層位於層間介電層上。在一些實施例中,層間介電層包括第一低介電常數的介電材料。在一些實施例中,金屬間介電層包括第二低介電常數的介電材料,且第一低介電常數的介電材料與第二低介電常數的介電材料相同或不同。在一些實施例中,內連線結構更包括多個接點插塞於層間介電層與接點蝕刻停止層中。接點插塞電性耦接至光二極體。在一些實施例中,內連線結構更包括多個金屬化層於金屬間介電層中。金屬化層電性耦接至接點插塞。在一些實施例中,蓋層位於內連線結構的最頂部表面與開口的側壁上。
本發明又一實施例關於影像感測器的形成方法。方法包括形成光二極體於半導體基板中,並將內連線結構置於半導體基板上。內連線結構包括接點蝕刻停止層,位於光二極體上;以及多個介電層,位於接點蝕刻停止層上。接著圖案化至少上述介電層,包括定義開口於光極體的主動區上。接著沉積蓋層於開口的側壁上。介電層的至少一者包括低介電常數的介電材料。蓋層包括的介電材料的抗濕氣性大於低介電常數的介電材料的抗濕氣性。在一些實施例中,圖案化至少上述介電層的步驟,包括圖案化介電層以定義介電層中的開口。開口露出光二極體的主動區上的接點蝕刻停止層的一部份。在一些實施例中,方法更包括形成閘極堆疊於光二極體上,且閘極堆疊包括閘極介電層與閘極。圖案化至少上述介電層的步驟包括圖案化介電層、接點蝕刻停止層、與閘極,以定義開口於介電層、接點蝕刻停止層、與閘極中。開口露出光二極體的主動區上的閘極介電層的一部份。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。
100、200‧‧‧影像感測器 102‧‧‧半導體基板 104‧‧‧前側 108‧‧‧隔離結構 110‧‧‧單光子雪崩二極體 112‧‧‧第一深井 114‧‧‧第一重摻雜區 116‧‧‧埋置摻雜層 117‧‧‧倍增接面區 118‧‧‧第二深井 120‧‧‧第二重摻雜區 122‧‧‧第三重摻雜區 124‧‧‧部份 126、126L‧‧‧閘極介電層 128‧‧‧閘極 128L‧‧‧閘極層 130‧‧‧內連線結構 132‧‧‧阻擋保護層 134‧‧‧接點蝕刻停止層 136‧‧‧層間介電層 142‧‧‧第一接點插塞 144‧‧‧第二接點插塞 146‧‧‧第三接點插塞 150‧‧‧金屬間介電層 152‧‧‧金屬化層 160‧‧‧鈍化層 162‧‧‧金屬墊 170‧‧‧開口 172‧‧‧蓋層 300‧‧‧方法 302、304、306、308、310、312、314‧‧‧步驟
圖1係一些實施例中,單光子雪崩二極體影像感測器的剖視圖。 圖2係一些實施例中,單光子雪崩二極體影像感測器的剖視圖。 圖3係一些實施例中,製作單光子雪崩二極體影像感測器的方法之流程圖。 圖4係一些實施例中,含有摻雜區於半導體基板中的半導體結構之剖視圖。 圖5係一些實施例中,在圖4的半導體結構沉積閘極材料堆疊於半導體基板之後的剖視圖。 圖6A係一些實施例中,自圖5的半導體結構之二極體區完全移除閘極材料堆疊之後的剖視圖。 圖6B係一些實施例中,自圖5的半導體結構之二極體區部份地移除閘極材料堆疊之後的剖視圖。 圖7A與7B係一些實施例中,分別形成額外摻雜區於圖6A與6B的半導體結構的半導體基板中之後的剖視圖。 圖8A與8B係一些實施例中,分別將內連線結構置於圖7A與7B的半導體結構的半導體基板上之後的剖視圖。 圖9A與9B係一些實施例中,分別至少蝕刻圖8A與8B的半導體結構的內連線結構中的介電層,以定義開口於單光子雪崩二極體之主動區上之後的剖視圖。 圖10A與10B係一些實施例中,分別沉積蓋層於圖8A與8B的半導體結構的內連線結構上,並沿著圖8A與8B的半導體結構的開口表面沉積蓋層之後的剖視圖。
100‧‧‧影像感測器
102‧‧‧半導體基板
104‧‧‧前側
108‧‧‧隔離結構
110‧‧‧單光子雪崩二極體
112‧‧‧第一深井
114‧‧‧第一重摻雜區
116‧‧‧埋置摻雜層
118‧‧‧第二深井
120‧‧‧第二重摻雜區
122‧‧‧第三重摻雜區
126‧‧‧閘極介電層
128‧‧‧閘極
130‧‧‧內連線結構
132‧‧‧阻擋保護層
134‧‧‧接點蝕刻停止層
136‧‧‧層間介電層
142‧‧‧第一接點插塞
144‧‧‧第二接點插塞
146‧‧‧第三接點插塞
150‧‧‧金屬間介電層
152‧‧‧金屬化層
160‧‧‧鈍化層
162‧‧‧金屬墊
170‧‧‧開口
172‧‧‧蓋層

Claims (20)

  1. 一種影像感測器,包括: 一光二極體,位於一半導體基板中;以及 一內連線結構,位於該半導體基板上,且該內連線結構包括: 一接點蝕刻停止層; 多個介電層,位於該接點蝕刻停止層上,其中該些介電層的至少一者包括低介電常數的介電材料; 多個金屬化層,位於該些介電層中; 一開口,延伸穿過該些介電層,該開口露出該光二極體的一主動區上的該接點蝕刻停止層的一部份;以及 一蓋層,位於該開口的側壁上,其中該蓋層包括的介電材料的抗濕氣性大於該低介電常數的介電材料的抗濕氣性。
  2. 如申請專利範圍第1項所述之影像感測器,其中該蓋層包括氮化矽或氮氧化矽。
  3. 如申請專利範圍第1項所述之影像感測器,其中該內連線結構更包括一阻擋保護層於該光二極體與該接點蝕刻停止層之間。
  4. 如申請專利範圍第3項所述之影像感測器,其中該阻擋保護層包括氧化矽。
  5. 如申請專利範圍第1項所述之影像感測器,其中該內連線結構更包括: 一鈍化層,位於該些介電層的一最頂部的介電層上;以及 多個金屬墊,位於該鈍化層中; 其中該開口延伸穿過該鈍化層。
  6. 如申請專利範圍第5項所述之影像感測器,其中該鈍化層包括氮化矽或氮氧化矽。
  7. 如申請專利範圍第1項所述之影像感測器,其中該光二極體包括: 第一導電型態的一第一深井,自該半導體基板的表面延伸至該半導體基板中; 第一導電型態的一第一重摻雜區,位於該第一深井的頂部區域中; 第二導電型態的一埋置摻雜層,延伸於該第一深井下並接觸該第一深井,且該第二導電型態與該第一導電型態相反; 第二導電型態的一第二深井,與該第一深井隔有一段距離並接觸該埋置摻雜層的周邊; 第二導電型態的一第二重摻雜區,位於該第二深井的頂部區域中;以及 第一導電型態的一第三重摻雜區,沿著該半導體基板的表面與該第二重摻雜區隔有一段距離。
  8. 如申請專利範圍第7項所述之影像感測器,其中該內連線結構更包括多個接點插塞,且該些接點插塞包括: 一第一接點插塞,接觸該第一重摻雜區; 一第二接點插塞,接觸該第二重摻雜區;以及 一第三接點插塞,接觸該第三重摻雜區。
  9. 如申請專利範圍第7項所述之影像感測器,更包括多個隔離結構於該半導體基板中,其中該些隔離結構的一第一隔離結構分開該第二重摻雜區與該第三重摻雜區。
  10. 一種影像感測器,包括: 一光二極體,位於一半導體基板中; 一閘極堆疊,位於該光二極體上,且該閘極堆疊包括一閘極介電層與該閘極介電層上的一閘極;以及 一內連線結構,位於該半導體基板上,且該內連線結構包括: 一接點蝕刻停止層; 多個介電層,位於該接點蝕刻停止層上,其中該些介電層的至少一者包括低介電常數的介電材料; 一開口,延伸穿過該些介電層、該接點蝕刻停止層、與該閘極,且該開口露出該光二極體的一主動區上的該閘極介電層的一部份;以及 一蓋層,至少存在於該開口的側壁上,其中該蓋層包括的介電材料之抗濕氣性大於該低介電常數的介電材料之抗濕氣性。
  11. 如申請專利範圍第10項所述之影像感測器,其中該蓋層包括氮化矽或氮氧化矽。
  12. 如申請專利範圍第10項所述之影像感測器,其中該內連線結構中的該些介電層包括一層間介電層位於該接點蝕刻停止層上,以及一金屬間介電層位於該層間介電層上。
  13. 如申請專利範圍第12項所述之影像感測器,其中該層間介電層包括第一低介電常數的介電材料。
  14. 如申請專利範圍第13項所述之影像感測器,其中該金屬間介電層包括第二低介電常數的介電材料,且第一低介電常數的介電材料與第二低介電常數的介電材料相同或不同。
  15. 如申請專利範圍第12項所述之影像感測器,其中該內連線結構更包括多個接點插塞於該層間介電層與該接點蝕刻停止層中,且該些接點插塞電性耦接至該光二極體。
  16. 如申請專利範圍第15項所述之影像感測器,其中該內連線結構更包括多個金屬化層於該金屬間介電層中,且該些金屬化層電性耦接至該些接點插塞。
  17. 如申請專利範圍第10項所述之影像感測器,其中該蓋層位於該內連線結構的最頂部表面與開口的側壁上。
  18. 一種影像感測器的形成方法,包括: 形成一光二極體於一半導體基板中;以及 將一內連線結構置於該半導體基板上,其中該內連線結構包括: 一接點蝕刻停止層,位於該光二極體上; 多個介電層,位於該接點蝕刻停止層上,其中該些介電層的至少一者包括低介電常數的介電材料; 圖案化至少該些介電層,包括定義一開口於該光極體的一主動區上;以及 沉積一蓋層於該開口的側壁上,其中該蓋層包括的一介電材料的抗濕氣性大於該低介電常數的介電材料的抗濕氣性。
  19. 如申請專利範圍第18項所述之影像感測器的形成方法,其中圖案化至少該些介電層的步驟,包括圖案化該些介電層以定義該些介電層中的該開口,且該開口露出該光二極體的該主動區上的接點蝕刻停止層的一部份。
  20. 如申請專利範圍第18項所述之影像感測器的形成方法,更包括形成一閘極堆疊於該光二極體上,且該閘極堆疊包括一閘極介電層與一閘極,其中圖案化至少該些介電層的步驟包括圖案化該些介電層、該接點蝕刻停止層、與該閘極,以定義一開口於該些介電層、該接點蝕刻停止層、與該閘極中,且該開口露出該光二極體的該主動區上的該閘極介電層的一部份。
TW108125046A 2018-07-16 2019-07-16 影像感測器與其形成方法 TWI773909B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862698477P 2018-07-16 2018-07-16
US62/698,477 2018-07-16
US16/506,455 US10854658B2 (en) 2018-07-16 2019-07-09 Image sensor with sidewall protection and method of making same
US16/506,455 2019-07-09

Publications (2)

Publication Number Publication Date
TW202006893A true TW202006893A (zh) 2020-02-01
TWI773909B TWI773909B (zh) 2022-08-11

Family

ID=69139654

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108125046A TWI773909B (zh) 2018-07-16 2019-07-16 影像感測器與其形成方法

Country Status (3)

Country Link
US (2) US10854658B2 (zh)
CN (1) CN110729316A (zh)
TW (1) TWI773909B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI752636B (zh) * 2020-02-27 2022-01-11 台灣積體電路製造股份有限公司 圖像感測器、其形成方法及積體晶片
TWI776470B (zh) * 2020-09-29 2022-09-01 台灣積體電路製造股份有限公司 影像感測器及其形成方法
TWI812095B (zh) * 2021-07-30 2023-08-11 群創光電股份有限公司 感測裝置、感測裝置的製作方法以及電子裝置
TWI824874B (zh) * 2022-12-12 2023-12-01 世界先進積體電路股份有限公司 影像感測器

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10854658B2 (en) * 2018-07-16 2020-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Image sensor with sidewall protection and method of making same
JP7350583B2 (ja) * 2019-09-12 2023-09-26 キオクシア株式会社 半導体記憶装置
US11682654B2 (en) * 2019-12-17 2023-06-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having a sensor device and method of manufacturing the same
US11251219B2 (en) 2020-03-10 2022-02-15 Sensors Unlimited, Inc. Low capacitance photo detectors
CN115461868A (zh) * 2020-03-20 2022-12-09 灵明光子有限公司 用于直接飞行时间传感器的spad像素电路及其方法
US11322639B2 (en) * 2020-04-09 2022-05-03 Globalfoundries U.S. Inc. Avalanche photodiode
US11316064B2 (en) 2020-05-29 2022-04-26 Globalfoundries U.S. Inc. Photodiode and/or PIN diode structures
US11611002B2 (en) 2020-07-22 2023-03-21 Globalfoundries U.S. Inc. Photodiode and/or pin diode structures
US11424377B2 (en) 2020-10-08 2022-08-23 Globalfoundries U.S. Inc. Photodiode with integrated, light focusing element
JP2022075275A (ja) 2020-11-06 2022-05-18 キオクシア株式会社 半導体記憶装置
US11830959B2 (en) * 2021-01-29 2023-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Photodetection device and manufacturing method thereof
JP7467401B2 (ja) * 2021-09-22 2024-04-15 キヤノン株式会社 光電変換装置
JP7512241B2 (ja) * 2021-09-22 2024-07-08 キヤノン株式会社 光電変換装置
US11949034B2 (en) 2022-06-24 2024-04-02 Globalfoundries U.S. Inc. Photodetector with dual doped semiconductor material

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3512937B2 (ja) * 1996-02-26 2004-03-31 浜松ホトニクス株式会社 半導体装置
US6580109B1 (en) 2002-02-01 2003-06-17 Stmicroelectronics, Inc. Integrated circuit device including two types of photodiodes
US7215361B2 (en) * 2003-09-17 2007-05-08 Micron Technology, Inc. Method for automated testing of the modulation transfer function in image sensors
DE10345453B4 (de) * 2003-09-30 2009-08-20 Infineon Technologies Ag Verfahren zum Herstellen eines optischen Sensors mit einer integrierten Schichtstapel-Anordnung
US7755122B2 (en) * 2005-08-29 2010-07-13 United Microelectronics Corp. Complementary metal oxide semiconductor image sensor
JP2007227445A (ja) * 2006-02-21 2007-09-06 Sanyo Electric Co Ltd 半導体装置及びその製造方法
JP4697258B2 (ja) * 2008-05-09 2011-06-08 ソニー株式会社 固体撮像装置と電子機器
TWI376795B (en) 2008-06-13 2012-11-11 Taiwan Semiconductor Mfg Image sensor device and method for manufacturing the same
EP2144303B1 (en) 2008-07-10 2013-02-06 STMicroelectronics (Research & Development) Limited Improvements in Single Photon Avalanche Diodes
JP2010283145A (ja) * 2009-06-04 2010-12-16 Sony Corp 固体撮像素子及びその製造方法、電子機器
KR20110077451A (ko) * 2009-12-30 2011-07-07 삼성전자주식회사 이미지 센서, 그 제조 방법, 및 상기 이미지 센서를 포함하는 장치
US8324010B2 (en) * 2010-06-29 2012-12-04 Himax Imaging, Inc. Light pipe etch control for CMOS fabrication
US9728667B1 (en) * 2011-10-21 2017-08-08 Radiation Monitoring Devices, Inc. Solid state photomultiplier using buried P-N junction
JP6151499B2 (ja) * 2012-09-11 2017-06-21 ルネサスエレクトロニクス株式会社 撮像装置およびその製造方法
US9412719B2 (en) * 2013-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC interconnect apparatus and method
US9490282B2 (en) * 2015-03-19 2016-11-08 Omnivision Technologies, Inc. Photosensitive capacitor pixel for image sensor
TWI572024B (zh) * 2015-07-06 2017-02-21 力晶科技股份有限公司 半導體元件及其製造方法
US11127772B2 (en) * 2017-03-24 2021-09-21 Sony Semiconductor Solutions Corporation Sensor chip and electronic apparatus
US10854658B2 (en) * 2018-07-16 2020-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Image sensor with sidewall protection and method of making same

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI752636B (zh) * 2020-02-27 2022-01-11 台灣積體電路製造股份有限公司 圖像感測器、其形成方法及積體晶片
TWI776470B (zh) * 2020-09-29 2022-09-01 台灣積體電路製造股份有限公司 影像感測器及其形成方法
TWI812095B (zh) * 2021-07-30 2023-08-11 群創光電股份有限公司 感測裝置、感測裝置的製作方法以及電子裝置
TWI824874B (zh) * 2022-12-12 2023-12-01 世界先進積體電路股份有限公司 影像感測器

Also Published As

Publication number Publication date
CN110729316A (zh) 2020-01-24
US10854658B2 (en) 2020-12-01
TWI773909B (zh) 2022-08-11
US11961866B2 (en) 2024-04-16
US20200020734A1 (en) 2020-01-16
US20210043675A1 (en) 2021-02-11

Similar Documents

Publication Publication Date Title
TWI773909B (zh) 影像感測器與其形成方法
JP5684877B2 (ja) 裏面照射型イメージセンサ
TWI683430B (zh) 具有吸收增強半導體層的影像感測器
TWI528536B (zh) 在背照式影像感測器晶片內形成多層金屬膜堆疊的方法及背照式影像感測器裝置
CN109326617B (zh) 图像检测装置及其制造方法
TWI677082B (zh) 改善快門效率的影像感測器裝置及其製造方法
TW201913988A (zh) 影像感測器裝置
CN110767666B (zh) 覆盖结构、半导体器件及其形成方法
US10497729B2 (en) Image sensor having conductive layer and protective layer
TWI696298B (zh) 光偵測器及其形成方法
TW201727885A (zh) 影像感測器
US11189650B2 (en) Image sensor structure and manufacturing method thereof
TWI717795B (zh) 影像感測器及其形成方法
US20230027354A1 (en) Doped semiconductor structure for nir sensors
KR20230143118A (ko) 이미지 센서의 격리 구조체
TW202238827A (zh) 積體晶片、影像感測器及影像感測器的形成方法