TW202006827A - 半導體元件及其製程方法 - Google Patents

半導體元件及其製程方法 Download PDF

Info

Publication number
TW202006827A
TW202006827A TW108108960A TW108108960A TW202006827A TW 202006827 A TW202006827 A TW 202006827A TW 108108960 A TW108108960 A TW 108108960A TW 108108960 A TW108108960 A TW 108108960A TW 202006827 A TW202006827 A TW 202006827A
Authority
TW
Taiwan
Prior art keywords
gate
dielectric
layer
trench
dielectric material
Prior art date
Application number
TW108108960A
Other languages
English (en)
Other versions
TWI741269B (zh
Inventor
張博欽
吳偉豪
林立德
林斌彥
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202006827A publication Critical patent/TW202006827A/zh
Application granted granted Critical
Publication of TWI741269B publication Critical patent/TWI741269B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823456MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different shapes, lengths or dimensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

一種方法,此方法包含:移除虛設閘極以保留溝槽,此溝槽係介於第一閘極間隔物之間;形成閘極介電層,其延伸至溝槽中;沉積金屬層於閘極介電層之上,其中金屬層包含延伸至溝槽中之一部份;沉積填充區域至溝槽中,其中金屬層在填充區域之相對兩側上具有第一垂直部份和第二垂直部份;回蝕刻金屬層,其填充區域比金屬層至少係為較少凹陷,且其金屬層之部分的剩餘部份形成閘電極;沉積介電材料至溝槽中;以及執行平坦化以移除介電材料的多餘部分。溝槽中的介電材料的一部分形成介電硬遮罩的至少一部分於閘電極之上。

Description

減少金屬閘極之回蝕中的圖案負載
用於鰭式場效電晶體(鰭片場效電晶體)之金屬閘極和相對應的閘極接觸插頭的形成中,金屬閘極通常係為凹陷的,且由於金屬閘極的凹陷,硬遮罩被填充至形成的凹槽中,隨後移除硬遮罩的某些部份以形成接觸窗開口,通過接觸窗開口,金屬閘極係為暴露的,形成閘極接觸插頭以連接至金屬閘極。
硬遮罩的凹陷導致金屬閘極損失,其要求金屬閘極形成高於此些最終高度的高度,以便補償損失的高度,金屬閘極增加之高度導致用於形成金屬閘極的間隙填充困難,而且,硬遮罩的凹陷在硬遮罩的蝕刻中受到圖案負載效應,且此圖案負載效應造成某些金屬閘極比其他金屬閘極更凹陷。舉例來說,晶圓/晶片中的電晶體可包含短通道電晶體、中通道電晶體和/或長通道電晶體,當同時蝕刻短通道電晶體、中通道電晶體和/或長通道電晶體的金屬閘極時,圖案負載效應可導致長通道電晶體的金屬閘極比中通道電晶體的金屬閘極更加凹陷,且中通道電晶體的金屬閘極比短通道電晶體的金屬閘極更加凹陷。
10‧‧‧晶圓
20‧‧‧基板
22‧‧‧淺溝渠隔離區
22A‧‧‧頂面
24‧‧‧半導體帶
24’‧‧‧突出鰭片
26A、26B‧‧‧線
30、130、230、330、430‧‧‧虛設閘極堆疊
32、132、232、332、432‧‧‧虛設閘極介電
34、134、234、334、434‧‧‧虛設閘極電極
36‧‧‧硬遮罩層
38、138、238、338、438‧‧‧閘極間隔物
40‧‧‧凹槽
42‧‧‧磊晶區域
46‧‧‧接觸蝕刻停止層
48、78‧‧‧層間介電質
58‧‧‧堆疊金屬層
58A、58B、58C、82、158、258、358、458‧‧‧金屬層
60‧‧‧填充層
68‧‧‧介電材料
80‧‧‧接觸插頭
84、85‧‧‧光阻
100、200、300、400‧‧‧元件區
124’、224’、324’、424’‧‧‧半導體鰭片
136、236、336、436‧‧‧硬遮罩
142、242、342、442‧‧‧源極/汲極區域
151、251、351、451‧‧‧開口
152、252、352、452‧‧‧閘極介電層
154、254、354、454‧‧‧內界面層
156、256、356、456‧‧‧高k介電層
164、264、364、464‧‧‧替換閘電極
166、266、366、466‧‧‧閘極堆疊
170、270、370、470‧‧‧硬遮罩
172、272、372、472、174、274、374、474‧‧‧接觸插頭
176、276、376、476‧‧‧矽化物區
181、281‧‧‧短通道鰭片場效電晶體
381‧‧‧中通道鰭片場效電晶體
360、460‧‧‧填充區域
368、468‧‧‧介電質部分
372A、472A‧‧‧突出部分
372B、472B‧‧‧頂面部分
382、482‧‧‧金屬層部分
481‧‧‧長通道鰭片場效電晶體
500‧‧‧製造流程
502、504、506、508、510、512、514、516、518、520、522‧‧‧過程
D1、D2、D3‧‧‧深度
L1、L2、L3、L4‧‧‧閘極長度
W2、W3、W4‧‧‧寬度
當結合隨附圖式閱讀時,自以下詳細描述將很好地理解本揭露。應強調,根據工業中的標準實務,各特徵並非按比例繪製。事實上,為了論述清晰之目的,可任意增加或減小特徵之尺寸。應強調,附圖僅說明此發明的典型實施方法,因此不被認為是範圍限制,本揭露可能同樣適用於其他實施方法。
第1圖至第4圖、第5A圖、第5B圖以及第6圖至第17圖係依據一些實施方法,繪示具有不同通道長度(閘極寬度)之數個鰭式場效電晶體(鰭片場效電晶體)的形成的中間階段的透視圖和剖視圖。
第18圖至第25圖係依據一些實施方法繪示具有不同閘極寬度之鰭式場效電晶體(鰭片場效電晶體)的形成的中間階段透視圖和剖視圖。
第26圖和第27圖係依據一些實施方法分別繪示鰭式場效電晶體之取代閘極的剖視圖和俯視圖。
第28圖係依據一些實施方法繪示具有不同閘極寬度之鰭式場效電晶體的形成的製造流程。
應理解,以下揭示內容提供許多不同實施例或實例,以便實施本揭露發明實施例之不同特徵。以下揭露內容對於實施所提供主題的不同特徵提供許多不同的實施方法或實施例,下文描述組件及排列之特定實施例以簡化本揭 露書的內容。當然,此些僅為實施例且並不意欲為限制性。舉例來說,在下文的描述中,形成於第二特徵之上的第一特徵可包含第一和第二特徵,其直接接觸形成的實施方法,且亦可包含形成於第一和第二特徵之間的附加實施特徵的實施方法,使第一和第二特徵不直接接觸。此外,本揭露可在各實例中重複元件符號及/或字母。此重複係為了簡化,並不指示所論述之各實施例及/或配置之間的關係。
另外,為了便於描述,本文可使用空間相對性術語(諸如「之下」、「下方」、「下部」、「上方」、「上部」及類似者)來描述諸圖中所圖示之一元件或特徵與另一元件(或多個元件)或特徵(或多個特徵)之關係。除了諸圖所描繪之定向外,空間相對性術語意欲包含使用或操作中裝置之不同定向。設備可經其他方式定向(旋轉90度或處於其他定向上)且因此可同樣解讀本文所使用之空間相對性描述詞。
依據各種示例性實施方法提供電晶體及其形成方法,形成電晶體的中間階段係依據一些實施方法來繪示,敘述一些實施方法之一些變型,在各種視圖和繪示性實施方法中,使用相同的附圖標記來表示相同的元件。在繪示性實施方法中,用鰭式場效電晶體(鰭片場效電晶體)的形成作為一實施例以解釋此揭露的概念,平面電晶體亦可採用此揭露之概念。
第1圖至第17圖係依據本揭露的一些實施方法,繪示鰭片場效電晶體之形成的中間階段的剖視圖和透視 圖。在第1圖至第17圖中所示之步驟也在第25圖所示之製造流程中示例性地呈現。第1圖至第6圖係繪示半導體鰭片、虛設閘極堆疊、源極和汲極區域、接觸蝕刻停止層(Contact Etch Stop layer,CESL)和層間介電質(Inter-Layer Dielectric,ILD)等的形成。第1圖至第6圖所示之過程可採用短通道電晶體、中通道電晶體和長通道電晶體,且電晶體係可為P型或N型。
第1圖係繪示初始結構的透視圖。此初始結構包含晶圓10,其更包含基板20。基板20係可為半導體基板,其係可為矽基板、矽鍺基板或其他半導體材料形成的基板。基板20可摻雜P型或N型雜質。可形成諸如淺溝渠隔離(Shallow Trench Isolation,STI)區之隔離區22以從基板20的頂面延伸至基板20內。相鄰的淺溝渠隔離區22之間的基板20的部分稱為半導體帶24。依據一些示例性實施方法,半導體帶24之頂面和淺溝渠隔離區22之頂面實質上可互相齊平。依據本揭露的一些實施方法,半導體帶24係為原始基板20的部分,因此,半導體帶24的材料係與基板20的材料相同。依據本揭露之替地性實施方法中,半導體帶24係為替換帶,其形成於蝕刻淺溝渠隔離區22之間的基板20的部分以形成凹槽,且執行磊晶以在凹槽中再生另一半導體材料。因此,半導體帶24形成於不同於基板20的半導體材料。依據一些示例性實施方法,半導體帶24形成於矽鍺、矽碳或第III族至第V族的化合物半導體材料。
淺溝渠隔離區22可包含襯氧化物(未出示),其係為可透過基板20表面層之熱氧化形成的熱氧化物。此襯 氧化物(liner oxide)係亦可為沉積氧化矽層,其使用例如原子層沉積(ALD)、高密度電漿化學氣相沉積(HDPCVD)或化學氣相沉積(CVD)來形成。淺溝渠隔離區22亦可包含位於此襯氧化物之上的介電材料,其中此介電材料可使用流動式化學氣象沉積(FCVD)或旋塗(spin-on)等來形成。
請參考第2圖,淺溝渠隔離區22係為凹陷的,使得半導體帶24的頂部相較於淺溝渠隔離區22的剩餘區域的頂面22A突出得更高,以形成突出鰭片24’。此相應的過程係繪示於製造流程500中的過程502,如第28圖所示。可使用乾蝕刻製程來進行蝕刻,其中使用NF3和NH3的混合物或是HF和NH3的混合物作為蝕刻氣體。在蝕刻製程期間,可產生電漿,亦可包括氬氣。依據此揭露的替代性實施方法,使用溼蝕刻製程來進行淺溝渠隔離區22的凹陷。舉例來說,此蝕刻化學亦可包含氟化氫溶液。
請參考第3圖,虛設閘極堆疊30形成於(突出的)鰭片24’的側壁和頂面上。此相應的過程係繪示於製造流程500中的過程502,如第28圖所示。虛設閘極堆疊30可包含虛設閘極介電32以及位於虛設閘極介電32之上的虛設閘電極34。舉例來說,可使用多晶矽和其他材料來形成虛設閘電極34,各個虛設閘極堆疊30亦可包含位於虛設閘電極34之上的一個(或多個)硬遮罩層36。硬遮罩層36可形成於氮化矽、氧化矽、碳氮化矽或其多層。虛設閘極堆疊30可跨過一個或多個突出鰭片24’和/或淺溝渠隔離區22之上。虛 設閘極堆疊30亦具有縱向方向,其垂直於突出鰭片24’的縱向方向。
接著,閘極間隔物38形成於虛設閘極堆疊30的側壁上。依據本揭露的一些實施方法,閘極間隔物38形成於介電材料,例如氮化矽或碳氮化矽等,且可具有單層結構或包含多個介電層的多層結構。依據本揭露的一些實施方法,閘極間隔物38形成於氮化矽或矽氧基碳氮化物等。閘極間隔物38的厚度係可小於約10奈米。
接著執行蝕刻步驟(以下稱為源極/汲極凹槽)以蝕刻突出鰭片24’之未被虛設閘極堆疊30和閘極間隔物38所覆蓋的部分,形成如第4圖所示之結構。此凹槽係可為各向異性的,因此,直接地位於虛設閘極堆疊30和閘極間隔物38底層之鰭片24’的部分係受到保護的。凹陷半導體帶24的頂面係可低於淺溝渠隔離區22的頂面22A。因此在淺溝渠隔離區22之間形成凹槽40。凹槽40係坐落於虛設閘極堆疊30的相對兩側。
接下來,透過凹槽40中選擇性生長的半導體材料來形成磊晶區域(源極/汲極區域)42,形成如第5A圖所示之結構。此相應的過程係繪示於如第28圖所示之製造流程500中的過程504。依據一些示例性實施方法中,磊晶區域42包含矽鍺或矽。取決於所得的鰭片場效電晶體係為P型鰭片場效電晶體或N型鰭片場效電晶體,透過磊晶的程序可原位摻雜(in-situ doped)P型或N型雜質。舉例來說,當所得的鰭片場效電晶體係為P型鰭片場效電晶體時,可生長矽鍺硼 (SiGeB)或矽硼等。相反地,當所得的鰭片場效電晶體係為N型鰭片場效電晶體時,可生長磷化矽(SiP)或矽碳磷(SiCP)等。依據此揭露的替代性實施方法,磊晶區域42形成於諸如砷化鎵、磷化銦、氮化鎵、砷化銦鎵、砷化銦鋁、銻化鎵、銻化鋁、砷化鋁、磷化鋁、磷化鎵、其組合或其多層之第III族至第V族的化合物。在磊晶區域42完全地填充凹槽40後,磊晶區域42開始水平地擴張,且可形成小平面。
在磊晶步驟後,磊晶區域42可更進一步注入P型或N型雜質以形成源極和汲極區域,其亦可使用附圖標記42來表示。依據此揭露的替代性實施方法,當磊晶區域42在磊晶期間透過P型或N型雜質進行原位參雜以形成源極/汲極區域時可跳過此注入步驟。磊晶源極/汲極區域42包含在淺溝渠隔離區22中形成的下部,以及在淺溝渠隔離區22之頂面上方形成的上部。
第5B圖係依據此揭露的替代性實施方法繪示源極/汲極區域42的形成。依據此些實施方法,如第3圖所示,此突出鰭片24’係未凹陷的,且磊晶區域41生長於突出鰭片24’上。如第5A圖所示,磊晶區域41的材料係可相似於磊晶半導體材料42的材料,取決於所得的鰭片場效電晶體係為P型或N型場效電晶體。因此,源極/汲極區域42包含突出鰭片24’和磊晶區域41,可執行注入以注入N型雜質或P型雜質。
第6圖係繪示在形成接觸蝕刻停止層(CESL)46和層間介電質(ILD)48之後的結構的透視圖。此相應過程係 繪示為如第28圖所示之製造流程500中的過程506。可省略接觸蝕刻停止層46。依據此揭露的一些實施方法,當形成時,可形成於氮化矽或矽氮氧化物等。依據此揭露的一些實施方法,在接觸蝕刻停止層46中不含氧。可使用例如原子層沉積或化學氣相沉積的保形沉積方法來形成接觸蝕刻停止層46。層間介電質48可包含介電材料,其使用例如流動式化學氣象沉積、旋塗(spin-on coating)、化學氣相沉積或另一沉積方法來形成。層間介電質48亦可形成於含氧介電材料,其係可為諸如四乙氧基矽烷(TEOS)氧化物、微波電漿輔助化學氣相沉積(PECVD)氧化物(SiO2)、磷矽矽酸鹽玻璃(PSG)、硼矽酸鹽玻璃(BSG)或硼摻雜的磷矽酸鹽玻璃(BPSG)等的矽氧基。可執行諸如化學機械性拋光(Chemical Mechanical Polish,CMP)過程或機械研磨過程的平坦化過程,以使層間介電質48、虛設閘極堆疊30和閘極間隔物38的頂面互相齊平。
第7圖係繪示形成N型鰭片場效電晶體短通道鰭片場效電晶體、P型鰭片場效電晶體短通道鰭片場效電晶體、中通道鰭片場效電晶體和長通道鰭片場效電晶體之初始結構的剖面圖,其分別形成於元件區100、200、300和400中。第7圖中所示之各個繪示的鰭片場效電晶體區域的剖視圖可對應於從含有第6圖中之線A-A的垂直平面所得到的剖視圖。如第7圖中所示之區域100、200、300和400中的各元件的形成細節可於第1圖至第6圖中找到。元件區100、200、300和400的特徵對應於第6圖中所示的特徵,除了第6圖中之一些功能編號可增加數字「100」、「200」、「300」 或「400」,用以互相區分此些特徵。舉例來說,元件區100中之虛設閘極堆疊130包含虛設閘極介電132、虛設閘電極134和硬遮罩136,其對應於第6圖中之虛設閘極介電32、虛設閘電極34和硬遮罩36。源極/汲極區域142、242、342和442分別形成於元件區100、200、300和400中。此外,閘極間隔物138、238、338和438分別形成於元件區100、200、300和400中。
所示之線22A係用以表示淺溝渠隔離區22的頂面水平(第7圖)。半導體鰭片124’、224’、324’和424’比頂面22A突出更高。元件區100、200、300和400中之鰭片場效電晶體的通道長度(閘極寬度)係分別為L1、L2、L3和L4。依據本揭露的一些實施方法,假設L1=L2<L3<L4。依據本揭露的一些實施方法,短通道鰭片場效電晶體的閘極長度L1和L2係小於約17奈米。中通道鰭片場效電晶體的閘極長度L3係介於約38奈米至約50奈米之間的範圍。長通道鰭片場效電晶體的閘極長度L4係介於約90奈米至約260奈米之間的範圍。應理解,無論閘極係為長通道閘極、中通道閘極或是短通道閘極是否為相對的,且此些閘極長度的範圍可與前述不同。
接著,移除虛設閘極堆疊130、230、330和430,分別形成開口151、251、351和451,且所得的結構係繪示於第8圖中。此相應過程係繪示為如第28圖所示之製造流程500中的過程508。突出之半導體鰭片124’、224’、 324’和424’的頂面和側壁可分別暴露於開口151、251、351和451。
接下來,請參考第9圖,(替換)閘極介電層152、252、352和452分別形成於元件區100、200、300和400中。此相應過程係繪示為如第28圖所示之製造流程500中的過程510。閘極介電層152、252、352和452分別延伸至開口151、251、351和451中(第8圖)。依據本揭露的一些實施方法,閘極介電層152包含作為其對應下部的內界面層(Interfacial Layer,IL)154、254、354和454,內界面層154、254、354和454形成於突出鰭片的暴露表面上。內界面層154、254、354和454可包含諸如氧化矽層之氧化層,其透過突出鰭片124’、224’、324’和424’的熱氧化、化學氧化過程或是沉積過程來形成,可在共同的過程中同時形成內界面層154、254、354和454。
閘極介電層152、252、352和452亦可包含在相應的內界面層之上形成的高k介電層156、256、356和456。高k介電層156、256、356和456可包含高k介電材料,如氧化鉿、氧化鑭、氧化鋁、氧化鋯或氮化矽等。此高k介電材料之介電常數(k-值)係高於約3.9,並可高於約7.0,高k介電層156、256、356和456形成為共形層,且在突出鰭片124’、224’、324’和424’的側壁上以及相應的閘極間隔物138、238、338和438之側壁上延伸著。依據本揭露的一些實施方法,使用原子層沉積或化學氣相沉積來形成高k介 電層156、256、356和456。可在共同的過程中同時形成高k介電層156、256、356和456。
第9圖至第11圖係繪示一些金屬層的形成,其形成一部分的替代金屬閘極。此相應過程係繪示為如第28圖所示之製造流程500中的過程512。此些繪示的金屬層可包含功函數層,其功函數影響相應鰭片場效電晶體的低限電壓(threshold voltage)。此些金屬層可包含或不可包含功函數層之上層和/或下層的非功函數,其中非功函數金屬層之功函數不影響所得的鰭片場效電晶體的低限電壓。舉例來說,金屬層可包含覆蓋功函數層的鎢層,且鎢層係非功函數層。繪示於實施方法中所繪示的金屬層僅係為實施例,且可採用金屬層的不同結合。此外,依據其他實施方法,所繪示的金屬層中每一層可延伸或不可延伸至任一元件區100、200、300或400中。
第9圖至第11圖中形成堆疊金屬層58(第11圖)。可基於相應的鰭片場效電晶體係為N型鰭片場效電晶體或P型鰭片場效電晶體來選擇堆疊金屬層58中各層的材料。堆疊金屬層58可包含層58A、58B和58C,它們單獨地和組合地稱為金屬層58。舉例來說,當鰭片場效電晶體係為N型鰭片場效電晶體,在堆疊金屬層58中的功含數層可包含氮化鈦層以及位於此氮化鈦層之上的鋁化鈦(TiAl)層。當此鰭片場效電晶體係為P型鰭片場效電晶體時,在堆疊金屬層58中的功函數層可包含氮化鉭層、位於此氮化鉭層之上的氮化鈦(TiN)層以及位於此氮化鈦層之上的氮化鋁(TiAl) 層。所述多個功函數層亦可包含碳化鈦鋁或碳矽化鈦等。各個功函數層和擴散阻障層(diffusion barrier layer)的厚度係可小於約5奈米,舉例來說,介於約1奈米至約5奈米之間。依據此揭露的一些實施方法,使用諸如原子層沉積或化學氣相沉積的保形沉積方法來執行堆疊金屬層58,使得堆疊金屬層58(和每個子層)之垂直部分的厚度以及水平部分的厚度彼此係為相同的或是實質上係為相同的,舉例來說,水平部分和垂直部分之間的厚度差係小於水平部分厚度的約20百分比或10百分比。在隨後的討論中,層58A、58B和58C的材料和形成方法不單獨討論。
請參考第9圖,第一金屬層58A形成於元件區200中,其係可為功函數層。此形成過程可包含形成金屬層58A的毯覆,以及例如透過光蝕微影過程(photo lithography process)而從元件區100、300和400中移除金屬層58A。
第10圖係繪示金屬層58B之沉積。金屬層58B延伸至開口151、251和351中,且覆蓋金屬層58A。依據本揭露的一些實施方法,各個開口151、251、351和451具有未填充的一部份。
第11圖係繪示金屬層58C之沉積。依據本揭露的一些實施方法,剩餘的開口151和251完全地被填滿。此過程係可控制的,以便在完全地填滿開口151和251時即可停止金屬層58C之沉積。在所繪示的實施例中,由於開口251比開口151更早被填充,當完全地填滿開口151時即可停止金屬層58C之沉積,盡可能選擇最小的過度填充(持續 提供操作界限以確保完全填充開口151和251)。當停止金屬層58C的沉積時,開口351和451仍具有未填充的部分。依據本揭露的一些實施方法,完全填充開口151後,當停止沉積時,沉積小於5奈米(或小於2奈米)厚度的金屬層58C作為過度填充。
接著,請參考第12圖,沉積填充層60以填充開口351和451的剩餘部分。此相應過程係繪示為如第28圖所示之製造流程500中的過程514。依據本揭露的一些實施方法,填充層60形成於介電材料,其不同於閘極間隔物138/238/338/438的材料,且可能不同於(或相同於)接觸蝕刻停止層46的材料。舉例來說,填充層60可形成於氮化矽、氧化矽或碳氮化矽等。填充層60亦可形成於多晶矽或非晶矽(amorphous silicon)等。
第13圖係繪示平坦化過程以移除堆疊金屬層58的多餘部分。此相應過程亦繪示為如第28圖所示之製造流程500中的過程514。此平坦化過程係可為化學機械性拋光(CMP)過程或機械研磨過程。可使用層間介電質48作為停止層來執行此坦化。依據此揭露的替代性實施方法,使用高k介電層156、256、356和456的水平部分作為停止層來執行此平坦化,因此在平坦化過程之後,高k介電層的水平部分可具有一些剩餘部分。作為平坦化的結果,如第13圖所示,形成替換(金屬)閘電極164、264、364和464,它們分別包含元件區100、200、300和400中之金屬層58的剩餘部分158、258、358和458。在此揭露中,替換閘電極 164、264、364和464與相應的底層閘極介電層152、252、352和452的組合分別被稱為替換閘極堆疊166、266、366和466。填充層60的剩餘部分被稱為填充區域360和460。填充區域460係比填充區域360更寬,寬度W4係比寬度W3更寬。依據本揭露的一些實施方法,填充區域360具有範圍在約15奈米至約40奈米的寬度W3,且填充區域460具有範圍在約70奈米至約245奈米的寬度W4。
第14圖係繪示替換閘極堆疊166、266、366和466的回蝕。此相應過程係繪示為如第28圖所示之製造流程500中的過程516。在此回蝕中,回蝕刻高k閘極介電層156、256、356和456。並且,回蝕刻替換閘電極164、264、364和464刻因此,此相應過程亦稱為替換閘電極164、264、364和464的回蝕。依據本揭露的一些實施方法,使用攻擊替換閘電極164、264、364和464(以及所述多個材料和其上的金屬)的化學物執行回蝕,且不會攻擊閘極間隔物138/238/338/438、層間介電質48以及區域360和460。依據本揭露的一些實施方法,使用氯基過程(chlorine-based processes)氣體來執行此回蝕刻舉例來說,可使用氯氣和三氯化硼的混合物來執行此回蝕。氯基(chlorine-based)氣體具有高蝕刻選擇性價值,其中此蝕刻選擇性係為替換閘電極164/264/364/464之蝕刻速率以及填充區域360和460之蝕刻速率的比值。舉例來說,此蝕刻選擇性可大於約25或更高,例如大於約50。
依據本揭露的一些實施方法,由於填充區域360和460的形成,其佔據將由金屬閘極所佔據的空間,被蝕刻的區域其閘極寬度(在通道長度方向上測量)在元件區100、200、300和400中更均勻。舉例來說,如第14圖所示,假設閘極寬度L1係等同於L2,在元件區100、200、300和400中蝕刻區域的寬度係全部等同於或接近L1。因此,在回蝕中圖案負載(pattern-loading)效應係為至少減少的,且實質上可消除。在回蝕之後,閘極堆疊166、266、366和466可實質上位於相同水平,作為回蝕的結果,閘極堆疊166、266、366和466的頂面凹陷成深度D1,其係大於約60奈米,且係可介於約60奈米至約80奈米的範圍之間。此比例D1/H1亦可介於約0.6至約0.8的範圍間。
依據此揭露的一些實施方法,當剩餘之閘極堆疊366和466的頂面係高於填充區域360和460的底部時,停止回蝕。依據此揭露的一些實施方法,當剩餘之閘極堆疊366和466的頂面與填充區域360和460的底部係實質上齊平(具有些微的操作界限)時,停止回蝕,使填充區域360和460不會崩塌,在回蝕之後填充區域360和460的頂面與層間介電質48的頂面實質上係在相同的水平。
第15圖係繪示介電材料68的沉積。此相應過程係繪示為如第28圖所示之製造流程500中的過程518。依據一些實施方法,填充區域360和460形成於諸如多晶矽或非晶矽之非介電材料,在沉積介電材料68之前,先於蝕刻製程中移除填充區域360和460。依據一些實施方法,填充區 域360和460形成於非介電材料,透過蝕刻可移除填充區域360和460,或是保留而不移除,且介電材料68沉積於填充區域360和460上。因此,可使用虛線繪示填充區域360和460以表示它們可被替換或不可被替換。介電材料68可形成於氮化矽、氧化矽或碳氮化矽等。此形成方法可包含電漿增強化學氣相沉積、化學氣相沉積、原子層沉積等,不論其形成於相同的或不同的材料,介電材料68和填充區域360和460可具有或不可具有可區分介面。此外,介電材料68可形成於與填充區域360和460之材料相同的介電材料,或與填充區域360和460之材料不同的一介電材料。
接著執行諸如化學機械性拋光過程或機械拋光過程的平坦化過程以移除介電材料68的多餘部分,得到如第16圖所示的硬遮罩170、270、370和470。此相應過程係繪示為如第28圖所示之製造流程500中的過程520。依據此揭露的一些實施方法,硬遮罩170和270形成於均質材料。在所繪示的剖視圖中,硬遮罩370和470分別可包含或不可包含剩餘部分360和460。硬遮罩370和470亦分別包含介電材料68的剩餘介電質部分368和468。依據此揭露的一些實施方法,部分360和460的底部向下突出至低於介電質部368和468底面的水平。此外,金屬層358和458形成盆器(basin),其中部分360和460延伸至盆器中。
第17圖係繪示接觸插頭172、272、372和472的形成。此相應過程係繪示為如第28圖所示之製造流程500中的過程522。此形成過程包含蝕刻硬遮罩170、270、370 和470以形成接觸開口,用諸如金屬之導電材料填充此開口以及執行平坦化過程。亦形成源極/汲極接觸插頭176、276、376和476以分別連接至源極/汲極區域142、242、342和442。
閘極接觸插頭172、272、372和472以及源極/汲極接觸插頭174、274、374和474可形成於諸如鎢、鈷或鋁等的金屬。各個接觸插頭可包含阻障層,此阻障層形成於例如氮化鈦、氮化組或鈦等,且金屬位於此阻障層之上。矽化物區176、276、376和476以及極/汲極接觸插頭174、274、374和474的形成可包含蝕刻層間介電質48和接觸蝕刻停止層46以形成接觸開口,沉積諸如鈦或鈷的保形金屬層,形成諸如氮化鈦的金屬氮化物層,以及執行退火以形成矽化物區176、276、376和476。用金屬以及位於此金屬下之可能的另一金屬氮化物層來填充剩餘的接觸開口。因此,形成短通道鰭片場效電晶體181和281、中通道鰭片場效電晶體381以及長通道鰭片場效電晶體481。
閘極接觸插頭372包含高於替換閘電極364之頂面部分372B,以及向下突出至形成盆器的閘電極364中的突出部分372A。形成於閘電極364之頂部的環完全地環繞著突出部分372A。閘極接觸插頭472包含高於替換閘電極464之頂面的部分472B,以及向下突出至形成於閘電極464之盆器中的突出部分472A。形成於閘電極464之頂部的環完全地環繞著突出部分472A。
第17圖亦繪示層間介電質78和接觸插頭80,層間介電質78可形成於選自與層間介電質48相同之候選材料群組的材料,層間介電質78亦可形成於與硬遮罩170、270、370和470不同的材料。接觸插頭80形成於層間介電質78中以連接至底層的閘極接觸插頭和源極/汲極接觸插頭。
如第17圖所示,突出部分372A具有深度D2和寬度W2。依據本揭露的一些實施方法,深度D2係介於約10奈米至約20奈米之間的範圍。寬度W2係介於約30奈米至約40奈米之間的範圍。深寬比D2/W2係可介於約0.25至約0.67之間的範圍。突出部分472A具有深度D3和寬度W3。依據本揭露的一些實施方法,深度D3係介於約10奈米至約20奈米之間的範圍。寬度W3係介於約240奈米至約245奈米的之間範圍。深寬比D3/W3係可介於約0.04至約0.08之間的範圍。深寬比D2/W2係大於深寬比D3/W3。此外,比例W3/W2係大於約1.0,且係可大於約6。根據本揭露的一些實施方法,比例W3/W2係介於約6至約8.2之間的範圍內。
第26圖係繪示鰭片場效電晶體381或481的剖視圖,其中係繪示第17圖中所示之相應的特徵。可從第17圖中之平面穿越線26A-26A或線26B-26B得到此剖視圖,亦出示接觸插頭372或472(表示為372/472)。接觸插頭372/472可形成於鰭片324’/424’的頂部上,或是形成於用虛線標記的位置處。
依據本揭露的一些實施方法,第27圖係繪示鰭片場效電晶體381或481的俯視圖。由此觀察到硬遮罩370和470的硬遮罩部分368/468環繞相應的硬遮罩部分360和460。接觸插頭372/472延伸至硬遮罩370和470中,其中硬遮罩部分360/460具有在接觸插頭372/472相對兩側上的部分。此外,突出部分372A/472A的邊緣以及相應剩餘的硬遮罩部分360/460的邊緣與數條直線對齊。
依據本揭露的一些實施方法,第18圖至第25圖係繪示短通道鰭片場效電晶體181和281、中通道鰭片場效電晶體381以及長通道鰭片場效電晶體481的形成。除非另有提即,否則此些實施方法中之部件其材料和形成方法實質上係與相同的部件相同,於第1圖至第17圖所繪示的實施方法中使用相同的附圖標記來表示。因此,可在第1圖至第17圖中所示之實施方法的討論中找到第18圖至第25圖中所示關於部件的形成過程和材料的細節。
依據一些實施方法之形成過程的初始步驟中,其實質上係與第1圖至第11圖中所示之相同。接著,如第18圖中所示,形成金屬層82以延伸至開口351和451中。使用保形沉積方法形成金屬層82,並可使用原子層沉積或化學氣相沉積等形成,金屬層82可形成於鎢或鈷等。依據本揭露的一些實施方法,此金屬層82的厚度可小於約10奈米,且可小於約5奈米。第19圖係繪示填充層60的形成,其填充開口351和451(第18圖)。
如第20圖所示,接著執行平坦化以移除層間介電質485的頂面之上的多餘部分材料,因此形成替換閘電極164、264、364和464。所述替換閘電極164、264、364和464分別係為替換閘極堆166、266、366和466的一部分。金屬層82具有保留在元件區100和200中的部分382和482。金屬層部分382和482形成閘電極364和464的部分。
第21圖係繪示閘極堆疊166和266的回蝕。依據本揭露的一些實施方法,圖案化光阻84形成於元件區300和400中,且在元件區100和200中的結構維持在未保護的。此蝕刻氣體可相似於參考第14圖所述及之。在閘極堆疊166和266的回蝕之後,移除光阻84,且形成光阻85以覆蓋元件區100和200,如第22圖所示。再來,回蝕刻閘極堆疊366和466。在本揭露的一些實施方法中,所述多種蝕刻氣體包含用以蝕刻高k介電層的氣體(例如三氯化硼)、用以蝕刻金屬層158、258、358和458的氣體(例如氯氣)以及用以蝕刻金屬層382和482的氣體(例如三氟化氮)。結果,閘極堆疊366和466係為凹陷的。應理解,金屬層382和482的各個頂面可高於、齊平或低於相應金屬層358和458的頂面。填充區域360和460維持不被移除。
第23圖係繪示介電材料68的形成。介電材料68的材料可從前述提及之材料來做選擇,且可相同或不同於填充區域360和460的材料。此外,填充區域360和460可用或不可用介電材料68來代替。
如第24圖所示,執行平坦化過程以移除介電材料68的多餘部分,留下硬遮罩170、270、370和470。硬遮罩370包含部分360和368,其可形成於相同或不同的材料,硬遮罩470包含部分460和468,其可形成於相同或不同的材料。無論部分360和368(以及部分460和468)是否形成於相同或不同的材料,當未替換部分460和468時,所述多個部分之間可存在可區分介面。
接著,如第25圖所示,形成接觸插頭172、272、372、472、174、274、374和474以及矽化物區176、276、376和476。因此,形成短通道鰭片場效電晶體181和281、中通道鰭片場效電晶體381以及長通道鰭片場效電晶體481。在第25圖中所示結構之剖視圖和俯視圖係分別繪示於第26圖和第27圖中,金屬層382和482以虛線表示,以表明其可存在或可不存在。第25圖亦繪示剩餘特徵的形成,包含層間介電質和接觸插頭80。
如第25圖所示,突出部分372A具有深度D2’和寬度W2’。依據本揭露的一些實施方法,深度D2’係介於約5奈米至約15奈米之間的範圍內。寬度W2’係介於約20奈米至約30奈米之間的範圍內。深寬比D2’/W2’係可介於約0.17至約0.75之間的範圍內。突出部分472A具有深度D3’和寬度W3’。依據本揭露的一些實施方法。深度D3’係介於約5奈米至約15奈米之間的範圍內。寬度W3’係介於約230奈米至約235奈米之間的範圍內。深寬比D3’/W3’係可介於約0.02至約0.07之間的範圍內。深寬比D3’/W3’係小於深 寬比D2’/W2’。此外,比例W3’/W2’係大於約1.0,且可大於約7.7。依據本揭露的一些實施方法,比例W3’/W2’係介於約7.7至約11.8之間的範圍內。
上述所繪示的示例性實施方法中,可通過任何合適的方法來圖案化所述多個鰭片。舉例來說,可使用一個或多個光刻製程(photolithography process)圖案化所述多個鰭片,包含雙圖案化或多圖案化製程。一般來說,雙蝕刻或多蝕刻製程結合光刻和自我對準製程(self-aligned process),允許創造具有例如比用單個直接光刻製程可得的間距更小間距的圖案。舉例來說,在一實施方法中,犧牲層形成於基板之上,且使用光刻製程來圖案化。間隔物與使用自我對準製程之圖案化的犧牲層一起形成。接著移除此犧牲層,且用剩餘的間隔物或心軸來圖案化所述多個鰭片。
本揭露的實施方法具有一些有利的特徵,在金屬閘極的回蝕之前透過填充區域來填充取代閘極。不論所述多個鰭片場效電晶體中通道長度的差異如何,受到蝕刻之所述多個取代閘極的部分的寬度變得更均勻。因此,減少了金屬閘極的回蝕中的圖案負載效應。
依據本揭露的一些實施方法,一種方法包含移除虛設閘極以保留介於閘極間隔物之間的溝槽,形成延伸至溝槽中的閘極介電質,沉積金屬層於閘極介電層之上,此金屬層包含延伸至溝槽中的一部分,沉積填充區域至溝槽中,金屬層在填充區域之相對兩側上具有第一和第二垂直部分,回蝕刻金屬層,填充區域至少凹陷少於金屬層,且金屬 層部分的剩餘部分形成閘電極,沉積介電材料至溝槽中,且執行平坦化以移除介電材料的多餘部分。在溝槽中之此介電材料的一部份形成介電硬遮罩的一部份於閘電極之上,在一實施方法中,此實施方法更包含移除第二虛設閘極以保留介於第二閘極間隔物之間的第二溝槽;以及形成第一閘極介電質,其延伸至第二溝槽中,其中金屬層包含完全地填充第二溝槽的第二部分。在一實施方法中,金屬層的第一垂直部分具有厚度,其實質上相同於在第二溝槽中之金屬層的第二部分的寬度的一半。在一實施方法中,填充區域形成於非介電材料,且此方法更包含,在沉積介電材料至第一溝槽中之前移除填充區域。在一實施方法中,填充區域包含多晶矽或非晶矽。在一實施方法中,沉積介電材料於填充區域上。在一實施方法中,填充區域形成於額外的介電材料。在一實施方法中,在回蝕刻金屬層後,閘電極具有盆器的形狀,且盆器包含底部;以及側壁部分,環繞填充區域之底部。在一實施方法中,金屬層包含功函數層(work-function layer)。在一實施方法中,金屬更包含位於功函數層之上的非功函數層。
依據此揭露的一些實施方法,一種方法包含形成閘極介電層延伸至溝槽中,其中溝槽係介於相對的閘極間隔物之間;沉積功函數層於閘極介電層之上;沉積填充區域於功函數層上,其中填充區域完全地填充溝槽;執行平坦化以移除功函數層和填充區域之多餘部分,以形成包含功函數層的金屬閘極;回蝕刻金屬閘極,其中填充區域在回蝕刻中實質上係為未被蝕刻的;移除填充區域;以及形成介電硬遮 罩,其位於溝槽中以及未被回蝕刻的金屬閘極之上。在一實施方法中,填充區域包含介電材料,填充區域包含多晶矽或非晶矽。在一實施方法中,當回蝕刻閘極金屬時,亦蝕刻閘極介電層的高k閘極介電層部分。在一實施方法中,使用氟基蝕刻氣體來回蝕刻金屬閘極。在一實施方法中,使用氟基蝕刻氣體來進行移除所述多個填充區域。
依據此揭露的一些實施方法,一元件包含半導體區;位於半導體區之上的閘極間隔物;位於半導體區上之閘極介電層;位於閘極介電層之上的閘電極,且其介於等閘極間隔物之間,其中閘電極包含形成盆器的金屬層;介電硬遮罩,其包含位於閘電極之上的第一部分,以及延伸至介電硬遮罩中的閘極接觸插頭,其中閘極接觸插頭包含;高於閘電極的頂面的第一部分;以及延伸至閘電極中的第二部分,其中閘電極包含第一垂直部份和第二垂直部份,第二垂直部份接觸閘極接觸插頭之第二部份的相對側壁。在一實施方法中,介電硬遮罩包含:第一介電材料;以及第二介電材料,位於第一介電材料之相對兩側上,其中第一介電材料和第二介電材料具有可區分介面,且其中在元件之俯視圖中,第一介電材料和閘極接觸插頭之第二部分對齊成一直線。在一實施方法中,第一介電材料和第二介電材料係為不同的材料。在一實施方法中,介電硬遮罩更包含延伸至盆器中之第二部分,其中介電硬遮罩的第二部份包含與閘電極之第一垂直部份和第二垂直部份接觸的相對側壁。
上文概述若干實施例或示例之特徵,使得熟習此項技術者可更好地理解本揭露之態樣。熟習此項技術者應瞭解,可輕易使用本揭露作為基礎來設計或修改其他製程及結構,以便實施本文所介紹之實施例的相同目的及/或實現相同優點,熟習此項技術者亦應認識到,此類等效結構並未脫離本揭露之精神及範疇。
10‧‧‧晶圓
20‧‧‧基板
22A‧‧‧頂面
46‧‧‧接觸蝕刻停止層
48、78‧‧‧層間介電質
80‧‧‧接觸插頭
100、200、300、400‧‧‧元件區
124’、224’、324’、424’‧‧‧半導體鰭片
138、238、338、438‧‧‧閘極間隔物
142、242、342、442‧‧‧源極/汲極區域
152、252、352、452‧‧‧閘極介電層
154、254、354、454‧‧‧內界面層
156、256、356、456‧‧‧高k介電層
158、258、358、458‧‧‧金屬層
164、264、364、464‧‧‧替換閘電極
166、266、366、466‧‧‧閘極堆疊
170、270‧‧‧硬遮罩
181、281‧‧‧短通道鰭片場效電晶體
372、472‧‧‧接觸插頭
372A、472A‧‧‧突出部分
372B、472B‧‧‧頂面部分
381‧‧‧中通道鰭片場效電晶體
382、482‧‧‧金屬層部分
481‧‧‧長通道鰭片場效電晶體

Claims (20)

  1. 一方法,包含:移除一第一虛設閘極以保留一第一溝槽,其介於數個第一閘極間隔物之間;形成一第一閘極介電層,其延伸至該第一溝槽中;沉積一金屬層於該第一閘極介電層之上,其中該金屬層包含延伸至該第一溝槽中之一第一部份;沉積一填充區域至該第一溝槽中,其中該金屬層在該填充區域之相對兩側上具有一第一垂直部份和一第二垂直部份;回蝕刻該金屬層,其中該填充區域至少比該金屬層係為較少凹陷,且其中該金屬層之該第一部分的數個剩餘部份形成一閘電極;沉積一介電材料至該第一溝槽中;以及執行一平坦化以移除該第一溝槽外部之該介電材料的數個多餘部分,其中該第一溝槽中之一部分的該介電材料形成至少一部分的一介電硬遮罩於該閘電極之上。
  2. 如請求項1所述之該方法,更包含:移除一第二虛設閘極以保留介於數個第一閘極間隔物之間的一第二溝槽;形成一第一閘極介電層,其延伸至該第二溝槽中,其中該金屬層包含完全地填充該第二溝槽的一第二部份。
  3. 如請求項2所述之該方法,其中該金屬層之 該第一垂直部份具有一厚度,其實質上等同於第二溝槽中之該金屬層的該第二部份的一寬度的一半。
  4. 如請求項1所述之該方法,其中該填充區域形成於一非介電材料,且該方法更包含,在沉積該介電材料於該第一溝槽中之前移除該填充區域。
  5. 如請求項4所述之該方法,其中該填充區域包含非晶矽或多晶矽。
  6. 如請求項1所述之該方法,其中該介電材料沉積於該填充區域上。
  7. 如請求項1所述之該方法,其中該等填充區域形成於一額外的介電材料。
  8. 如請求項1所述之該方法,其中在該回蝕刻該金屬層後,該閘電極具有一盆器的一形狀,且該盆器包含:一底部,以及數個側壁部分,環繞該填充區域之一底部。
  9. 如請求項1所述之該方法,其中該金屬層包含一功函數層。
  10. 如請求項9所述之該方法,其中該金屬更包含位於該功函數層之上的一非功函數層。
  11. 一方法,包含:形成一閘極介電層,其延伸至一溝槽中,其中該溝槽係介於相對的數個閘極間隔物之間;沉積一功函數層於該閘極介電層之上;沉積一填充區域於該功函數層上,其中該填充區域完全地填充該溝槽;執行一平坦化以移除該功函數層和該填充區域之數個多餘部分,以形成包含該功函數層的一金屬閘極;回蝕刻該金屬閘極,其中該填充區域在該回蝕刻中實質上係為未被蝕刻的;移除該填充區域;以及形成一介電硬遮罩,其位於該溝槽中以及未被回蝕刻的該金屬閘極之上。
  12. 如請求項11所述之該方法,其中該填充區域包含一介電材料。
  13. 如請求項11所述之該方法,其中該填充區域包含多晶矽或非晶矽。
  14. 如請求項11所述之該方法,其中當回蝕刻該閘極金屬時,亦蝕刻該閘極介電層的一高k閘極介電層部 分。
  15. 如請求項11所述之該方法,其中使用一氯基蝕刻氣體執行該閘極金屬的該回蝕刻。
  16. 如請求項11所述之該方法,其中使用一氟基蝕刻氣體執行該填充區域的該移除。
  17. 一元件,包含:一半導體區;數個閘極間隔物,位於該半導體區之上;一閘極介電層,位於該半導體區上;一閘電極,位於該閘極介電層之上且介於該等閘極間隔物之間,其中該閘電極包含形成一盆器的一金屬層;一介電硬遮罩,包含位於該閘電極之上的一第一部分,以及一閘極接觸插頭,延伸至該介電硬遮罩中,其中該閘極接觸插頭包含;一第一部分,高於該閘電極的數個頂面;以及一第二部分,延伸至該閘電極中,其中該閘電極包含一第一垂直部份和一第二垂直部份,該第二垂直部份接觸該閘極接觸插頭之該第二部份的數個相對側壁。
  18. 如請求項17所述之該元件,其中該介電硬遮罩,包含: 一第一介電材料;以及一第二介電材料,位於該第一介電材料之數個相對兩側上,其中該第一介電材料和該第二介電材料具有一可區分介面,且其中在該元件之一俯視圖中,該第一介電材料和該閘極接觸插頭之該第二部分係對齊成一直線。
  19. 如請求項17所述之該元件,其中在該閘極接觸插頭之該第二部份的一剖視圖中,該第二部份具有一深寬比,其介於約0.25至約0.67之間的一範圍內。
  20. 如請求項17所述之該元件,其中該介電硬遮罩更包含延伸至該盆器中之一第二部分,其中該介電硬遮罩的該第二部份包含與該閘電極之該第一垂直部份和該第二垂直部份接觸的數個相對側壁。
TW108108960A 2018-07-16 2019-03-15 半導體元件及其製程方法 TWI741269B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/035,844 US10998421B2 (en) 2018-07-16 2018-07-16 Reducing pattern loading in the etch-back of metal gate
US16/035,844 2018-07-16

Publications (2)

Publication Number Publication Date
TW202006827A true TW202006827A (zh) 2020-02-01
TWI741269B TWI741269B (zh) 2021-10-01

Family

ID=69139258

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108108960A TWI741269B (zh) 2018-07-16 2019-03-15 半導體元件及其製程方法

Country Status (5)

Country Link
US (3) US10998421B2 (zh)
KR (2) KR102269806B1 (zh)
CN (1) CN110729191B (zh)
DE (1) DE102019117150A1 (zh)
TW (1) TWI741269B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113809083A (zh) * 2020-06-11 2021-12-17 联华电子股份有限公司 静态随机存取存储器及其制作方法
US11862453B2 (en) * 2020-08-27 2024-01-02 Marvell Asia Pte, Ltd. Gate stack for metal gate transistor
US11942371B2 (en) 2020-09-29 2024-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Etch profile control of via opening
CN115332061B (zh) * 2022-10-13 2022-12-16 合肥晶合集成电路股份有限公司 栅极结构的制作方法

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6358800B1 (en) * 2000-09-18 2002-03-19 Vanguard International Semiconductor Corporation Method of forming a MOSFET with a recessed-gate having a channel length beyond photolithography limit
JP2002110932A (ja) * 2000-09-28 2002-04-12 Toshiba Corp 半導体装置及びその製造方法
US20070141798A1 (en) * 2005-12-20 2007-06-21 Intel Corporation Silicide layers in contacts for high-k/metal gate transistors
JP2007220701A (ja) 2006-02-14 2007-08-30 Elpida Memory Inc 半導体装置の製造方法、半導体記憶装置の製造方法
KR101634748B1 (ko) 2009-12-08 2016-07-11 삼성전자주식회사 트랜지스터의 제조방법 및 그를 이용한 집적 회로의 형성방법
US9142665B2 (en) * 2010-12-10 2015-09-22 Infineon Technologies Austria Ag Semiconductor component with a semiconductor via
US8791017B2 (en) * 2011-10-26 2014-07-29 Globalfoundries Inc. Methods of forming conductive structures using a spacer erosion technique
US9048260B2 (en) * 2011-12-31 2015-06-02 Intel Corporation Method of forming a semiconductor device with tall fins and using hard mask etch stops
US8507979B1 (en) 2012-07-31 2013-08-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor integrated circuit with metal gate
KR101976846B1 (ko) * 2012-08-16 2019-05-09 에스케이하이닉스 주식회사 반도체 메모리 소자 및 이의 제조방법
US8673731B2 (en) * 2012-08-20 2014-03-18 International Business Machines Corporation Techniques for gate workfunction engineering to reduce short channel effects in planar CMOS devices
US9023674B2 (en) * 2013-09-20 2015-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Biosensing well array with protective layer
US9425103B2 (en) 2014-12-04 2016-08-23 Globalfoundries Inc. Methods of using a metal protection layer to form replacement gate structures for semiconductor devices
US10861701B2 (en) * 2015-06-29 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9613959B2 (en) * 2015-07-28 2017-04-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming metal gate to mitigate antenna defect
US9570580B1 (en) * 2015-10-30 2017-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Replacement gate process for FinFET
KR20170079174A (ko) 2015-12-30 2017-07-10 삼성전자주식회사 반도체 소자 및 그 제조방법
US10115796B2 (en) * 2016-01-07 2018-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method of pulling-back sidewall metal layer
CN107452787B (zh) 2016-05-31 2020-05-12 无锡华润上华科技有限公司 沟槽栅极引出结构及其制造方法
US9991205B2 (en) 2016-08-03 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10164111B2 (en) 2016-08-03 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and methods of manufacture
US10043886B2 (en) * 2016-08-03 2018-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate formation through etch back process
US9852947B1 (en) 2016-09-21 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Forming sidewall spacers using isotropic etch
TWI742137B (zh) 2016-12-15 2021-10-11 台灣積體電路製造股份有限公司 半導體裝置的製造方法
US10269646B2 (en) 2016-12-15 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10879370B2 (en) * 2016-12-15 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Etching back and selective deposition of metal gate
US10121675B2 (en) 2016-12-29 2018-11-06 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor device and a method for fabricating the same
US10516030B2 (en) * 2017-01-09 2019-12-24 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs and methods forming same

Also Published As

Publication number Publication date
KR20200008532A (ko) 2020-01-28
CN110729191A (zh) 2020-01-24
US20200020785A1 (en) 2020-01-16
DE102019117150A1 (de) 2020-01-16
US10998421B2 (en) 2021-05-04
US11626506B2 (en) 2023-04-11
TWI741269B (zh) 2021-10-01
CN110729191B (zh) 2023-08-29
KR102269806B1 (ko) 2021-06-29
KR102386539B1 (ko) 2022-04-14
US20210257478A1 (en) 2021-08-19
US20230246089A1 (en) 2023-08-03
KR20210081314A (ko) 2021-07-01

Similar Documents

Publication Publication Date Title
CN110416157B (zh) 晶体管中的空气间隔件及其形成方法
KR102010133B1 (ko) 저저항 컨택트 플러그 및 그 형성 방법
TWI668744B (zh) 半導體裝置及其形成方法
TWI671903B (zh) 半導體裝置結構及其製造方法
TWI741269B (zh) 半導體元件及其製程方法
TWI657489B (zh) 鰭結構及其製造方法
US10867842B2 (en) Method for shrinking openings in forming integrated circuits
KR20200014182A (ko) 에피택시 영역들의 체적 감소
US20230378182A1 (en) Extended Side Contacts for Transistors and Methods Forming Same
TW202131389A (zh) 半導體結構及其形成方法
US11404323B2 (en) Formation of hybrid isolation regions through recess and re-deposition
TWI767293B (zh) 半導體元件及其形成方法
TWI792499B (zh) 半導體結構及其形成方法
US20230154992A1 (en) Isolation Layers for Reducing Leakages Between Contacts
TWI830190B (zh) 具有減少的摻質損失及增加的尺寸之接觸件結構及其形成方法
TW202209452A (zh) 半導體元件及其形成方法