TW201924068A - 具有接觸增強層之fdsoi半導體裝置及製造方法 - Google Patents

具有接觸增強層之fdsoi半導體裝置及製造方法 Download PDF

Info

Publication number
TW201924068A
TW201924068A TW107140220A TW107140220A TW201924068A TW 201924068 A TW201924068 A TW 201924068A TW 107140220 A TW107140220 A TW 107140220A TW 107140220 A TW107140220 A TW 107140220A TW 201924068 A TW201924068 A TW 201924068A
Authority
TW
Taiwan
Prior art keywords
layer
contact
region
interlayer dielectric
dielectric stack
Prior art date
Application number
TW107140220A
Other languages
English (en)
Other versions
TWI708392B (zh
Inventor
彼特 巴爾斯
瑞克 卡特
夫拉特 察哈恩
喬治 喬納森 克拉斯
恩拉 密特爾
大衛 理查
馬布 拉漢德
Original Assignee
美商格芯(美國)集成電路科技有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商格芯(美國)集成電路科技有限公司 filed Critical 美商格芯(美國)集成電路科技有限公司
Publication of TW201924068A publication Critical patent/TW201924068A/zh
Application granted granted Critical
Publication of TWI708392B publication Critical patent/TWI708392B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5286Arrangements of power or ground buses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1207Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with devices in contact with the semiconductor body, i.e. bulk/SOI hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • H01L29/41783Raised source or drain electrodes self aligned with the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • H01L29/4933Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement with a silicide layer contacting the silicon layer, e.g. Polycide gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • H01L29/4941Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement with a barrier layer between the silicon and the metal or metal silicide upper layer, e.g. Silicide/TiN/Polysilicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Geometry (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Semiconductor Memories (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

一種形成接觸的方法,包括:形成由淺溝槽絕緣體區隔開的多個 電晶體裝置,各個電晶體裝置包括半導體基板、位於半導體塊體基板上的埋置絕緣體層、位於埋置絕緣體層上的半導體層、位於半導體層上的高k金屬閘極堆疊以及位於高k金屬閘極堆疊上方的閘極電極、位於半導體層上的抬升式源/汲區、以及位於抬升式源/漏區及閘極電極上方的矽化物接觸層;在矽化物接觸層上設置層間介電堆疊並平坦化層間介電堆疊;圖案化穿過層間介電堆疊至抬升式源/汲區上的多個接觸;以及對於接觸的至少其中一些,圖案化在接觸上方的橫向延伸接觸區,橫向延伸接觸區延伸於鄰近相應抬升式源/汲區的淺溝槽絕緣體區上方。

Description

具有接觸增強層之FDSOI半導體裝置及製造方法
本案通常關於在積體電路及半導體裝置製造期間形成接觸,尤其關於在半導體製造的中間工藝方案過程中(也就是說,在電晶體裝置的前端工藝製程以後且在加工多個金屬互連層之前)形成接觸。
製造例如CPU(中央處理單元)、儲存裝置、專用積體電路(ASIC;application specific integrated circuit)等先進積體電路需要依據特定的電路布局在給定的芯片面積上形成大量電路元件。在多種電子電路中,場效應電晶體代表一種重要類型的電路元件,其基本確定該積體電路的性能。一般來說,目前實施多種製程技術來形成場效應電晶體(field effect transistor;FET),其中,對於許多類型的複雜電路,金屬-氧化物-半導體(metal-oxide-semiconductor;MOS)技術因在操作速度和/或功耗和/或成本效率方面的優越特性而成為目前最有前景的方法之一。在使用例如MOS技術製造複雜積體電路期間,在包括結晶半導體層的基板(substrate)上形成數百萬個電晶體,例如N通道電晶體及/或P通道電晶體。微型化及 電路密度的增加代表持續不斷的需求。
場效應電晶體,無論是N通道電晶體還是P通道電晶體,通常包括PN結,它們藉由被稱作汲源區的高摻雜區與設於該高摻雜區之間的弱摻雜或未摻雜區例如通道區的界面(interface)形成。在場效應電晶體中,通道區的電導率(也就是,導電通道的驅動電流能力)由鄰近該通道區形成並藉由薄的絕緣層與該通道區隔開的閘極電極控制。在閘極電極上施加適當的控制電壓從而形成導電通道以後,通道區的電導率依賴於摻雜物濃度、電荷載流子的遷移率,以及針對沿電晶體寬度方向的通道區的給定延伸而依賴於源汲區之間的距離(也被稱為通道長度)以及其它等。因此,結合在向閘極電極施加控制電壓後在絕緣層下方快速形成導電通道的能力,通道區的電導率大幅影響MOS電晶體的性能。因此,由於形成通道的速度(其依賴於閘極電極的電導率)及通道電阻率基本確定電晶體特性,因此通道長度的微縮是實現積體電路的操作速度增加的主導設計標準。隨著通道長度縮小,閘極介電質的厚度也被減小。閘極介電質的微縮受數個因素限制,例如缺陷、功率供應電壓、時間相關介電擊穿以及漏電流。
尤其,對於22奈米的閘極長度設計的全空乏絕緣體上矽(fully depleted silicon-on-insulator;FDSOI)技術及對於14奈米的閘極長度設計的下一代FDSOI技術以及期望對於更小的製程步驟節點,接觸源汲區存在問題,因為源汲區的接觸區趨向於變得越來越小。在FDSOI技術中,在氧化物層上方的極薄的外延半導體層上形成電晶體,從而需要源汲區抬升於該薄半導體層上方。至該抬升式源汲區的過孔(via)接觸需要仔細對準,並應當比該相應抬升式源/汲區的尺寸更小。有效禁止橫跨從抬升式 源/汲區至淺溝槽絕緣體(shallow trench insulator;STI)區的邊界的接觸區,因為若接觸疊蓋STI邊界,則用於形成接觸過孔的蝕刻製程很可能在該淺溝槽絕緣體區中形成凹坑。凹坑是指以下現象:場氧化物區的頂部角落可能藉由蝕刻被移除,從而留下空洞或凹坑,易導致高場洩漏。也就是說,該凹坑通常鄰近抬升式源/汲區並於附近存在任意高場接觸區時很可能形成穿通缺陷。
鑒於上述情形,本案提供一種替代方法。本案針對使用抬升式源/汲外延製程以藉由外擴散形成源汲區的FDSOI電晶體。
下面提供本案的簡要總結,以提供本發明的一些方面的基本理解。本發明內容並非詳盡概述本發明。其並非意圖識別本發明的關鍵或重要元件或劃定本發明的範圍。其唯一目的在於提供一些簡化形式的概念,作為後面所討論的更詳細說明的前序。
一般來說,本文中所揭示的發明主題涉及半導體裝置以及製造半導體裝置的方法,其中,基於全空乏絕緣體上矽(FDSOI)技術針對N通道電晶體及P通道電晶體可獲得增強的電晶體性能。
本案提供一種在半導體製造的中間工藝方案過程中形成接觸的方法,該方法包括:形成由淺溝槽絕緣體區隔開的多個電晶體裝置,各該電晶體裝置包括基板、位於該基板上的埋置絕緣體(例如,氧化物)層、位於該埋置氧化物層上的半導體層、位於該半導體層上的高k金屬閘極堆疊、位於該高k金屬閘極堆疊上方的閘極電極、分別與該閘極電極橫向隔 開的位於該半導體層上的抬升式源汲區、以及位於該抬升式源/汲區上方的矽化物源/汲接觸層;在該矽化物源/汲接觸層上形成層間介電堆疊並平坦化該層間介電堆疊;穿過該層間介電堆疊形成接觸該矽化物源/汲接觸層的接觸開口;以及對於該接觸開口的至少其中一些,形成上橫向延伸區,其中,該接觸開口的該上橫向延伸區延伸於鄰近該相應抬升式源/汲區的淺溝槽絕緣體區上方。
另外,本案提供一種半導體裝置,該半導體裝置包括由淺溝槽絕緣體區隔開的多個電晶體裝置,各該電晶體裝置包括基板、位於該基板上的埋置絕緣體(例如,氧化物)層、位於該埋置氧化物層上的半導體層、位於該半導體層上的高k金屬閘極堆疊以及位於該高k金屬閘極堆疊上方的閘極電極、位於該半導體層上並分別與該閘極電極橫向隔開的抬升式源汲區、以及位於該抬升式源汲區上方的矽化物源/汲接觸層;層間介電堆疊,設於該矽化物源/汲接觸層上;以及導電過孔,位於該層間介電堆疊中,接觸該矽化物源/汲接觸層,其中,該導電過孔的至少其中一個包括延伸於鄰近該相應抬升式源/汲區的淺溝槽絕緣體區上方的上橫向延伸區。
在另一個示例實施例中,本案提供一種方法,該方法包括在共同製程序列中形成位於鄰近半導體裝置的主動區的溝槽隔離區上方的功率軌脊以及與電晶體裝置的汲區及源區的其中之一連接的接觸的至少其中部分。而且,該方法包括形成延伸於該溝槽隔離區的部分上方的橫向接觸延伸區,以將該接觸與該功率軌脊連接。
100‧‧‧半導體裝置
102‧‧‧蝕刻掩膜
108‧‧‧基板
110‧‧‧隔離區
112‧‧‧主動區
144‧‧‧埋置絕緣層
153‧‧‧金屬半導體化合物、材料
157‧‧‧汲區、抬升式汲源區、汲源區
160、162、166‧‧‧介電材料
164‧‧‧蝕刻停止層
170‧‧‧功率軌
174A‧‧‧接觸元件的第一部分、接觸部分
170W‧‧‧寬度
172‧‧‧過孔
174J‧‧‧橫向接觸延伸區
175‧‧‧功率軌脊
175W‧‧‧寬度
180‧‧‧閘極電極結構
181‧‧‧距離、間距
190‧‧‧電晶體元件
208‧‧‧半導體塊體基板
210‧‧‧淺溝槽絕緣體區
212‧‧‧半導體層、層
244‧‧‧埋置絕緣體層、埋置氧化物層、BOX
253‧‧‧含金屬接觸層、層、接觸層、NiSi材料、矽化接觸層
254‧‧‧薄氧化物襯裏層
255‧‧‧高k金屬閘極堆疊
257‧‧‧抬升式源/汲區
257G‧‧‧閘極電極
259‧‧‧間隙壁、隔離體
260‧‧‧氮化矽層、層
262‧‧‧第二層間介電材料、介電材料
264‧‧‧停止層
266‧‧‧第二層間介電氧化物、介電氧化物
268‧‧‧層、SOH層
269‧‧‧SOH材料層
270‧‧‧薄氧化物層
272‧‧‧掩膜層、氮化矽層
274‧‧‧導電材料、接觸過孔
274C‧‧‧局部互連
274J‧‧‧導電材料、“飛越”區
276‧‧‧介電材料、材料、SiOCH材料
368‧‧‧序列層、SOH材料、SOH材料層
370‧‧‧氧化物層、序列層
372‧‧‧掩膜層、序列層
468‧‧‧SOH材料
470‧‧‧薄氧化物層
472‧‧‧掩膜層
V0‧‧‧銅過孔
M1‧‧‧第一金屬化層級
參照下面結合附圖所作的說明可理解本案,所述附圖中類似的附圖標記表示類似的元件,且其中:第1A圖至第11A圖及第12圖以及第1B圖至第11B圖及第12圖分別示意顯示用於在半導體製造的中間工藝方案過程中形成接觸的流程步驟的頂視圖及剖視圖。尤其:第1A圖及第1B圖顯示用於在前端工藝CMOS圖案化以後製造半導體裝置的流程步驟的不同視圖;第2A圖及第2B圖顯示設置蝕刻停止層的該流程的另一個步驟;第3A圖及第3B圖顯示構建用於中間工藝製程的第一光刻及蝕刻序列的初始序列層的該流程的另一個步驟;第4A圖及第4B圖顯示包括開始形成接觸閘極電極的過孔的該流程的另一個步驟;第5A圖及第5B圖顯示形成接觸閘極電極的該過孔完成的該流程的另一個步驟;第6A圖及第6B圖顯示在填充第5A圖及第5B圖的過孔、構建用於形成接觸源汲接觸的過孔的中間工藝製程的第二光刻及蝕刻序列的初始序列層以後的該流程的另一個步驟;第7A圖及第7B圖顯示形成接觸源汲接觸的過孔完成的該流程的另一個步驟;第8A圖及第8B圖顯示在填充接觸源汲接觸的過孔,包括構建用於中間工藝製程的第三光刻及蝕刻序列的初始序列層以後的該流程 的另一個步驟;第9A圖及第9B圖顯示包括在介電材料中圖案化疊蓋過孔的溝槽的該流程的另一個步驟;第10A圖及第10B圖顯示用導電材料填充過孔的該流程的另一個步驟;第11A圖及第11B圖顯示將銅線與過孔的溝槽區連接;第12圖顯示在兩個抬升式源汲區之間的局部互連的另一個例子;第13圖及第14圖示意顯示依據另外的示例實施例的半導體裝置的頂視圖;以及第15圖至第18圖示意顯示處於各種製造階段中的該半導體裝置的剖視圖,其中,該剖視是沿第13圖的線D-D所作。
儘管本文中所揭示的發明主題容許各種修改及替代形式,但本發明主題的特定實施例以示例方式顯示於附圖中並在本文中作詳細說明。不過,應當理解,本文中有關特定實施例的說明並非意圖將本發明限於所揭示的特定形式,相反,意圖涵蓋落入由所附申請專利範圍定義的本發明的精神及範圍內的所有修改、均同及替代。
在下面的說明中,出於解釋目的,闡述許多具體細節來提供有關示例實施例的充分理解。不過,應當很清楚,可在不具有這些具體細節或者具有等同布置的情况下實施所述示例實施例。在其它情况下,以方 塊圖形式顯示已知的結構及裝置,以避免不必要地模糊示例實施例。此外,除非另外指出,否則說明書及申請專利範圍中所使用的表示組分、反應條件等的量、比例及數值屬性的所有數字將被理解為藉由術語“大約”在所有情况下被修飾。
下面說明本發明的各種示例實施例。出於清楚目的,不是實際實施中的全部特徵都在本說明書中進行說明。當然,應當瞭解,在任意此類實際實施例的開發中,必須作大量的特定實施決定以實現開發者的特定目標,例如符合與系統相關及與商業相關的約束條件,所述決定將因不同實施而異。而且,應當瞭解,此類開發努力可能複雜而耗時,但其仍然是本領域的普通技術人員借助本案所執行的常規程序。
下面的實施例經充分詳細說明以使本領域的技術人員能够使用本發明。應當理解,基於本案,其它實施例將顯而易見,並可作系統、結構、製程或機械的改變而不背離本案的範圍。在下面的說明中,給出大量的特定細節以提供有關本案的充分理解。不過,顯而易見,本案的實施例可在不具有所述特定細節的情况下實施。為避免模糊本案,不詳細揭示一些已知的電路、系統配置、結構配置以及製程步驟。
現在將參照附圖來說明本案。附圖中示意各種結構、系統及裝置僅是出於解釋目的以及避免使本案與本領域技術人員熟知的細節混淆,但仍包括所述附圖以說明並解釋本案的示例。本文中所使用的詞語和詞組的意思應當被理解並解釋為與相關領域技術人員對這些詞語及詞組的理解一致。本文中的術語或詞組的連貫使用並不意圖暗含特別的定義,亦即與本領域技術人員所理解的通常或慣用意思不同的定義。若術語或詞組 意圖具有特定意思,亦即不同於本領域技術人員所理解的意思,則此類特別定義會以直接明確地提供該術語或詞組的特定定義的定義方式明確表示於說明書中。
如本文中所使用的那樣,當提到場效應電晶體(FET)裝置的結構時,出於方便目的可使用空間參考“頂部”、“底部”、“上方”、“下方”、“垂直”、“水平”等。這些參考意圖以僅與附圖一致的方式使用,以用於教導目的,並非意圖作為FET結構的絕對參考。例如,FET可以不同於附圖中所示方位的任意方式空間取向。當提到附圖時,“垂直”用以指與半導體層表面垂直的方向,而“水平”用以指與半導體層表面平行的方向。“上方”用以指離開半導體層的垂直方向。位於另一個元件“上方”(“下方”)的元件與該另一個元件相比更遠離(更靠近)半導體層表面。
在完整閱讀本案以後,本領域的技術人員很容易瞭解,本方法基本上可應用於各種技術,例如NMOS、PMOS、CMOS等,並很容易應用於各種裝置,包括但不限於邏輯裝置、存儲器裝置、SRAM裝置等。本文中所述的技術及工藝可用以製造MOS積體電路裝置,包括NMOS積體電路裝置、PMOS積體電路裝置以及CMOS積體電路裝置。尤其,本文中所述的製程步驟結合形成積體電路的閘極結構(包括平面及非平面積體電路)的任意半導體裝置製程使用。儘管術語“MOS”通常是指具有金屬閘極電極及氧化物閘極絕緣體的裝置,但該術語在全文中用以指包括位於半導體基板上方的閘極絕緣體(無論是氧化物還是其它絕緣體)上方的導電閘極電極(無論是金屬還是其它導電材料)的任意半導體裝置。
通常,針對低壓應用例如晶片裝置上的處理器及系統開發金 屬閘極CMOS電晶體。但這些裝置與外部世界連接並需要支持較高偏置電壓的輸入/輸出電晶體。核心邏輯或標準(單)閘極(SG)邏輯裝置可通常具有約1.0V的IO電壓。標準閘極(SG)裝置氧化物通常可具有約16至24Å(1.6-2.4nm)的厚度值Tox(氧化物的厚度)。用於較高IO電壓例如2.5V的裝置(被稱為ZG裝置)具有較高的閘極氧化物厚度值Tox,通常具有約35至65Å(3.5-6.5nm)的厚度值。
尤其,出於實際原因,依據本案的流程可包括一次形成不止一個半導體裝置。
下面,第1A圖至第11A圖顯示形成半導體裝置的流程的各種步驟的頂視圖。第1B圖至第11B圖分別顯示沿相應第1A圖至第11B圖中所示的切割線A-A、B-B、C-C的三個剖視圖。應當理解,第1A圖至第11A圖以及第1B圖至第11B圖並非按比例繪製。
第1A圖顯示用於製造半導體裝置的流程步驟。第1A圖揭示在前端工藝圖案化接近結束時製造半導體裝置的狀態的頂視圖。應當結合第1B圖觀看第1A圖的細節。第1B圖揭示包括半導體塊體基板208的絕緣體上矽基板。在半導體塊體基板208上設置埋置絕緣體(例如,氧化物)層(BOX)244。第1B圖還揭示位於埋置氧化物層244上的半導體層212。半導體層212通常可為全空乏半導體層(FDSOI)。位於BOX 244的頂部上的層212也可被稱為SOI或簡單地稱為通道區。通常,層212為薄層,以提供薄的半導體通道,例如結晶Si通道,例如矽/鍺通道等。為此,在示例實施例中,半導體層212經適當設置以提供全空乏通道區(未顯示),從而針對半導體層212的給定材料組成在摻雜及層厚度方面需要特定的約 束。尤其,半導體層212可具有15奈米或更小的厚度。第1B圖還顯示位於半導體層212上的高k金屬閘極堆疊255以及位於高k金屬閘極堆疊255的頂部上的閘極電極257G。該高k金屬閘極堆疊可包括或由氧化鉿以及後續位於該高k材料例如氧化鉿的頂部上的含金屬層如氮化鈦(TiN)層(未顯示)組成。術語高k或高k介電質是指與二氧化矽(具有k~3.9)或氮氧化矽(具有k<6)相比具有高介電常數k的材料。例如,HfO2具有約25的介電常數。還揭示位於半導體層212的頂部上的抬升式源/汲區257。因此,分別在閘極電極257G的左側及右側設置抬升式源/汲區257。該抬升式源/汲區經外延設置。閘極電極/閘極導體257G可由多晶或非晶矽組成。第1B圖還揭示與閘極電極257G相鄰的間隙壁或隔離體259。該間隙壁將抬升式源/汲區257與閘極電極257G隔開。第1B圖還揭示分別位於閘極電極257G上及抬升式源汲區257上的含金屬接觸層253,例如矽化層。接觸層253可包括鎳、鉑、鈷等,例如,層253可包括矽化鎳(NiSi)。
在第1A圖的頂視圖中,基本上,被矽化接觸層253覆蓋的區域257及257G是可見的。此外,將區域257與257G隔開的間隙壁259是可見的。此外,淺溝槽絕緣體區210是可見的。
第1A圖及第1B圖可被視為顯示基本上在前端工藝方案結束時的流程的階段。換句話說,如第1A圖及第1B圖中所示的前端工藝CMOS圖案化可被視為完成至矽化接觸層253,也就是NiSi模塊。
第2A圖及第2B圖顯示該流程的另外步驟。在第2A圖及第2B圖中,第1A圖及第1B圖的結構被介電材料層例如氮化矽層260覆蓋。層260可被沉積於第1A圖及第1B圖的結構上並可因此充當蝕刻停止 材料,可能向半導體層212中額外轉移應變。層260可藉由等離子體增強型化學氣相沉積(chemical vapor deposition;CVD)製程形成。因此,在一個示例實施例中,層260包括或由氮化矽(Si3N4)組成。在後續蝕刻製程中,層260可充當蝕刻停止層。
第3A圖及第3B圖顯示該流程的另外步驟。第3B圖顯示位於氮化物層260上的層間介電堆疊。該層間介電堆疊包括位於氮化物層260上的第一層間介電材料262。介電材料262可為氧化矽層且可被稱為介電氧化物。介電氧化物262沉積於氮化物層260上。另外,由於形貌,利用典型的平坦化製程例如化學機械拋光(chemical mechanical polishing;CMP)製程平坦化介電氧化物262。另外,在介電材料262上形成停止層264。在停止層264上形成第二層間介電材料(也被稱為介電氧化物266)。因此,停止層264被夾置於第一層間介電氧化物262與第二層間介電氧化物266之間。停止層264可包括或由氮氧化矽或氮化矽組成。停止層264的厚度可為約10奈米。
第3B圖還顯示包括或由有機物、聚合物或碳材料的旋塗硬掩膜(SOH)組成的層268。層268設於第二層間介電氧化物266上。另外,在SOH層268上設置薄氧化物層270。第3A圖及第3B圖還顯示掩膜層或氮化矽層272,其可充當用於形成接觸的記憶層。應當注意,第3B圖中所示的層及相應材料的序列僅充當示例。設置該材料也可以氮化物層開始,後續為氧化物層或者後續也可為SiON層(未顯示)。可使用任意種類的材料組成,其相對彼此具有足够的蝕刻選擇性,以充當記憶層堆疊。
第4A圖及第4B圖顯示該流程的另一個步驟。第4A圖及 第4B圖顯示開始形成位於沿C-C剖視圖的至該閘極接觸層的接觸。此閘極接觸有時被以CB或CB1表示。第4A圖及第4B圖示意顯示藉由圖案化記憶層272並劃定開口以暴露薄氧化物層270的第一光刻及蝕刻序列(有時被稱為LE)的結果。這裏不顯示在該LE製程中所涉及的製程步驟。應當理解,這裏顯示形成位於沿C-C剖視圖的閘極接觸作為第一接觸僅是出於解釋目的,且還可以製作分別位於沿剖視圖B-B或A-A的源/汲接觸開始。如後面將討論的那樣,可在共同圖案化序列中形成針對與該汲源區及該閘極電極連接的接觸的開口。在第4A圖及4B中所示的步驟結束時,已在沿該C-C方向的位置向下至氧化物層270開放記憶層272。
第5A圖及第5B圖顯示在沿C-C的位置蝕刻閘極接觸開口以後的流程。在第5B圖中,顯示執行該蝕刻向下直至充當蝕刻該閘極接觸過孔的蝕刻停止的NiSi層253。也就是說,如第5A圖中所示,藉由執行此蝕刻步驟暴露NiSi層253。這也可被視為形成該過孔以使該閘極接觸層與位於該C-C區中的該CB接觸接觸。對於如A-A及B-B視圖所示的其它區域,在此步驟中僅移除掩膜層272、氧化物層270及SOH 268。因此,在第5A圖及第5B圖中所示的步驟結束時,該CB接觸的過孔已被向下蝕刻至NiSi層253,從而暴露位於STI重疊區210上方的位置的該閘極接觸的NiSi層253。
第6A圖及第6B圖顯示在用於形成該閘極接觸CB的另一個中間步驟以後的該流程。用SOH材料368填充如第5B圖中所示的該CB接觸的該過孔。如第6B圖中所示,SOH材料層368覆蓋整個裝置區,如剖視A-A及B-B圖中可見的那樣。另外,SOH材料層368進一步由氧化 物層370及掩膜層372覆蓋,該掩膜層可包括或由氮化矽組成。因此,序列層368、370及372對應如第4B圖中所示的序列層268、270及272。
如第6B圖中所示,執行另一個光刻及蝕刻(LE)序列,以藉由蝕刻穿過該硬掩膜暴露氧化物層370,從而圖案化掩膜層372,以形成源/汲接觸過孔。這可與形成如第4B圖中所示的閘極接觸過孔類似。這些源/汲接觸也可分別由CA1及CA2表示。
第7A圖及第7B圖顯示在向下至NiSi材料253的另一個蝕刻步驟以後的該流程。此蝕刻步驟分別用以設置接觸CA1及CA2的過孔。隨後,剝離掩膜層372及氧化物層370並在該裝置表面上方及該閘極接觸過孔CB中移除SOH材料368。在隨後的步驟中,可在該整個結構上方沉積薄氧化物襯裏(liner)254,以在施加隨後的製程步驟之前對NiSi材料253提供保護。該氧化物襯裏可藉由原子層沉積施加。作為第7A圖及7B中所示的製程步驟的結果,顯示接觸閘極、源極及汲極的三個過孔,各過孔具有沉積於其相應表面上的薄氧化物襯裏層254。
第8A圖及第8B圖顯示在用SOH材料468填充第7A圖及第7B圖中所示的所有過孔的另一個步驟以後的該流程。在SOH材料468上,依序沉積薄氧化物層470及掩膜層472,與分別如前關於第6A圖及第6B圖以及第4A圖及第4B圖所述的序列層368、370及372以及268、270、272類似。
第8A圖及第8B圖還顯示用於形成位於沿剖視圖B-B的橫向接觸延伸區的的圖案化該掩膜層的步驟。形成於掩膜層472中呈槽狀的該開口自一側的該源極接觸過孔上方的位置延伸至另一側的疊蓋STI區 210的位置。隨後,執行穿過該掩膜開口的SOH材料468的蝕刻向下至停止層264。由此,該接觸過孔形成有位於該STI區上方的上橫向延伸區(也被稱為“飛越”區)。
第9A圖及第9B圖顯示在藉由自該裝置表面以及該接觸過孔蝕刻而蝕刻該“飛越”區、剝離掩膜層472及氧化物層470並移除SOH材料468以後的該流程。應當注意,這基本上是對氧化物及氮化物具有選擇性的等離子體剝離製程。為剝離SOH材料468,必須首先移除覆蓋層472及470。因此,在該層間介電堆疊中的蝕刻停止層264上方的介電材料層266中形成溝槽。
而且,第9A圖及第9B圖標示此蝕刻步驟現在穿過位於該NiSi材料上的保護氧化物襯裏254,從而暴露覆蓋該閘極、源極及汲極接觸矽化物層253的該NiSi材料。在該蝕刻步驟以後接著可執行清洗步驟(未顯示)。
在第10A圖及第10B圖中,顯示用導電材料274填充接觸CA1、CA2及CB的過孔以後的該裝置。此導電材料274可為鎢(W)。通常,結合在該過孔中設置Ti/TiN阻擋層或襯裏(未顯示)來執行鎢填充。也可能需要該Ti/TiN阻擋層以將該W粘附於表面。另外,當在該過孔中填充該導電材料時,同時也向該橫向延伸區(也就是溝槽)中填充導電材料,從而在該溝槽中設置導電材料274J。現在,此導電材料相對淺溝槽絕緣體(STI)區210有效提供“飛越”區,該淺溝槽絕緣體區位於下方但藉由至少一個層間介電材料層(這裏層間介電材料層262)與該“飛越”區隔開。應當理解,藉由平坦化步驟例如化學及/或機械拋光可平坦化該結構,以在上側提 供平坦表面。
第11A圖及第11B圖顯示在第10A圖及第10B圖中所示的結構的表面上沉積第一金屬化層級M1的介電材料276例如含矽材料如SiCOH以後的該流程。另外,在材料276中,形成導電帶線,例如銅帶線。該導電帶線藉由與第一金屬化層級M1的導線連接的銅過孔V0與閘極、源極及汲極接觸過孔274電性連接。銅過孔V0設於彼此隔開的特定預定義位置並與接觸過孔274位於接觸增強層級上。這相對M1以自對準方式實施。另外,在SiOCH材料276中可圖案化V0及/或M1。該V0過孔可與被表示為274的接觸CA1、CA2及CB連接。與位於該剖視圖的區域B-B中的該源極接觸過孔連接的該V0過孔還可連接“飛越”區274J。該M1線與該V0過孔連接。由此,“飛越”區274J提供足够大的接觸區以與功率軌線接觸,這可藉由該V0、M1組合提供。
第12圖額外地或替代地顯示“飛越”區274J也可在兩個抬升式源/汲區之間形成局部互連274C。因此,局部互連274C也可被視為兩個相鄰源/汲區之間的跨接線。此外,如第12圖中所示,該跨接線也可經由V0及M1與功率軌線接觸,與第11A圖及第11B圖中的接觸類似。
因此,橫向延伸於STI區或“飛越”區及/或充當跨接線的局部互連上方的該接觸過孔提供解決方案以針對22奈米或14奈米或以下節點提供特定設計配置及安全晶片區域,從而無需在靠近源/汲區的可能凹坑附近設置任意高場接觸。
也就是說,設計工程師在減小接觸層級以及第一金屬化層M1的總體尺寸方面具有顯著增加的靈活性,因為儘管在主動區內必須嚴 格接觸汲源區,但藉由過孔V0與該第一金屬化層的連接可被實施於該溝槽隔離區上方。因此,由溝槽隔離區中的材料損失引起顯著表面形貌,例如依據剖面C-C的第1B圖中所示,顯然,延伸於隔離區210上方的閘極電極被隔離區210的凹入區橫向包圍,其中,相應材料損失可能促進向下延伸至大約對應埋置絕緣層244的中部的高度水平的凹槽或凹坑。在現有的複雜布局中,由於嚴重的接觸失效,設計工程師無法將位於隔離區210上方鄰近汲源區的區域用於布線該第一金屬化層的任意金屬線,因此,本文中所揭示的概念提供可靠地接觸汲源區的可能性,同時提供額外空間來布線該第一金屬化層的金屬線,例如第11A圖中所示,因為與相應金屬線的實際連接發生於該隔離區上方。
一般來說,由於該兩層級接觸結構,除了在開發具有增加的穩健性的半導體布局方面向設計工程師提供額外的設計靈活性以及/或者向製程工程師提供優越的製程裕度(由於在未對準以及定位及形成連接該第一金屬化層的相應過孔方面顯著增加的容差)以外,在藉由本文中所揭示的原理進一步減小關鍵尺寸並因此增加封裝密度方面可向設計工程師提供額外的設計靈活性。例如,當減小密集封裝裝置區(例如從104奈米至例如針對下一代複雜半導體裝置的96奈米的基本邏輯元件例如NAND門或反相器的單元區域)中的閘極電極結構之間的距離時,可能有必要減小用於向相應電路元件提供功率的相應金屬線的橫向尺寸(也就是,其寬度),以符合嚴格的設計標準。
另外,在此方面,該兩層級接觸結構可促進優越的設計靈活性,如後面詳細所述。此外,要注意的是,在迄今為止所述的實施例中, 已說明特定的方法,其中,例如當試圖減小與製程相關的不一致性時,尤其當蝕刻穿過多種介電材料至不同的高度水平時,可在不同的圖案化製程序列中針對汲源區及閘極電極結構形成接觸開口或過孔。
為此,如先前所述,可首先形成汲源區的接觸開口或者可首先形成與閘極電極結構連接的接觸開口,接著執行相應圖案化序列以形成另一類型的接觸開口。在其它方法中(未顯示),可在共同製程序列中形成多種不同類型的接觸開口,例如汲源區的接觸開口以及閘極電極結構的接觸開口,從而促進優越的製程效率,因為可略去數個掩膜及光刻步驟。為此,可施加製程策略,其中,例如,可在蝕刻穿過層間介電材料的剩餘層堆疊後保留蝕刻停止層260(見第4B圖),從而在最終蝕刻穿過蝕刻停止層260以暴露相應金屬矽化物區253以後確保高度一致的製程條件。例如,當形成針對該橫向接觸延伸區的溝槽時,可包括最終步驟,其中,可在相應接觸開口中移除蝕刻停止層260,可能還結合蝕刻穿過蝕刻停止層264(見第9B圖),這可導致增加相應溝槽的深度,從而進一步促進所得橫向接觸延伸區的優越電導率。
在其它示例實施例中(未顯示),可首先形成該橫向接觸延伸區的相應溝槽,接著執行相應圖案化序列以蝕刻穿過該介電材料的該接觸開口,同時可靠地覆蓋該溝槽部分,從而也促進高效的總體製程序列。
請參照第13圖至第18圖,現在詳細說明另外的示例實施例,其中,兩層級接觸結構可提供優越的設計靈活性,尤其在進一步減小總體尺寸方面,且在一些示例實施例中,在減小功率軌脊及功率軌線的尺寸方面。
第13圖示意顯示半導體裝置100的頂視圖,該半導體裝置可基於如上面在第1A圖至第12圖的上下文中所述的關鍵尺寸設計並形成,也就是,半導體裝置100可包括基於30奈米及顯著更小的閘極長度形成的電晶體元件190,其中,這些電晶體元件的其中至少部分可被形成為全空乏SOI裝置,其中,基本半導體材料可具有15奈米及顯著更小的厚度。
如圖所示,半導體裝置100可包括主動區112,該主動區可基本對應如上所述的半導體材料,其具有合適的厚度及配置以在其中及其上形成相應全空乏電晶體元件。例如,任意此類電晶體元件例如電晶體元件190可具有平面架構,例如參照第1A至12圖詳細所述。也就是說,電晶體元件190可包括汲源區(未顯示),該汲源區可具有抬升式架構,如前所述;且還可包括閘極電極結構180,該閘極電極結構可具有任意合適的配置,例如如上所述。如圖所示,閘極電極結構180可以具有特定距離或間距181的基本平行的方式設置,該間距是基於對應特定技術及裝置配置的特定設計值。例如,在高度複雜裝置中,在包括基本邏輯門例如反相器、NAND門等的特定裝置單元中,或甚至在具有高封裝密度的裝置區例如存儲器區域中,間距181可具有104奈米的值,而在其它示例實施例中,間距181可小於100奈米,例如96奈米及更小。應當瞭解,這些值為設計值或目標值,其可因製程相關的不一致性等在實際裝置中變化。
基本上,藉由相應金屬化層中任意合適的線路可向電晶體元件190提供功率及地,也例如上面參照第11A圖所述,其中,相應電晶體元件的源汲區可藉由過孔V0與第一金屬化層的金屬線連接,從而使用該 橫向接觸延伸區提供藉由相應接觸元件的下部直接著陸於源區及/或汲區上的可能性,同時在該隔離區上方提供與該第一金屬化層的該金屬線的接觸,如上所述。
在許多情况下,功率布線可基於設於該第一金屬化層中的所謂功率軌實施,該功率軌的尺寸及位置可能必須適於總體設計標準,以避免因與製程相關及技術決定的約束而導致短路。例如,包括多個電晶體元件的相應邏輯單元可由鄰近相應主動區例如區112設置的功率軌供應,其中,至下方裝置層級的電性連接可基於相應過孔例如如前所述的V0實施,以與導線(也被稱為功率軌脊)連接,自該導線的適當連接可被布線至相應電晶體元件或裝置單元中。例如,在第13圖中,可設置功率軌170(也就是說,該第一金屬化層的金屬線),以向相鄰主動區112以及在其中形成的電晶體元件190供應功率。功率軌170可基本上設於隔離區110例如如上所述的溝槽隔離區上方,且可具有適於如前所述的總體設計標準的長度及寬度。例如,在複雜應用中,功率軌170針對間距181的104奈米的值可具有64奈米的寬度170W,其中,還應當瞭解,此值可代表設計值,該設計值可因與製程相關的容差而在實際半導體裝置中變化。在一些示例實施例中,如後面所述,由於兩層級接觸結構的設置,寬度170W可被選擇為小於60奈米,例如40奈米。
而且,功率軌脊175可設於功率軌170下方並可形成於隔離區110上。通常,功率軌脊175所具有的尺寸適應功率軌170的橫向尺寸並且也適應其中所使用的導電材料的電阻率。在本文中所揭示的示例實施例中,功率軌脊175的寬度175W可小於寬度170W;在一些示例實施 例中,當例如寬度170W的設計值被選擇為40奈米時,功率軌脊175的寬度175W可為40奈米及更小,例如30奈米。功率軌170與功率軌脊175之間的電性連接可藉由過孔172例如如前所述的V0實施。
第14圖示意顯示半導體裝置100的頂視圖,其中,僅結合隔離區110及主動區112的部分顯示功率軌170、功率軌脊175、過孔172以及相應橫向接觸延伸區174J。橫向接觸延伸區174J可代表與功率軌脊175及其它接觸元件(未顯示)相比在不同高度水平的接觸元件,從而在形成於該隔離區上方的功率軌脊175與主動區112之間建立電性連接,而不受如前所述的隔離區110中的材料損失所引起的明顯表面形貌影響。在一些示例實施例中,藉由選擇如上所述的寬度170W的設計值,藉由選擇在上述範圍內的功率軌脊175的寬度175W並藉由將過孔172設為相對功率軌170設於中央的過孔,如第14圖中所示的配置可適於裝置微縮。而且,過孔172可能無需在每個橫向接觸延伸區174J都設置,而是可任意設置,甚至以不規則間距設置,從而提供優越的設計靈活性。
應當瞭解,可基於如上參照第1A圖至第12圖所述的製程技術形成半導體裝置100,以建立兩層級接觸結構,其中,尤其,可將功率軌脊175形成為下層級的“接觸元件”,也就是,與連接汲區或源區並容置橫向延伸區例如橫向接觸延伸區174J的接觸元件等同。在其它示例實施例中,如參照第15圖至第18圖詳細所述,將說明一種製程策略,其中,可施加獨立的製程序列以形成第一或下層級接觸元件,接著執行另一個製程序列以形成上層級接觸元件,例如橫向接觸延伸區174J。
第15圖示意顯示半導體裝置100的剖視圖,其中,該剖視 是沿第13圖中的D-D標示的線所作。如圖所示,電晶體元件190(出於清楚目的顯示其部分)可形成於主動區或半導體層112中及上方,包括汲區157,該汲區例如以抬升式汲源區的形式設置,也如上所述。而且,汲區157可包括高導電金屬半導體化合物,例如矽化鎳材料、鎳/鉑矽化物等,由153標示。而且,如上所述,電晶體元件190的至少其中一些可以全空乏SOI裝置的形式設置,從而埋置絕緣層144可位於主動區或半導體層112下方。因此,呈基本上全空乏配置的半導體層112及形成於其中的任意通道區(未顯示)可藉由埋置絕緣層144與基板108隔離。
而且,具有例如蝕刻停止層例如氮化矽層等形式的介電材料160,以及介電材料162例如二氧化矽等,可形成於電晶體190及隔離區110上方。應當注意,由於如上所述的在先前製程中所引起的材料損失(其傳統上可能導致極受限制的設計規則且可能引起嚴重的接觸失效,也如上所述),顯著形貌可能存在於主動區112與隔離區110之間。
功率軌脊175可形成於隔離區110上方,以橫向嵌入介電材料160、162中。類似地,接觸元件的第一部分174A可形成於汲區157上方並可經設置以基本避免與隔離區110的任意疊蓋,也如上所述,從而遵守相應設計規則。接觸部分174A及功率軌脊175可在共同製程序列中形成,如後面所述,且可因此包括相同材料,以提供所需的高電導率。例如,可使用鎢結合鈦/氮化鈦作為阻擋/黏附材料(未顯示),而在其它情况下,可使用任意其它合適的高導電含金屬材料。
如第15圖中所示的半導體裝置100可基於下面的製程形成。可依據成熟的製程技術形成隔離區110及半導體層112,從而橫向劃 定設計標準所要求的主動區(見第13圖)。接著,例如藉由沉積閘極電極結構的材料,形成掩膜層,藉由複雜的光刻及蝕刻技術對其圖案化,接著執行外延生長技術以形成抬升式汲源區157,可基於成熟的製程技術形成電晶體元件190。隨後,依據總體製程策略,例如在共同製程序列或在獨立的製程序列中(當認為不同的特性有利於該汲源區及該閘極電極結構時),在該汲源區中以及在該相應閘極電極結構中可形成金屬半導體化合物,例如材料153。
隨後,藉由成熟的沉積技術並接著執行平坦化製程可形成介電材料160及162,也如上所述。基於基本上平坦化的表面形貌,可施加合適的光刻技術,也如上所述,可能包括沉積掩膜材料等,接著執行光刻製程以及後續的蝕刻製程,從而在材料162中形成開口。應當瞭解,儘管在汲源區157與隔離區110的表面之間可能存在較顯著或不太顯著的高度差,但由於存在蝕刻停止層160,因此相應圖案化製程仍可為高度可控,從而由於層160的高蝕刻選擇性,用於形成功率軌脊175的溝槽的該蝕刻製程可在基本上不影響汲區157的材料153的情况下持續進行。
隨後,藉由專門設計的蝕刻製程可開放蝕刻停止層160,其中,隔離區110及金屬半導體化合物153的材料可充當蝕刻停止材料。隨後,基於成熟的技術可沉積任意阻擋及/或粘附層,例如鈦/氮化鈦,並接著,依據成熟的製程策略可沉積高導電材料,例如鎢。隨後,藉由平坦化製程可移除任意多餘材料,也如上所述。
第16圖示意顯示處於下一製造階段中的半導體裝置100的剖視圖。如圖所示,在介電材料162上以及在接觸部分174A及功率軌脊 175上可形成蝕刻停止層164,例如氮化矽材料、氮氧化矽材料等。為此,可施加任意成熟的沉積技術。而且,在蝕刻停止層164上可形成另外的介電材料166,例如二氧化矽等,或者甚至具有減小的介電常數的材料,可能結合平坦化製程,不過由於先前在形成功率軌脊175及接觸部分174A時的平坦化的表面形貌,可遇到基本上優越的製程一致性。接著,可設置並可圖案化材料堆疊以形成蝕刻掩膜102,該蝕刻掩膜定義接觸元件的另外部分例如橫向接觸延伸區的橫向位置、尺寸及形狀。為此,可例如以與先前在第1A圖至第12圖的上下文中所述類似的方式施加任意成熟的製程策略。
隨後,可施加蝕刻製程,以蝕刻穿過介電材料166,同時使用蝕刻停止層164作為蝕刻停止,隨後可藉由獨立的蝕刻步驟開放該蝕刻停止層,從而避免功率軌脊175及接觸部分174A的下方導電材料的不當暴露。在移除蝕刻掩膜102以後,可施加用於填充合適導電材料的另一個製程序列。例如,可沉積任意粘附/阻擋層,接著沉積高導電材料,例如鎢等。隨後,藉由平坦化可移除任意多餘材料,也如上所述。
第17圖示意顯示在完成上述製程序列以後的半導體裝置100。也就是說,半導體裝置100可包括針對在裝置區(其中可能不需要橫向接觸延伸區)中先前所形成的接觸部分例如部分174A的第二或上接觸部分(未顯示)。在所示例子中,可形成橫向接觸延伸區174J,以與功率軌脊175並且還與接觸部分174A連接。應當瞭解,按照總體設計及布局標準要求,橫向接觸延伸區174J還可延伸至主動區112中或沿任意其它橫向方向延伸。
應當注意,若與總體設計標準兼容,則橫向接觸延伸區174J也可跨越功率軌脊175的整個表面,以在功率軌脊175與區域174J之間提供增加的接觸面積。
第18圖示意顯示處於下一製造階段中的半導體裝置100。如圖所示,第一金屬化層包括:金屬線M1,該金屬線進一步包括功率軌線170;以及相應過孔,例如V0,該過孔包括連接功率軌線170與功率軌脊175的過孔172。該金屬線及過孔可嵌入任意合適的介電材料176中,例如含氫碳二氧化矽(SiCOH),基於成熟的前驅體材料例如TEOS的二氧化矽材料,甚至例如呈多孔材料形式的低k介電材料等。該第一金屬化層的該金屬線及過孔以及介電材料176可基於成熟的製程策略形成,例如如上面參照第1A圖至第12圖所述,其中,在一些示例實施例中,可應用布局概念及設計規則以形成具有如上所述的寬度的功率軌線170,例如具有對應40奈米及更小的設計值的寬度,其中,與下方功率軌脊175連接的過孔172相對功率軌線170可位於中央,從而也提供增強的設計靈活性。
如上面簡略所述,應當瞭解,在一些示例實施例中,如認為合適,橫向接觸延伸區174J可經橫向延伸以基本上完全跨越功率軌脊175的寬度175W。而且,在一些實施例中,過孔172可能不一定位於橫向接觸延伸區174J上,而是可橫向偏移(也就是,沿垂直於第18圖的繪製平面的方向)。
因此,包括下層級(也就是,接觸部分174A及功率軌脊175)以及上層級例如橫向接觸延伸區174J的該兩層級接觸結構可提供優越的設計靈活性,從而能够顯著減小相關特徵,同時仍避免在該接觸方案的下 層級中橫跨主動區與隔離區之間的界面。
由於本發明可以本領域的技術人員借助本文中的教導而明白的不同但均等的方式修改並實施,因此上面所揭示的特定實施例僅為示例性質。例如,可以不同的順序執行上述製程步驟。而且,本發明並非意圖限於本文中所示的架構或設計的細節,而是如上面的申請專利範圍所述。因此,顯然,可對上面所揭示的特定實施例進行修改或變更,且所有此類變更落入本發明的範圍及精神內。要注意的是,用於說明本說明書以及所附申請專利範圍中的各種製程或結構的例如“第一”、“第二”、“第三”或者“第四”等術語的使用僅被用作此類步驟/結構的快捷參考,並不一定意味著按排列順序執行/形成此類步驟/結構。當然,依據準確的申請專利範圍語言,可能要求或者不要求此類製程的排列順序。因此,本發明請求保護的範圍如上面的申請專利範圍所述。

Claims (20)

  1. 一種方法,包括:形成由淺溝槽絕緣體區隔開的多個電晶體裝置,各該多個電晶體裝置包括基板、位於該基板上的埋置絕緣體層、位於該埋置絕緣體層上的半導體層、位於該半導體層上方的高k金屬閘極堆疊以及位於該高k金屬閘極堆疊上方的閘極電極、位於該半導體層上的抬升式源/汲區,以及位於該抬升式源/汲區及該閘極電極上方的矽化物接觸層;在該矽化物接觸層上形成層間介電堆疊並平坦化該層間介電堆疊;圖案化穿過該層間介電堆疊的多個接觸開口,該多個接觸開口向該抬升式源/汲區延伸;以及對於該多個接觸開口的至少其中一些,圖案化該接觸開口的該至少其中一些的橫向接觸延伸區,其中,該橫向接觸延伸區延伸於鄰近相應抬升式源/汲區的該淺溝槽絕緣體區的至少其中部分上方。
  2. 如申請專利範圍第1項所述的方法,其中,圖案化穿過該層間介電堆疊的該多個接觸開口包括圖案化穿過該層間介電堆疊的過孔,以及其中,圖案化該橫向接觸延伸區包括圖案化在該層間介電堆疊中的溝槽。
  3. 如申請專利範圍第2項所述的方法,其中,在圖案化穿過該層間介電堆疊的該過孔以後執行圖案化在該層間介電堆疊中的該溝槽。
  4. 如申請專利範圍第2項所述的方法,進一步包括用導電材料填充該過孔及該溝槽,其中,該導電材料包括鎢(W)或鈷(Co)的其中之一。
  5. 如申請專利範圍第1項所述的方法,進一步包括在該層間介電堆疊上方形成介電材料層並圖案化在該介電材料層中的銅帶線。
  6. 如申請專利範圍第5項所述的方法,其中,該介電材料層包括非晶矽、SiCOH、四乙基正矽酸鹽及超低k材料的至少其中之一。
  7. 如申請專利範圍第5項所述的方法,進一步包括使該銅帶線的其中一條或多條與該層間介電堆疊的橫向延伸接觸區接觸。
  8. 如申請專利範圍第5項所述的方法,進一步包括將該銅帶線與至少一條功率軌連接。
  9. 如申請專利範圍第1項所述的方法,進一步包括,對於至少一對橫向延伸接觸區,在該橫向延伸接觸區之間形成局部互連。
  10. 如申請專利範圍第2項所述的方法,進一步包括在該過孔及/或該溝槽中沉積氧化物襯裏。
  11. 如申請專利範圍第2項所述的方法,其中,圖案化該過孔及該溝槽包括執行兩個或更多光刻及後續蝕刻步驟。
  12. 如申請專利範圍第11項所述的方法,其中,順序圖案化過孔及溝槽組。
  13. 如申請專利範圍第11項所述的方法,其中,在執行該兩個或更多光刻及蝕刻步驟之間,用有機介電層材料填充該過孔及/或該溝槽。
  14. 如申請專利範圍第1項所述的方法,其中,形成該層間介電堆疊包括形成至少兩個氧化物層以及位於該兩個氧化物層之間的至少一個停止層,其中,該停止層包括氮氧化矽或氮化矽。
  15. 一種積體電路產品,包括:由淺溝槽絕緣體區隔開的多個電晶體裝置,各該多個電晶體裝置包括半導體基板、位於該半導體基板上的埋置絕緣體層、位於該埋置絕緣體層 上的半導體層、位於該半導體層上方的高k金屬閘極堆疊以及位於該高k金屬閘極堆疊上方的閘極電極、位於該半導體層上的抬升式源/汲區、以及位於該抬升式源/汲區及該閘極電極上方的矽化物接觸層;層間介電堆疊,位於該矽化物接觸層上;以及多個導電接觸,位於圖案化穿過該層間介電堆疊的多個接觸開口中並接觸該抬升式源/汲區,其中,該導電接觸的至少其中一些包括延伸於鄰近相應抬升式源/汲區的淺溝槽絕緣體區上方的橫向延伸接觸區。
  16. 如申請專利範圍第15項所述的積體電路產品,其中,該層間介電堆疊包括位於兩個介電層之間的蝕刻停止層。
  17. 一種方法,包括:在共同製程序列中形成位於鄰近半導體裝置的主動區的溝槽隔離區上方的功率軌脊以及與電晶體裝置的汲區及源區的其中之一連接的接觸的至少其中部分;以及形成延伸於該溝槽隔離區的部分上方的橫向接觸延伸區,以將該接觸與該功率軌脊連接。
  18. 如申請專利範圍第17項所述的方法,其中,該功率軌脊基於35奈米或更小的設計寬度形成。
  19. 如申請專利範圍第17項所述的方法,進一步包括藉由使用50奈米或更小的設計寬度在該功率軌脊上方形成金屬功率線。
  20. 如申請專利範圍第17項所述的方法,其中,在第一製程序列中形成該接觸的該至少其中部分及該功率軌脊並在獨立於該第一製程序列的第二製程序列中形成該橫向接觸延伸區。
TW107140220A 2017-11-13 2018-11-13 具有接觸增強層之fdsoi半導體裝置及製造方法 TWI708392B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/810,557 US10347543B2 (en) 2017-11-13 2017-11-13 FDSOI semiconductor device with contact enhancement layer and method of manufacturing
US15/810,557 2017-11-13

Publications (2)

Publication Number Publication Date
TW201924068A true TW201924068A (zh) 2019-06-16
TWI708392B TWI708392B (zh) 2020-10-21

Family

ID=66432319

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107140220A TWI708392B (zh) 2017-11-13 2018-11-13 具有接觸增強層之fdsoi半導體裝置及製造方法

Country Status (3)

Country Link
US (1) US10347543B2 (zh)
CN (1) CN109786319B (zh)
TW (1) TWI708392B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI722882B (zh) * 2020-01-09 2021-03-21 南亞科技股份有限公司 半導體結構及其製造方法
TWI753547B (zh) * 2019-09-27 2022-01-21 台灣積體電路製造股份有限公司 圖像感測器及其製造方法
US11664398B2 (en) 2019-09-27 2023-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Image sensor and manufacturing method thereof

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114792685A (zh) * 2021-01-26 2022-07-26 华邦电子股份有限公司 半导体存储器结构及其形成方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5807779A (en) 1997-07-30 1998-09-15 Taiwan Semiconductor Manufacturing Company Ltd. Method of making tungsten local interconnect using a silicon nitride capped self-aligned contact process
DE10034020A1 (de) * 2000-07-07 2002-02-07 Infineon Technologies Ag Metallisierungsanordnung für Halbleiterstruktur und entsprechendes Herstellungsverfahren
KR100378183B1 (ko) * 2000-09-18 2003-03-29 삼성전자주식회사 반도체 메모리 장치 및 그의 제조 방법
KR100641502B1 (ko) 2002-12-30 2006-10-31 동부일렉트로닉스 주식회사 반도체 소자 제조시 듀얼 다마신 공정을 이용한 콘텍형성방법
KR100801078B1 (ko) * 2006-06-29 2008-02-11 삼성전자주식회사 수직 채널을 갖는 비휘발성 메모리 집적 회로 장치 및 그제조 방법
JP4110192B1 (ja) * 2007-02-23 2008-07-02 キヤノン株式会社 光電変換装置及び光電変換装置を用いた撮像システム
US20090075480A1 (en) * 2007-09-18 2009-03-19 Texas Instruments Incorporated Silicon Carbide Doped Oxide Hardmask For Single and Dual Damascene Integration
US8569129B2 (en) * 2011-05-31 2013-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. Device-manufacturing scheme for increasing the density of metal patterns in inter-layer dielectrics
US8383490B2 (en) * 2011-07-27 2013-02-26 International Business Machines Corporation Borderless contact for ultra-thin body devices
US8703550B2 (en) * 2012-06-18 2014-04-22 International Business Machines Corporation Dual shallow trench isolation liner for preventing electrical shorts
US9059292B2 (en) * 2012-08-02 2015-06-16 International Business Machines Corporation Source and drain doping profile control employing carbon-doped semiconductor material
CN104347417B (zh) * 2013-08-05 2018-01-02 中芯国际集成电路制造(上海)有限公司 Mos晶体管的形成方法
KR102332952B1 (ko) * 2013-11-08 2021-12-01 르네사스 일렉트로닉스 가부시키가이샤 반도체 장치 및 그 제조 방법
US9245903B2 (en) * 2014-04-11 2016-01-26 International Business Machines Corporation High voltage metal oxide semiconductor field effect transistor integrated into extremely thin semiconductor on insulator process
US9425096B2 (en) * 2014-07-14 2016-08-23 Qualcomm Incorporated Air gap between tungsten metal lines for interconnects with reduced RC delay
CN104201193A (zh) * 2014-09-28 2014-12-10 中国科学院上海微系统与信息技术研究所 一种双栅soi器件结构及其制作方法
US9437694B1 (en) * 2015-04-01 2016-09-06 Stmicroelectronics (Crolles 2) Sas Transistor with a low-k sidewall spacer and method of making same
US9595473B2 (en) * 2015-06-01 2017-03-14 International Business Machines Corporation Critical dimension shrink through selective metal growth on metal hardmask sidewalls
US9853151B2 (en) * 2015-09-17 2017-12-26 International Business Machines Corporation Fully silicided linerless middle-of-line (MOL) contact
US9691654B1 (en) * 2015-12-22 2017-06-27 Globalfoundries Inc. Methods and devices for back end of line via formation
US10083961B2 (en) * 2016-09-07 2018-09-25 International Business Machines Corporation Gate cut with integrated etch stop layer

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI753547B (zh) * 2019-09-27 2022-01-21 台灣積體電路製造股份有限公司 圖像感測器及其製造方法
US11664398B2 (en) 2019-09-27 2023-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Image sensor and manufacturing method thereof
TWI722882B (zh) * 2020-01-09 2021-03-21 南亞科技股份有限公司 半導體結構及其製造方法

Also Published As

Publication number Publication date
TWI708392B (zh) 2020-10-21
CN109786319B (zh) 2023-11-10
CN109786319A (zh) 2019-05-21
US10347543B2 (en) 2019-07-09
US20190148245A1 (en) 2019-05-16

Similar Documents

Publication Publication Date Title
US9349812B2 (en) Semiconductor device with self-aligned contact and method of manufacturing the same
US9455254B2 (en) Methods of forming a combined gate and source/drain contact structure and the resulting device
EP2319077B1 (en) Body contact for sram cell comprising double-channel transistors
US9553028B2 (en) Methods of forming reduced resistance local interconnect structures and the resulting devices
US10236350B2 (en) Method, apparatus and system for a high density middle of line flow
US7915691B2 (en) High density SRAM cell with hybrid devices
TWI708392B (zh) 具有接觸增強層之fdsoi半導體裝置及製造方法
CN102315224B (zh) 使用FinFET的非易失性存储器件及其制造方法
US9286425B2 (en) Method, structure and design structure for customizing history effects of SOI circuits
US9184095B2 (en) Contact bars with reduced fringing capacitance in a semiconductor device
US20110024846A1 (en) Leakage control in field effect transistors based on an implantation species introduced locally at the sti edge
US20230027769A1 (en) Integrated circuit
US8951920B2 (en) Contact landing pads for a semiconductor device and methods of making same
CN109300780B (zh) 形成栅极接触点的导电间隔物的方法以及所得装置
US20130193516A1 (en) Sram integrated circuits and methods for their fabrication
US9947589B1 (en) Methods of forming a gate contact for a transistor above an active region and the resulting device
US8941182B2 (en) Buried sublevel metallizations for improved transistor density
US10217864B2 (en) Double gate vertical FinFET semiconductor structure
US20100025776A1 (en) Drive current adjustment for transistors by local gate engineering
US20150145060A1 (en) Low resistance contacts without shorting
CN111987100B (zh) 半导体结构及其制造方法、存储器
CN111987150B (zh) 半导体结构及其制造方法、存储器
US20220278093A1 (en) Capacitor in nanosheet
US20240145313A1 (en) Multi-fin vertical field effect transistor and single-fin vertical field effect transistor on a single integrated circuit chip
US20240006313A1 (en) Self-aligned backside connections for transistors