TW201901818A - 翹曲減少裝置與方法 - Google Patents

翹曲減少裝置與方法 Download PDF

Info

Publication number
TW201901818A
TW201901818A TW107113768A TW107113768A TW201901818A TW 201901818 A TW201901818 A TW 201901818A TW 107113768 A TW107113768 A TW 107113768A TW 107113768 A TW107113768 A TW 107113768A TW 201901818 A TW201901818 A TW 201901818A
Authority
TW
Taiwan
Prior art keywords
warpage
jig
item
patent application
scope
Prior art date
Application number
TW107113768A
Other languages
English (en)
Other versions
TWI672750B (zh
Inventor
鄭泰吾
鄭大鎬
金仁秀
徐己弘
Original Assignee
南韓商Eo科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 南韓商Eo科技股份有限公司 filed Critical 南韓商Eo科技股份有限公司
Publication of TW201901818A publication Critical patent/TW201901818A/zh
Application granted granted Critical
Publication of TWI672750B publication Critical patent/TWI672750B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67144Apparatus for mounting on conductive members, e.g. leadframes or conductors on insulating substrates
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C51/00Shaping by thermoforming, i.e. shaping sheets or sheet like preforms after heating, e.g. shaping sheets in matched moulds or by deep-drawing; Apparatus therefor
    • B29C51/18Thermoforming apparatus
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C51/00Shaping by thermoforming, i.e. shaping sheets or sheet like preforms after heating, e.g. shaping sheets in matched moulds or by deep-drawing; Apparatus therefor
    • B29C51/26Component parts, details or accessories; Auxiliary operations
    • B29C51/42Heating or cooling
    • B29C51/421Heating or cooling of preforms, specially adapted for thermoforming
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C51/00Shaping by thermoforming, i.e. shaping sheets or sheet like preforms after heating, e.g. shaping sheets in matched moulds or by deep-drawing; Apparatus therefor
    • B29C51/26Component parts, details or accessories; Auxiliary operations
    • B29C51/42Heating or cooling
    • B29C51/421Heating or cooling of preforms, specially adapted for thermoforming
    • B29C51/422Heating or cooling of preforms, specially adapted for thermoforming to produce a temperature differential
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67092Apparatus for mechanical treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67121Apparatus for making assemblies not otherwise provided for, e.g. package constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/74Apparatus for manufacturing arrangements for connecting or disconnecting semiconductor or solid-state bodies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C51/00Shaping by thermoforming, i.e. shaping sheets or sheet like preforms after heating, e.g. shaping sheets in matched moulds or by deep-drawing; Apparatus therefor
    • B29C51/10Forming by pressure difference, e.g. vacuum
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29CSHAPING OR JOINING OF PLASTICS; SHAPING OF MATERIAL IN A PLASTIC STATE, NOT OTHERWISE PROVIDED FOR; AFTER-TREATMENT OF THE SHAPED PRODUCTS, e.g. REPAIRING
    • B29C51/00Shaping by thermoforming, i.e. shaping sheets or sheet like preforms after heating, e.g. shaping sheets in matched moulds or by deep-drawing; Apparatus therefor
    • B29C51/26Component parts, details or accessories; Auxiliary operations
    • B29C51/46Measuring, controlling or regulating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B29WORKING OF PLASTICS; WORKING OF SUBSTANCES IN A PLASTIC STATE IN GENERAL
    • B29LINDEXING SCHEME ASSOCIATED WITH SUBCLASS B29C, RELATING TO PARTICULAR ARTICLES
    • B29L2031/00Other particular articles
    • B29L2031/34Electrical apparatus, e.g. sparking plugs or parts thereof
    • B29L2031/3406Components, e.g. resistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • H01L2924/3511Warping

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Mechanical Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

本發明的翹曲減少裝置包括:夾具,呈可分散材料的應力的彎曲形態;光源,對材料進行加熱而使其變平坦;加壓器,將經加熱的材料壓接至夾具而施加壓力以使其變形;冷卻器,冷卻變形的材料;及控制部,控制光源、加壓器及冷卻器的驅動。

Description

翹曲減少裝置與方法
本發明是有關於一種翹曲減少裝置及翹曲減少方法,更詳細而言,有關於一種於後硬化(Post Mold Cure,PMC)製程後減少半導體封裝體的翹曲程度的裝置及方法。
先前,使用將自晶圓切割的半導體晶片逐一進行封裝的方法,但最近隨著開發出一種一次對整個晶圓實施製程的半導體封裝技術,不僅簡化製程,亦減小安裝(mounting)空間,將上述封裝技術稱為晶圓級封裝、即「WLP(Wafer Level Packaging)」。換言之,WLP是以未切割半導體晶片的晶圓狀態進行封裝的技術,半導體的組裝製程得到極大改善。最近,因超薄型攜帶用設備及裝置市場的發展而要求製造一種多功能的超小型超薄型半導體晶片。根據此種要求,目前半導體市場正在研究晶片尺寸封裝(Chip Scale Package,CSP)、矽通孔(Through Silicon Via,TSV)、堆疊式封裝(Package on Package,POP)、扇出型晶圓級封裝(Fan-out Wafer Level Package,FOWLP)等。
另一方面,半導體封裝於進行用以保護半導體晶片免受外部應力的影響的半導體封裝的模製製程時,利用如環氧模製化合物(Epoxy Molding Compound,EMC)的密封劑模製附著有多個半導體晶片的整個基板,將如上述EMC的密封劑硬化。EMC於硬化前製作成EMC顆粒,在175℃左右下使其液化,之後進行裝載而將其硬化70秒左右來硬化90%左右,此後藉由對流方式的烘箱烘烤數小時而結束硬化。於100℃以上的高溫下進行此種硬化製程,因此於在固定結束後將溫度降至常溫的過程中,因EMC的收縮率與物質之間的熱膨脹係數不同而產生材料彎曲的問題。於材料過度彎曲的情形時,會於自動化製程或無人化製程中產生無法操作材料的問題。
本發明是有關於一種翹曲減少裝置及翹曲減少方法,更詳細而言,有關於一種於後硬化製程後減少半導體封裝體的翹曲程度的裝置及方法。
一實施例的翹曲減少裝置包括: 夾具,用以安裝材料,呈可分散上述材料的應力的彎曲的形態; 光源,對上述材料進行加熱; 加壓器,將經加熱的上述材料壓接至上述夾具而施加壓力以使其變形; 冷卻器,冷卻變形的上述材料;及 控制部,控制上述光源、加壓器及冷卻器的驅動。
上述夾具能夠以如下方式呈彎曲的形態:以剖面部為基準而具有至少一個極點。
上述夾具能夠以如下方式呈彎曲的形態:以剖面部為基準而具有至少兩個反曲點。
上述夾具的翹曲程度可為5 mm以下。
上述翹曲減少裝置可更包括測定上述材料的彎曲程度的翹曲測定影像儀。
上述材料可為呈多邊形形狀的面板、呈圓形形狀的晶圓、基板中的任一種。
上述翹曲減少裝置可更包括測定上述材料的溫度的溫度測定部。
上述加壓器可包括: 夾盤,設置至上述夾具的下部;及 吸附器,自上述夾盤抽吸流體而施加壓力以便將上述材料壓接至上述夾具。
上述光源可包括雷射二極體、發光二極體(Light Emitting Diode,LED)光源、紅外線(Infrared,IR)光源、鹵素光源中的至少一種。
上述翹曲減少裝置可更包括擴大來自上述光源的光的直徑的光束擴展器。
上述冷卻器可包括: 冷卻流體儲存部,儲存冷卻流體;及 噴嘴部,將上述冷卻流體噴射至變形的上述材料。
上述翹曲減少裝置可更包括將上述材料固定至上述材料的夾板。
一實施例的翹曲減少方法包括: 將彎曲的材料安裝至夾具; 將上述材料加熱至變形溫度; 對上述材料施加壓力而壓接至上述夾具;及 將壓接至上述夾具而形狀發生變形的上述材料冷卻來進行硬化。
上述夾具可呈可分散上述材料的應力的彎曲形態。
上述夾具能夠以如下方式呈彎曲的形態:以剖面部為基準而具有至少一個極點。
上述夾具能夠以如下方式呈彎曲的形態:以剖面部為基準而具有至少兩個反曲點。
上述翹曲減少方法於將上述材料安裝至上述夾具後,可更包括利用夾板將上述材料固定至上述夾具。
將上述材料加熱至變形溫度可為對上述材料照射雷射而對上述材料進行加熱。
上述翹曲減少方法於將上述材料加熱至變形溫度後,可更包括測定上述材料的溫度而控制上述雷射的照射範圍與輸出。
根據本發明的上述解決課題的手段,本發明可將因EMC的收縮率與物質之間的熱膨脹係數不同而過度地彎曲的材料的變形最小化。
根據本發明的解決課題的手段,將彎曲成無法進行自動化製程的程度的材料加熱、壓接至夾具而進行變形、冷卻,從而可將翹曲程度減小至材料的形狀可實現自動化製程的程度。
根據本發明的解決課題的手段,夾具呈可分散應力的形狀,將材料壓接至夾具而進行變形,藉此可分散夾具的彎曲部分的應力而減小翹曲程度。
參照與隨附圖式一併詳細地進行說明的實施例,本發明的優點、特徵、及達成上述優點與特徵的方法將變得明確。然而,本發明能夠以各種不同的形態實現,並不限定於下述實施例,且應理解為包括包含於本發明的思想及技術範圍內的所有變換、等同物或替代物。下述實施例是為了完整地揭示本發明且向於本發明所屬的技術領域內具有常識者完整地告知發明的範疇而提供。於對本發明進行說明時,在判斷為對相關公知技術的具體說明會混淆本發明的主旨的情形時,省略其詳細說明。
使用於本申請案的用語僅用於說明特定的實施例,並非意欲限定本發明。只要未於文中明確地表示其他含義,則單數的表達包括複數的表達。於本申請案中,「包括」或「具有」等用語應理解為表示存在說明書中所記載的特徵、數字、步驟、動作、構成要素、零件或其等的組合,並非預先排除一個或一個以上的其他特徵、數字、步驟、動作、構成要素、零件或其等的組合的存在可能性或附加可能性。第1、第2等用語可用於說明各種構成要素,但構成要素並不受上述用語的限定。上述用語僅以將一個構成要素區分於其他構成要素為目的而使用。
以下,參照隨附圖式,詳細地對本發明的實施例進行說明,於參照隨附圖式進行說明時,對相同或對應的構成要素賦予相同的符號,並省略其重複說明。
圖1a及圖1b是概略性地表示一實施例的翹曲減少裝置100的圖。
參照圖1a及圖1b,翹曲減少裝置100可包括光源110、溫度測定部120、控制部130、夾具140、夾盤(chuck)151、吸附器152、冷卻器170及翹曲測定影像儀180。
光源110為可對材料OB進行加熱的光學機構。例如,光源110可為出射雷射光束的雷射二極體(laser diode)。例如,光源110可為LED光源、IR光源及鹵素光源中的至少一種。光源110可對材料進行加熱而使材料OB容易地變形。例如,光源110可將材料OB加熱至材料OB的變形溫度或上述變形溫度以上的溫度。
光束擴展器111可設置至光源110的正面部而擴大來自光源110的光的直徑。光束擴展器111可容易地對材料OB的較廣的區域進行加熱。光束擴展器111可均勻地對材料OB的區域進行加熱。
溫度測定部120為可測定材料OB的溫度的溫度測定單元。例如,溫度測定部120於對材料OB進行加熱時,可確認材料OB是否達到變形溫度。溫度測定部120能夠以如輻射溫度測定部或高溫計的非接觸方式實現,但本發明並不限制於此。
材料OB為欲減小彎曲程度的對象。材料OB例如可包括半導體晶片及上述半導體晶片模製而成的模製材料。模製材料可為如EMC(Epoxy Molding Compound)或聚合物的密封材料。於模製材料包括EMC的情形時,EMC可具有20 ppm/℃至30 ppm/℃左右的熱膨脹係數(Coefficient Of Thermal Expansion,CTE),半導體晶片具有2 ppm/℃至3 ppm/℃的熱膨脹係數,支持半導體晶片的基板具有18 ppm/℃至20 ppm/℃左右的熱膨脹係數。因此,於隨模製材料的硬化過程而溫度發生變化的情形時,材料OB整體會因不同的物性差而過度地彎曲。例如,可將材料OB的翹曲程度hOB 定義為以剖面部為基準的最小點與最大點之差。過度彎曲的材料OB的翹曲程度hOB 例如可為20 mm以上。於材料OB的翹曲程度hOB 過大的情形時,無法藉由自動化製程或無人化製程進行操作,因此會大幅降低製程的速度。以下,於本說明書中,將過大的翹曲程度定義為無法藉由自動化製程或無人化製程進行操作的程度的翹曲程度hOB
夾具140為安裝彎曲的材料OB的單元。夾具140可呈可分散材料OB的應力的彎曲形態。因此,本實施例的夾具140可藉由將材料OB的過度彎曲的形態壓接至夾具140而進行變形來減小材料OB的翹曲(Warpage)程度。例如,將翹曲程度hOB 為20 mm以上的材料OB壓接至呈可分散應力的形態的夾具140而進行變形,藉此可將材料OB的翹曲程度hOB 減小至6 mm以下。夾具140的翹曲程度d可為6 mm以下。翹曲程度以此方式減小的材料OB可藉由自動化製程或無人化製程進行操作,因此可對先前需廢棄的材料OB進行加工,從而可節省費用且提高製程速度。
如上所述,夾具140的形狀可呈可分散應力的彎曲形態。夾具140可呈將包括多邊形平板或圓形平板的基本形態彎折或彎曲的形狀。例如,夾具140可根據基本形態的彎曲程度及彎曲的形狀而具有各種實施例,並不限定於特別的實施例。例如,夾具140可為以如下方式彎曲的平板:以剖面部為基準而具有至少一個極點。例如,夾具140可呈以剖面部為基準而具有一個溝槽的形狀、或以剖面部為基準而具有一個脊部的形狀。例如,夾具140可呈以剖面部為基準而具有至少兩個反曲點的形狀。之後於圖2至圖5b中對各實施例的詳細說明進行敍述。
材料OB與夾具140的形狀不同,因此為了將材料OB固定至夾具140,可更設置固定部(未圖示)。固定部例如可包括夾板。
加壓器150可包括設置至夾具140的下部的夾盤151及吸附器152。夾盤151可對材料OB施加朝向下方向的壓力,以使安裝於夾具140的材料OB與夾具140的形狀對應地變形。夾盤151例如可為包括多個孔的真空板。吸附器152能夠以如下方式驅動:以高壓自夾盤151抽吸流體而使夾盤151對材料OB施加朝向下方向的壓力。例如,吸附器152可為集塵器(Dust Collector)或真空泵(Vacuum Pump)。
冷卻器170為可將材料OB急冷至常溫的單元。例如,冷卻器170可包括可儲存冷卻流體的冷卻流體儲存部171、及用以噴射冷卻流體的噴嘴部172。儲存於冷卻流體儲存部171的冷卻流體可為冷卻空氣(cooling air),具備100 Kcal/hr至200 Kcal/hr的冷卻容量(Cooling capacity),因此可於5秒至10秒內將材料OB冷卻至常溫。然而,本發明並不限制於此,可根據材料OB的冷卻速度而藉由下文敍述的控制部130調整冷卻流體的噴射量。
翹曲測定影像儀180為確認材料OB的翹曲程度的測定單元。例如,翹曲測定影像儀180可確認彎曲的材料OB的翹曲程度hOB ,確認執行翹曲減少製程後的材料OB的翹曲程度hOB 。翹曲測定影像儀180可利用可見光或紅外線光,但並不限定於此。
控制部130可包括用以控制光源110、溫度測定部120、加壓器150、冷卻器170及翹曲測定影像儀180的動作的硬體與軟體。控制部130可根據儲存於記憶體的程式及自輸入部輸入的輸入訊號等而產生針對光源110、溫度測定部120、加壓器150、翹曲測定影像儀180及冷卻器170的控制訊號。作為一例,控制部130可根據自輸入部輸入的輸入訊號而控制自光源110照射的雷射光束的強度及照射區域,可控制自冷卻器170噴射的冷卻流體的流量,且可控制加壓器150對材料OB施加的壓力。此時,控制部130能夠以一個微處理器模組的形態實現、或亦能夠以組合兩個以上的微處理器模組的形態實現。即,控制部130的實現形態並不限制於任一種。
控制部130可包括輸入部與顯示部。輸入部可包括用以操作翹曲減少裝置100的按鍵、數字小鍵盤、開關、撥號盤或觸控介面。顯示部能夠以用以顯示與翹曲減少裝置相關的資訊(例如,材料的溫度資訊、光源的強度、照射區域、加壓器的壓力等)的顯示面板等實現。作為一例,顯示部可包括液晶顯示裝置(Liquid Crystal Display,LCD)面板、有機發光二極體(Organic Light Emitting Diode,OLED)面板等,能夠以圖像或文本形式顯示所分析的資訊。
圖2是表示一實施例的夾具140的概略性形狀的立體圖。參照圖2,夾具140可呈將長方形平板彎折的形態。夾具140可與長方形形態的材料對應。例如,與夾具140對應的材料可包括面板。
夾具140於以剖面部為基準時,可呈於中央部具有脊部的形狀。夾具140可具有至少兩個反曲點。例如,參照圖2,夾具140可呈彎曲成具有一個極大點與兩個極小點的波浪形態(wave form)的形狀。然而,夾具140可呈具有至少兩個反曲點的各種彎曲的平板形狀,並不限定於圖示的形狀。
夾具140於以剖面部為基準時,最高點與最小點之差即翹曲程度d可為6 mm以下。例如,夾具140的翹曲程度d可為5 mm以下。於將材料(未圖示)壓接至本實施例的夾具140的情形時,可將材料的翹曲程度hOB 減小至6 mm以下。此種材料(未圖示)可利用於自動化製程或無人化製程。
圖3是表示另一實施例的夾具240的概略性形狀的立體圖。
參照圖3,夾具240可呈將長方形平板彎折的形態。夾具240可與長方形形態的材料對應。例如,與夾具240對應的材料可包括面板。
夾具240於以剖面部為基準時,可呈於中央部具有溝槽的形狀。夾具240可呈無反曲點的彎曲的平板形狀。例如,參照圖2,夾具240可於中央部具有一個極小點。然而,夾具240可呈具有一個極點的各種彎曲的平板形狀,並不限定於圖示的形狀。
夾具240於以剖面部為基準時,最高點與最小點之差即翹曲程度d可為6 mm以下。例如,夾具240的翹曲程度d可為5 mm以下。於將材料(未圖示)壓接至本實施例的夾具240的情形時,可將材料的翹曲程度hOB 減小至6 mm以下。此種材料(未圖示)可利用於自動化製程或無人化製程。
圖4a及圖4b是表示又一實施例的夾具340的概略性形狀的圖。圖4a是夾具340的俯視圖,圖4b是沿A-A'線觀察夾具340的剖面圖。
參照圖4a及圖4b,夾具340可呈將圓形平板彎折的形態。夾具340可與圓形形態的材料對應。例如,與夾具340對應的材料可包括晶圓。
夾具340於以平面部為基準時,可呈以中心點為基準的同心圓構造。各同心圓可具有相同的高度。夾具340的中心部可為溝槽。於以夾具340的剖面部為基準時,夾具340可呈具有至少兩個反曲點的彎曲的圓板形狀。例如,參照圖4b,夾具340可於中央部具有一個極小點。例如,夾具340可具有兩個極大點。然而,夾具340可呈具有至少兩個反曲點的各種彎曲的圓板形狀,並不限定於圖示的形狀。參照圖4a,於定義距夾具340的最小點的高度時,夾具340能夠以高度自中央部向外部方向逐漸上升後減小的方式呈彎曲的形狀。
夾具340於以剖面部為基準時,最高點與最小點之差即翹曲程度d可為6 mm以下。例如,夾具340的翹曲程度d可為5 mm以下。於將材料(未圖示)壓接至本實施例的夾具340的情形時,可將材料的翹曲程度hOB 減小至6 mm以下。此種材料(未圖示)可利用於自動化製程或無人化製程。
圖5a及圖5b是表示又一實施例的夾具440的概略性形狀的圖。圖5a是夾具440的俯視圖,圖5b是沿B-B'線觀察夾具440的剖面圖。
參照圖5a及圖5b,夾具440可呈將圓形平板彎折的形態。夾具440可與圓形形態的材料對應。例如,與夾具440對應的材料可包括晶圓。
夾具440於以平面部為基準時,可呈以中心點為基準的同心圓構造。各同心圓可具有相同的高度。夾具440的中心部可為脊部。於以夾具440的剖面部為基準時,夾具440可呈無反曲點的彎曲的圓板形狀。例如,參照圖4b,夾具440可於中央部具有一個極點。然而,夾具440可呈具有一個極點的各種彎曲的圓板形狀,並不限定於圖示的形狀。參照圖4a,於定義距夾具440的最小點的高度時,夾具440能夠以高度自中央部向外部方向逐漸減小的方式呈彎曲的形狀。
夾具440於以剖面部為基準時,最高點與最小點之差即翹曲程度d可為6 mm以下。例如,夾具440的翹曲程度d可為5 mm以下。於將材料(未圖示)壓接至本實施例的夾具440的情形時,可將材料的翹曲程度hOB 減小至6 mm以下。此種材料(未圖示)可利用於自動化製程或無人化製程。
圖6是表示一實施例的翹曲減少方法的流程圖。
首先,將彎曲的材料安裝至夾具(步驟S601)。材料可包括基板、半導體晶片及模製材料。構成材料的半導體晶片、模製材料及基板的熱膨脹係數不同,因此於隨硬化過程而溫度發生變化的情形時,材料整體會因不同的物性差而過度地彎曲。過度彎曲可定義為彎曲成無法於自動化製程中進行操作的程度的情形,例如可為翹曲程度超過20 mm者。彎曲的材料所包括的基板可為面板、晶圓等。
其次,將彎曲的材料固定至夾具(步驟S602)。彎曲的材料與夾具的形狀不同,因此為了將彎曲的材料固定至夾具,可利用夾板等固定部。
其次,對彎曲的材料進行加熱(步驟S603)。可將彎曲的材料加熱至變形溫度,以使已硬化的彎曲的材料的形狀變形。可藉由光源而對彎曲的材料進行加熱。例如,光源可包括照射雷射的雷射二極體。例如,光源可包括照射光的IR光源、LED光源、鹵素光源等。為了確認彎曲的材料是否已加熱至變形溫度,可利用溫度測定部確認彎曲的材料的溫度。於本步驟後,可更包括確認彎曲的材料的溫度,控制自雷射二極體出射的雷射的照射範圍與輸出的步驟。
其次,將經加熱的材料壓接至夾具(步驟S604)。於本步驟中,可將加熱至變形溫度的材料壓接至夾具而使材料以呈夾具的形態的方式變形。設置於夾具的下部的夾盤例如可為包括多個孔的真空板,吸附器可自夾盤抽吸流體而將經加熱的材料壓接至夾具。吸附器可為集塵器或真空泵。
其次,冷卻材料而進行硬化(步驟S605)。可藉由冷卻器急速冷卻壓接於夾具的材料而進行硬化。因此,夾具變形為呈分散應力的形態的夾具的形態,藉此可減少翹曲。作為一例,可藉由噴嘴部而自冷卻流體儲存部排出具備特定的冷卻流量的冷卻流體。此時,冷卻流體可為具備100 Kcal/hr至200 Kcal/hr的冷卻容量的冷卻空氣,但本發明並不限制於此。
藉此,實現材料的冷卻及硬化,從而材料可呈減少翹曲的夾具的形態。藉此,可完成翹曲減少方法。
本發明的上述說明用以例示,於本發明所屬的技術領域內具有常識者應可理解,可不變更本發明的技術思想或必要特徵而容易地變形為其他具體的形態。因此,應理解如上所述的實施例於所有方面均為示例,並不具有限定性含義。例如,說明為單一型的各構成要素亦可分散實施,與此相同,說明為分散型的構成要素亦能夠以結合的形態實施。
本發明的範圍由隨附的發明申請專利範圍表示,而並非上述詳細說明,應解釋為根據發明申請專利範圍的含義、範圍及其等同概念而導出的所有變更或變形的形態均包括於本發明的範圍內。
100‧‧‧翹曲減少裝置
110‧‧‧光源
111‧‧‧光束擴展器
120‧‧‧溫度測定部
130‧‧‧控制部
140、240、340、440‧‧‧夾具
150‧‧‧加壓器
151‧‧‧夾盤
152‧‧‧吸附器
170‧‧‧冷卻器
171‧‧‧冷卻流體儲存部
172‧‧‧噴嘴部
180‧‧‧翹曲測定影像儀
A-A'、B-B'‧‧‧線
d、hOB‧‧‧翹曲程度
OB‧‧‧材料
S601~S605‧‧‧步驟
圖1a及圖1b是概略性地表示一實施例的翹曲減少裝置的圖。 圖2是表示一實施例的夾具的概略性形狀的立體圖。 圖3是表示另一實施例的夾具的概略性形狀的立體圖。 圖4a及圖4b是表示又一實施例的夾具的概略性形狀的圖。 圖5a及圖5b是表示又一實施例的夾具的概略性形狀的圖。 圖6是表示一實施例的翹曲減少方法的流程圖。

Claims (18)

  1. 一種翹曲減少裝置,其包括: 夾具,用以安裝材料,呈可分散所述材料的應力的彎曲形態; 光源,對所述材料進行加熱; 加壓器,將經加熱的所述材料壓接至所述夾具而施加壓力以使其變形; 冷卻器,冷卻變形的所述材料;及 控制部,控制所述光源、加壓器及冷卻器的驅動。
  2. 如申請專利範圍第1項所述的翹曲減少裝置,其中所述夾具以如下方式呈彎曲的形態:以剖面部為基準而具有至少一個極點。
  3. 如申請專利範圍第1項所述的翹曲減少裝置,其中所述夾具以如下方式呈彎曲的形態:以剖面部為基準而具有至少兩個反曲點。
  4. 如申請專利範圍第1項所述的翹曲減少裝置,其更包括測定所述材料的彎曲程度的翹曲測定影像儀。
  5. 如申請專利範圍第1項所述的翹曲減少裝置,其中所述材料為呈多邊形形狀的面板、呈圓形形狀的晶圓或基板中的任一種。
  6. 如申請專利範圍第1項所述的翹曲減少裝置,其更包括測定所述材料的溫度的溫度測定部。
  7. 如申請專利範圍第1項所述的翹曲減少裝置,其中所述加壓器包括: 夾盤,設置至所述夾具的下部;及 吸附器,自所述夾盤抽吸流體而施加壓力以便將所述材料壓接至所述夾具。
  8. 如申請專利範圍第1項所述的翹曲減少裝置,其中所述光源包括雷射二極體、發光二極體光源、紅外線光源、鹵素光源中的至少一種。
  9. 如申請專利範圍第1項所述的翹曲減少裝置,其更包括擴大來自所述光源的光的直徑的光束擴展器。
  10. 如申請專利範圍第1項所述的翹曲減少裝置,其中所述冷卻器包括: 冷卻流體儲存部,儲存冷卻流體;及 噴嘴部,將所述冷卻流體噴射至變形的所述材料。
  11. 如申請專利範圍第1項所述的翹曲減少裝置,其更包括將所述材料固定至所述材料的固定部。
  12. 一種翹曲減少方法,其包括: 將材料安裝至呈可分散材料的應力的彎曲形態的夾具; 將所述材料加熱至變形溫度; 對所述材料施加壓力而壓接至所述夾具;及 將壓接至所述夾具而形狀發生變形的所述材料冷卻來進行硬化。
  13. 如申請專利範圍第12項所述的翹曲減少方法,其中所述夾具以如下方式呈彎曲的形態:以剖面部為基準而具有至少一個極點。
  14. 如申請專利範圍第12項所述的翹曲減少方法,其中所述夾具以如下方式呈彎曲的形態:以剖面部為基準而具有至少2個反曲點。
  15. 如申請專利範圍第12項所述的翹曲減少方法,其於將所述材料安裝至所述夾具後,更包括將所述材料固定至所述夾具。
  16. 如申請專利範圍第12項所述的翹曲減少方法,其中所述將所述材料加熱至變形溫度為對所述材料照射雷射而對所述材料進行加熱。
  17. 如申請專利範圍第16項所述的翹曲減少方法,其於所述將所述材料加熱至變形溫度後,更包括測定所述材料的溫度而控制所述雷射的照射範圍與輸出。
  18. 如申請專利範圍第12項所述的翹曲減少方法,其中所述材料為平坦的面板或彎曲的材料。
TW107113768A 2017-05-29 2018-04-24 翹曲減少裝置與方法 TWI672750B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
??10-2017-0066386 2017-05-29
KR1020170066386A KR101930742B1 (ko) 2017-05-29 2017-05-29 휨 감소 장치 및 휨 감소 방법

Publications (2)

Publication Number Publication Date
TW201901818A true TW201901818A (zh) 2019-01-01
TWI672750B TWI672750B (zh) 2019-09-21

Family

ID=64455427

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107113768A TWI672750B (zh) 2017-05-29 2018-04-24 翹曲減少裝置與方法

Country Status (5)

Country Link
US (1) US11065805B2 (zh)
KR (1) KR101930742B1 (zh)
CN (1) CN110709977A (zh)
TW (1) TWI672750B (zh)
WO (1) WO2018221850A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI701090B (zh) * 2019-01-17 2020-08-11 日月光半導體製造股份有限公司 面板處理設備與方法及多段吸附裝置
TWI808793B (zh) * 2022-06-15 2023-07-11 印能科技股份有限公司 利用氣體充壓以抑制載板翹曲之排氣治具裝置及方法

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113619177B (zh) * 2021-07-07 2023-06-30 浙江明恩新材料科技有限公司 一种耐高温改性材料制品的生产设备
WO2023181367A1 (ja) * 2022-03-25 2023-09-28 株式会社ニコン 矯正装置、露光装置、コータ・デベロッパ装置、露光システム、露光方法、及びデバイス製造方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3268443B2 (ja) * 1998-09-11 2002-03-25 科学技術振興事業団 レーザ加熱装置
JP4537323B2 (ja) * 2006-01-24 2010-09-01 東京エレクトロン株式会社 基板冷却装置
JP2011127306A (ja) * 2009-12-16 2011-06-30 Mine Seisakusho:Kk ウイングレール及びその製造方法
CN102543718A (zh) * 2010-12-14 2012-07-04 北京天科合达蓝光半导体有限公司 一种降低碳化硅晶片翘曲度、弯曲度的方法
US8728831B2 (en) * 2010-12-30 2014-05-20 Stmicroelectronics Pte. Ltd. Reconstituted wafer warpage adjustment
US20130049381A1 (en) * 2011-08-31 2013-02-28 David C. Hageman Manway cover fastener
US8642445B2 (en) 2012-03-29 2014-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for reducing package warpage
CN102617023B (zh) * 2012-03-31 2014-12-31 洛阳兰迪玻璃机器股份有限公司 柱状曲面钢化玻璃加工装置
CN103871837B (zh) * 2012-12-18 2016-08-17 上海华虹宏力半导体制造有限公司 改善晶圆翘曲度的方法
KR102103247B1 (ko) * 2012-12-21 2020-04-23 삼성디스플레이 주식회사 증착 장치
EP3057125B1 (en) 2013-10-10 2020-09-30 Mitsubishi Materials Corporation Substrate for heat sink-equipped power module, and production method for same
JP6305759B2 (ja) 2013-12-26 2018-04-04 株式会社ジャパンディスプレイ 表示装置
KR101545959B1 (ko) * 2014-02-28 2015-08-21 (주)엔알씨 곡면 액정 패널의 제조 방법, 곡면 액정 패널을 이용한 곡면 액정 디스플레이 모듈
US9373590B1 (en) * 2014-12-30 2016-06-21 International Business Machines Corporation Integrated circuit bonding with interposer die
KR101652812B1 (ko) * 2015-04-27 2016-09-01 삼성전자주식회사 유리 성형 금형 및 이를 이용한 유리 성형방법

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI701090B (zh) * 2019-01-17 2020-08-11 日月光半導體製造股份有限公司 面板處理設備與方法及多段吸附裝置
TWI808793B (zh) * 2022-06-15 2023-07-11 印能科技股份有限公司 利用氣體充壓以抑制載板翹曲之排氣治具裝置及方法

Also Published As

Publication number Publication date
CN110709977A (zh) 2020-01-17
US20200171738A1 (en) 2020-06-04
WO2018221850A1 (ko) 2018-12-06
KR20180130373A (ko) 2018-12-07
US11065805B2 (en) 2021-07-20
KR101930742B1 (ko) 2019-03-11
TWI672750B (zh) 2019-09-21

Similar Documents

Publication Publication Date Title
TWI672750B (zh) 翹曲減少裝置與方法
US9576830B2 (en) Method and apparatus for adjusting wafer warpage
US7144471B2 (en) Bonding method and apparatus
KR20070033038A (ko) 2 개의 웨이퍼의 상호 콘택을 위한 방법 및 디바이스
US9184331B2 (en) Method for reducing tilt of optical unit during manufacture of image sensor
EP2629331A1 (en) Method for reducing tilt of optical unit during manufacture of image sensor
US10591823B2 (en) Substrate processing apparatus and substrate processing method
JPH05251485A (ja) 発光装置のレンズ成形方法
US20140042152A1 (en) Variable frequency microwave device and method for rectifying wafer warpage
KR20140044109A (ko) 플렉시블 디스플레이 장치의 제조방법
KR102512276B1 (ko) 합착장치 및 이를 이용한 합착방법
JP7010638B2 (ja) ダイボンディング装置および半導体装置の製造方法
JP2020102474A (ja) 電子部品の実装装置
KR20200104426A (ko) 본딩 기계용 본딩 툴, 반도체 요소를 본딩하기 위한 본딩 기계, 및 관련 방법
KR20150069867A (ko) 광경화 일체형 오토 클레이브 장치
JP2021153176A (ja) ダイボンディング装置、剥離治具および半導体装置の製造方法
CN110824738A (zh) 固化装置及显示面板的制备方法
TW202119513A (zh) 減少帶狀基板於封膠製程後發生翹曲的方法
KR101016979B1 (ko) 두 개의 플레이트 형태 물체의 본딩 방법 및 장치
KR101730860B1 (ko) 도광판 표면 개질 처리 방법
JP2020177986A (ja) 成膜装置及び成膜方法
CN114318303A (zh) 半导体工艺设备及其使用方法
KR20180027180A (ko) 반도체 패키지 제조용 경화 장치 및 이를 이용한 반도체패키지의 제조방법
KR20240052789A (ko) 곡률 유도에 의한 낮은 뒤틀림 경화 방법론
KR101688009B1 (ko) 표시 장치용 합착 장치 및 합착 방법