TW201826466A - 半導體封裝體、半導體元件及其形成方法 - Google Patents

半導體封裝體、半導體元件及其形成方法 Download PDF

Info

Publication number
TW201826466A
TW201826466A TW106111643A TW106111643A TW201826466A TW 201826466 A TW201826466 A TW 201826466A TW 106111643 A TW106111643 A TW 106111643A TW 106111643 A TW106111643 A TW 106111643A TW 201826466 A TW201826466 A TW 201826466A
Authority
TW
Taiwan
Prior art keywords
die
layer
thermo
electric
mechanical
Prior art date
Application number
TW106111643A
Other languages
English (en)
Other versions
TWI719189B (zh
Inventor
余振華
蘇安治
葉德強
陳憲偉
黃立賢
林岳霆
陳威宇
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201826466A publication Critical patent/TW201826466A/zh
Application granted granted Critical
Publication of TWI719189B publication Critical patent/TWI719189B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • H01L23/373Cooling facilitated by selection of materials for the device or materials for thermal expansion adaptation, e.g. carbon
    • H01L23/3738Semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/19Manufacturing methods of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/20Structure, shape, material or disposition of high density interconnect preforms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/041Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L31/00
    • H01L25/043Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0652Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next and on each other, i.e. mixed assemblies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/10Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers
    • H01L25/105Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers the devices being of a type provided for in group H01L27/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/04105Bonding areas formed on an encapsulation of the semiconductor or solid-state body, e.g. bonding areas on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/12105Bump connectors formed on an encapsulation of the semiconductor or solid-state body, e.g. bumps on chip-scale packages
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/2919Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/4805Shape
    • H01L2224/4809Loop shape
    • H01L2224/48091Arched
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/481Disposition
    • H01L2224/48151Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/48221Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/48225Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/48227Connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation connecting the wire to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73265Layer and wire connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73267Layer and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/922Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
    • H01L2224/9222Sequential connecting processes
    • H01L2224/92242Sequential connecting processes the first connecting process involving a layer connector
    • H01L2224/92244Sequential connecting processes the first connecting process involving a layer connector the second connecting process involving a build-up interconnect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/0651Wire or wire-like electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06548Conductive via connections through the substrate, container, or encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • H01L2225/06568Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking the devices decreasing in size, e.g. pyramidical stack
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06589Thermal management, e.g. cooling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1017All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support
    • H01L2225/1035All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support the device being entirely enclosed by the support, e.g. high-density interconnect [HDI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1041Special adaptations for top connections of the lowermost container, e.g. redistribution layer, integral interposer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1047Details of electrical connections between containers
    • H01L2225/1058Bump or bump-like electrical connections, e.g. balls, pillars, posts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1094Thermal management, e.g. cooling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5389Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates the chips being integrally enclosed by the interconnect and support structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L24/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/42Wire connectors; Manufacturing methods related thereto
    • H01L24/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L24/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L24/80 - H01L24/90
    • H01L24/92Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/10251Elemental semiconductors, i.e. Group IV
    • H01L2924/10252Germanium [Ge]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/10251Elemental semiconductors, i.e. Group IV
    • H01L2924/10253Silicon [Si]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1027IV
    • H01L2924/10271Silicon-germanium [SiGe]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1032III-V
    • H01L2924/10329Gallium arsenide [GaAs]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1032III-V
    • H01L2924/1033Gallium nitride [GaN]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1032III-V
    • H01L2924/10337Indium gallium arsenide [InGaAs]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/141Analog devices
    • H01L2924/142HF devices
    • H01L2924/1421RF devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1431Logic devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1432Central processing unit [CPU]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/14335Digital signal processor [DSP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1434Memory
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • H01L2924/143Digital devices
    • H01L2924/1434Memory
    • H01L2924/1435Random access memory [RAM]
    • H01L2924/1436Dynamic random-access memory [DRAM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/35Mechanical effects
    • H01L2924/351Thermal stress
    • H01L2924/3511Warping

Abstract

一種半導體元件包括:具有功能電路的熱-電-機械(TEM)晶片;貼合至所述熱-電-機械晶片的第一側的第一晶粒;以及位於所述熱-電-機械晶片的所述第一側上且鄰近所述第一晶粒的第一通孔。所述第一通孔電性耦合至所述熱-電-機械晶片。所述半導體元件亦包括:環繞所述熱-電-機械晶片、所述第一晶粒及所述第一通孔的第一模製層,其中所述第一晶粒的上表面及所述第一通孔的上表面與所述第一模製層的上表面齊平。所述半導體元件更包括:位於所述第一模製層的所述上表面上的第一重佈線層,其電性耦合至所述第一通孔及所述第一晶粒。

Description

半導體封裝體、半導體元件及其形成方法
本發明實施例是有關於一種半導體封裝體、半導體元件及其形成方法。
由於各種電子組件(例如,電晶體、二極體、電阻器、電容器等)的積集密度的不斷提高,半導體產業快速發展。在大多數情況下,這種積集密度的提升來自於最小特徵尺寸(minimum feature size)的一再減少,以使得更多組件可以整合於一給定的區域中。近年來,隨著對更小的電子元件的需求增長,對更小且更具創造性的半導體晶粒封裝體技術的需要也逐漸增加。
這些封裝體技術的一個實例是疊層封裝體(Package-on-Package,POP)技術。在疊層封裝體中,頂部半導體封裝體被堆疊於底部半導體封裝體的頂部上,以達到高積集度及組件密度。疊層封裝體技術的高積集度能夠產出具有較多功能性與佔用較小面積(footprint)的半導體元件。
由於半導體封裝體的不同材料的熱膨脹係數(coefficients of thermal expansion,CTE)的不匹配,因此半導體封裝體可能發生翹曲(warpage)。若不加以控制,所述翹曲可能損壞半導體封裝體並降低半導體製造的良率。因此,本領域需要一種半導體封裝體的結構及製造方法的技術以減少翹曲。
本發明實施例提供一種半導體元件,包括熱-電-機械晶片(TEM chip)、第一晶粒、第一通孔、第一模製層以及第一重佈線層。熱-電-機械晶片具有功能電路。第一晶粒貼合至所述熱-電-機械晶片的第一側。第一通孔位於所述熱-電-機械晶片的所述第一側上且鄰近所述第一晶粒。所述第一通孔電性耦合至所述熱-電-機械晶片。第一模製層環繞所述熱-電-機械晶片、所述第一晶粒及所述第一通孔。所述第一晶粒的上表面及所述第一通孔的上表面與所述第一模製層的上表面齊平。第一重佈線層位於所述第一模製層的所述上表面上且電性耦合至所述第一通孔及所述第一晶粒。
本發明實施例提供一種半導體封裝體,包括熱-電-機械晶粒(TEM die)、垂直連接件、第一半導體晶粒以及第一重佈線層。熱-電-機械晶粒嵌於第一模製層中。所述熱-電-機械晶粒具有第一接合墊。所述第一接合墊位於所述熱-電-機械晶粒的第一側上。垂直連接件位於所述第一模製層中及所述熱-電-機械晶粒的所述第一側上。所述垂直連接件電性耦合至所述第一接合墊且自所述熱-電-機械晶粒的所述第一側延伸至所述第一模製層的第一側。第一半導體晶粒位於所述第一模製層中及所述熱-電-機械晶粒的所述第一側上。所述第一半導體晶粒具有第二接合墊。所述第二接合墊位於所述第一半導體晶粒的第一側上。所述第一半導體晶粒的第二側面對所述熱-電-機械晶粒的所述第一側。所述第一半導體晶粒的所述第二側相對於所述第一半導體晶粒的所述第一側。第一重佈線層位於所述第一模製層的所述第一側上並電性耦合至所述垂直連接件及所述第二接合墊。
本發明實施例提供一種半導體元件的形成方法,其步驟如下。將熱-電-機械晶粒貼合至載體。所述熱-電-機械晶粒具有功能電路。在所述熱-電-機械晶粒的第一表面上形成第一通孔。所述第一通孔電性耦合至所述熱-電-機械晶粒。將第一晶粒貼合至所述熱-電-機械晶粒的所述第一表面並鄰近所述第一通孔。在所述載體上形成第一模製層,所述第一模製層環繞所述熱-電-機械晶粒、所述第一晶粒及所述第一通孔。所述第一模製層的第一表面與所述第一晶粒的上表面及所述第一通孔的上表面齊平。在所述第一模製層的所述第一表面上形成第一重佈線層。所述第一重佈線層電性耦合至所述第一晶粒及所述第一通孔。
結合附圖閱讀以下詳細說明,會最佳地理解本發明實施例的各個態樣。應注意,根據本產業中的標準慣例,各種特徵並非按比率繪製。實際上,為論述清晰起見,可任意增大或減小各種特徵的尺寸。
以下揭露內容提供用於實施所提供的標的之不同特徵的許多不同實施例或實例。以下所描述的構件及配置的具體實例是為了以簡化的方式傳達本發明為目的。當然,這些僅僅為實例而非用以限制。舉例來說,於以下描述中,在第二特徵上方或在第二特徵上形成第一特徵可包括第一特徵與第二特徵形成為直接接觸的實施例,且亦可包括第一特徵與第二特徵之間可形成有額外特徵使得第一特徵與第二特徵可不直接接觸的實施例。
另外,為了易於描述附圖中所繪示的一個構件或特徵與另一組件或特徵的關係,本文中可使用例如「在…下」、「在…下方」、「下部」、「在…上」、「在…上方」、「上部」及類似術語的空間相對術語。除了附圖中所繪示的定向之外,所述空間相對術語意欲涵蓋元件在使用或操作時的不同定向。設備可被另外定向(旋轉90度或在其他定向),而本文所用的空間相對術語相應地作出解釋。
圖1A及圖1B分別繪示為具有熱-電-機械(TEM)晶片110的半導體封裝體100在製程的某一階段的俯視圖及剖面圖。圖1B是圖1A中所繪示半導體封裝體100的沿線B-B的剖面圖,而圖1A是圖1B中所繪示半導體封裝體的俯視圖。
參照圖1A及圖1B,藉由例如膠層或晶粒貼合膜(die attaching film,DAF)等介電黏合層121將晶粒120(亦稱作半導體晶粒、晶片、積體電路(integrated circuit,IC)或積體電路晶粒)貼合至熱-電-機械晶片110(亦稱作熱-電-機械晶粒)的上表面110U。在熱-電-機械晶片110的上表面110U上形成垂直連接件229(亦可稱作通孔),其電性耦合至熱-電-機械晶片110。模製層130環繞熱-電-機械晶片110、晶粒120以及垂直連接件229。通孔133延伸並穿過模製層130。應注意的是,為清晰起見,未在圖1A中繪示出安置於熱-電-機械晶片110的上表面110U上的部分模製層130。圖1B亦繪示出位於熱-電-機械晶片110的下表面110L上的介電層111,此介電層111可為膠層或晶粒貼合膜。在某些實施例中,可在模製層130的上表面130U上形成另外的層或結構,例如是重佈線層(redistribution layer,RDL)(未繪示,例如是圖4中的重佈線層250)。所述另外的層或結構電性耦合至晶粒120、通孔133以及熱-電-機械晶片110(例如,經由垂直連接件229)。
在某些實施例中,熱-電-機械晶片110為包含剛性材料(例如,Si、Ge、SiGe、GaN、GaAs或InGnAs)的半導體晶粒,此種剛性材料具有小的熱膨脹係數(例如,熱膨脹係數<3 ppm/℃)。熱-電-機械晶片110的小的熱膨脹係數有助於減少半導體封裝體的翹曲,在下文中將更詳細說明。在本實施例中,熱-電-機械晶片110中具有功能電路。所述功能電路包括形成於半導體基底(例如,Si)中或半導體基底(例如,Si)上並藉由導電線及/或通孔而互連以執行某些設計功能的電子元件(例如,主動元件及/或被動元件)。舉例而言,熱-電-機械晶片110可包括主動元件(例如,電晶體)及/或被動元件(例如,電阻器、電容器、電感器),其形成於矽(Si)基底中/上並藉由所述基底上的金屬層中的內連線結構(例如,導電線及導電通孔)而連接。
舉例來說,熱-電-機械晶片110可為邏輯晶粒(例如,中央處理單元、微控制器等)、記憶體晶粒(例如,動態隨機存取記憶體(dynamic random access memory,DRAM)晶粒、靜態隨機存取記憶體(static random access memory,SRAM)晶粒等)、電力管理晶粒(例如,電力管理積體電路(power management integrated circuit,PMIC)晶粒)、射頻(radio frequency,RF)晶粒、感測器晶粒、微機電系統(micro-electro-mechanical-system,MEMS)晶粒、訊號處理晶粒(例如,數位訊號處理(digital signal processing,DSP)晶粒)、前端(front-end)晶粒(例如,類比前端(analog front-end,AFE)晶粒)、其組合等。
在某些實施例中,熱-電-機械晶片110具有介於約50微米(μm)至約250微米範圍內的厚度T(參見圖1B)。在其他實施例中,亦可以是其他尺寸,熱-電-機械晶片110可具有介於約50微米至約150微米範圍內的厚度T。在一實施例中,熱-電-機械晶片110的下部部分(例如,接近熱-電-機械晶片110的下表面110L的部分)包含例如塊狀矽等剛性塊狀材料。在某些實施例中,熱-電-機械晶片110的下部部分包括超過熱-電-機械晶片110的總厚度T的約90%。在上述實施例中,電子元件(例如,電晶體、電阻器、電容器、電感器)形成在熱-電-機械晶片110的頂部部分(接近上表面110U的部分)中。在某些實施例中,熱-電-機械晶片110的頂部部分包括小於熱-電-機械晶片110的總厚度T的約10%。舉例而言,主動元件可形成在熱-電-機械晶片110的頂部部分中,此頂部部分可具有介於約5微米至約10微米的厚度。在另一實例中,被動元件可形成在熱-電-機械晶片110的頂部部分中,此頂部部分可具有介於約20微米至約30微米的厚度。
如圖1A中所示,熱-電-機械晶片110的表面積大於貼合至熱-電-機械晶片110的晶粒120的表面積。舉例而言,在圖1A的俯視圖中,晶粒120配置在熱-電-機械晶片110的邊界內。在其他實施例中,可將更多個晶粒120貼合至熱-電-機械晶片110的上表面110U(如圖17、圖22及圖23以及其說明所示),在此種情形中,熱-電-機械晶片110的表面積大於貼合至熱-電-機械晶片110的表面(例如,具有接合墊於其上的上表面110U)的所有晶粒120的表面積的總和。如下所述,熱-電-機械晶片110的大的表面積可對應於熱-電-機械晶片110的大尺寸(例如,體積),此可有利於減少半導體封裝體100的翹曲。舉例而言,熱-電-機械晶片110的表面積(例如,上表面110U的面積)可比晶粒120的表面積(例如,上表面積或下表面積)大約1.1倍至約5倍。將兩個或更多個晶粒貼合至熱-電-機械晶片110的上表面的情形中,熱-電-機械晶片110的表面積為所述兩個或更多個晶粒的表面積的總和的約1.1倍至約5倍。在某些實施例中,熱-電-機械晶片的體積介於半導體封裝體100的總體積的50%至約95%之間。
隨著晶片尺寸因較高積集度而不斷縮小,半導體封裝體的扇出比率(例如,半導體封裝體的表面積對所述半導體封裝體內部的半導體晶片的表面積的比率)可增大。此乃因隨著晶片尺寸(例如,體積)的減小,半導體封裝體中的模製材料的相對量(例如,體積百分比)可能必須增大以提供足夠的表面積來容納所述半導體封裝體的內連線結構(例如,重佈線層及模製穿孔)及外部連接件。由於在半導體封裝體中使用大量(相對而言)的模製材料且模製材料具有高的熱膨脹係數,因此大的扇出比率可導致過度的晶圓級及封裝級的翹曲。舉例而言,當將模製材料固化時,可發生顯著的縮小,此可造成晶圓級或封裝級的大的翹曲或者甚至損壞半導體封裝體。因此,利用熱-電-機械晶片110的大尺寸(例如,體積),熱-電-機械晶片110會在半導體封裝體100中佔用先前模製層130所佔據的空間,由此減少半導體封裝體100中的模製材料的量,進而減少半導體封裝體的翹曲。
另外,須知,熱-電-機械晶片110包括小的熱膨脹係數的剛性材料(例如,Si)。因此,大的熱-電-機械晶片110有助於減小半導體封裝體100的總熱膨脹係數。此外,由於晶粒120貼合至熱-電-機械晶片110的上表面110U,因此熱-電-機械晶片110的剛性結構充當模板(template)並迫使晶粒120在熱循環期間保持平直(例如,不翹曲)。
如圖1A中所示,在某些實施例中,熱-電-機械晶片110具有1:1的長寬比(aspect ratio)(例如,在圖1A中的X軸線方向及Y軸線方向上具有相同的尺寸)。舉例而言,熱-電-機械晶片110在圖1A的俯視圖中具有正方形形狀。1:1的長寬比的熱-電-機械晶片110 可減輕不對稱封裝體的翹曲。在傳統半導體封裝體中,當半導體封裝體中被模製材料包封的晶片不具有1:1的長寬比(例如,在俯視圖中具有矩形形狀)時,可能因在封裝體的X方向及Y方向上的模製材料的量的不同而發生不對稱封裝體的翹曲。半導體封裝體100中具有1:1的長寬比的熱-電-機械晶片110能減少在封裝體的X方向及Y方向上的模製材料的不對稱,藉此減少不對稱封裝體的翹曲。儘管1:1的長寬比可為目標長寬比,然而應理解,由於例如設計限制及製造精準度等各種因素,熱-電-機械晶片110的長寬比可接近1:1(例如,略大於1:1或略小於1:1)。長寬比的該些變化完全旨在包含於本發明的範圍內。
如圖1A及圖1B中所示,垂直連接件229形成在熱-電-機械晶片110的上表面110U上並電性耦合至熱-電-機械晶片110。在某些實施例中,垂直連接件229可藉由位於熱-電-機械晶片110的上表面110U上的接合墊(未在圖1A及圖1B中示出,參見例如圖3中的接合墊223)電性耦合至熱-電-機械晶片110。在所示實施例中,垂直連接件229形成在熱-電-機械晶片110的上表面110U上且沿熱-電-機械晶片110的周界(例如,側壁),且熱-電-機械晶片110的上表面110U的中心區無垂直連接件229,藉此在中心區中留出空間以貼合晶粒120。
熱-電-機械晶片110藉由由垂直連接件229電性耦合至其他晶片、封裝體或電力源(例如,電源供應及電性接地)。應注意,晶粒120可藉由介電層121(例如,膠合層或晶粒貼合膜)貼合至熱-電-機械晶片110的上表面110U。因此,熱-電-機械晶片110的上表面110U與晶粒120之間並不會形成外部連接件或焊料接點。在某些實施例中,晶粒120的主動側(例如,用於電性連接的接合墊的一側)與模製層130的上表面130U以及垂直連接件229的上表面及通孔133的上表面齊平。在某些實施例中,後續形成於上表面130U上的重佈線層(參見例如圖4中的重佈線層250)電性耦合至熱-電-機械晶片110(例如,藉由垂直連接件229)、晶粒120以及通孔133。
相較於具有與模製層130的厚度相同的高度H的模製穿孔133(例如,自模製層130的下表面130L延伸至上表面130U的通孔133),垂直連接件229具有更小的高度Hv,且自熱-電-機械晶片110的上表面110U延伸至模製層130的上表面130U。在一些實施例中,垂直連接件229的寬度W1小於模製穿孔133的寬度W6。下文中將參照圖3闡述垂直連接件229的形成方法。
舉例來說,晶粒120可為例如邏輯晶粒、記憶體晶粒、電力管理晶粒、射頻晶粒、感測器晶粒、微機電系統晶粒、訊號處理晶粒、前端晶粒、其組合等任何適合的半導體晶粒。在本發明的其他實施例中,半導體封裝體可在圖1A及圖1B中示出有晶粒120的位置處貼合至熱-電-機械晶片110。貼合至熱-電-機械晶片110的半導體封裝體可包括包封於模製材料中的一個或更多個晶粒,且可包括位於半導體封裝體的模製材料上的重佈線層及位於半導體封裝體的模製材料中的模製穿孔。在所示實施例中,相較於熱-電-機械晶片110的大小而言,貼合至熱-電-機械晶片110的半導體封裝體的尺寸(例如,表面積及/或體積)是小的(例如,半導體封裝體的體積介於熱-電-機械晶片110的體積的約20%與約70%之間),使得熱-電-機械晶片110仍決定性地影響半導體封裝體100且具有減少翹曲的優點。因此,無論是否將晶粒120或半導體封裝體貼合至熱-電-機械晶片110,熱-電-機械晶片110的優點均得以應用。因此,在下文中的說明中,在各種實施例中使用半導體晶粒(例如,圖1A/圖1B中的晶粒120、圖3中的晶粒230以及圖16中的晶粒370/380)來貼合至對應的熱-電-機械晶片,然而應理解,亦可使用半導體封裝體替代半導體晶粒來形成本發明的各種實施例中所示的各種封裝體(例如,多重堆疊式封裝體、多重堆疊疊層封裝體)。
圖2至圖7繪示為依照一實施例的一種具有熱-電-機械晶片的多重堆疊式(MUST)封裝體200在製成的各個階段的剖面圖。為簡潔起見,在圖2至圖7中僅示出一個多重堆疊式封裝體,然而應理解可在載體210上形成數十、數百或甚至數千個半導體封裝體200。在本文中的說明中,將熱-電-機械晶片明確稱作熱-電-機械晶片。
參照圖2,藉由在載體210的第一區中的介電黏合層211而將熱-電-機械晶片110貼合至載體210,並藉由在載體210的第二區中的另一介電黏合層212將晶粒240貼合至載體210。載體210可以是基材(base material),其含有例如矽、聚合物、聚合物複合材料、金屬箔、陶瓷、玻璃、玻璃環氧樹脂、氧化鈹、膠帶或其他適合於結構性支撐的材料等。在某些實施例中,載體210包括玻璃。在某些實施例中,黏合層211及黏合層212可安置或疊層於載體210上。黏合層211或黏合層212可為感光性的且可在後續載體剝離製程中藉由在載體210上照射紫外(ultra-violet,UV)光而輕易地自載體210脫離。舉例而言,黏合層211或黏合層212可為光熱轉換(light-to-heat-conversion,LTHC)塗層,其由明尼蘇達州聖保羅的明尼蘇達礦業及製造公司(Minnesota Mining and Manufacturing Comany,3M Company)所製成的。
如圖2中所更詳細示出,熱-電-機械晶片110包括具有電子電路形成於其中的一個或更多個半導體層221、電性耦合至熱-電-機械晶片110的電子電路的接合墊223、以及位於接合墊223及所述一個或更多個半導體層221上的保護層225。在某些實施例中,熱-電-機械晶片110亦包括電性耦合至接合墊223的導電墊227。導電墊227可另外包括朝著熱-電-機械晶片110的周界(例如,側壁)橫向延伸的一部分,此一部分有時稱作導電路徑226。
在將熱-電-機械晶片110黏合至黏合層221之前,可根據適用的製程來處理熱-電-機械晶片110以在熱-電-機械晶片110中形成積體電路。舉例而言,在具有低的熱膨脹係數(例如,小於3ppm/℃)的剛性基底(例如,Si)中/上形成主動元件(例如,電晶體)及/或被動元件(例如,電阻器、電容器、電感器)並藉由基底上的金屬層中的內連線結構(例如,導電線及導電通孔)將所述主動元件及所述被動元件內連在一起,以形成積體電路。
如圖2中所示,熱-電-機械晶片110更包括接合墊223(例如鋁墊或銅墊),其可進行外部電性連接。接合墊223位於熱-電-機械晶片110的主動側上且電性耦合至熱-電-機械晶片110的積體電路。保護層225位於熱-電-機械晶片110的主動側上及部分接合墊223上。開口穿過保護層225至接合墊223。導電墊227(其包含例如銅等金屬)位在穿過保護層225的所述開口中且機械地及電性地耦合至相對應的接合墊223。導電墊227的形成方法可包括電鍍等。導電墊227可例如藉由接合墊223電性耦合至熱-電-機械晶片110的積體電路。
在某些實施例中,保護層225位於熱-電-機械晶片110的主動側上且與熱-電-機械晶片110橫向相接。儘管在圖2中繪示為一層,但保護層225可包括多個子層。保護層225可包含例如聚苯並噁唑(polybenzoxazole,PBO)、聚醯亞胺、苯環丁烷(benzocyclobuten,BCB)等聚合物、例如氮化矽等氮化物、例如氧化矽、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼矽酸鹽玻璃(borosilicate glass,BSG)、硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)等氧化物或其組合物,並且可例如藉由旋轉塗佈(spin coating)、疊層(lamination)、化學氣相沈積(chemical vapor deposition,CVD)等而形成。
如圖2中所示,藉由介電黏合層212將半導體晶粒240貼合至載體210的一側,其與熱-電-機械晶片110為相同側。晶粒240可包括具有電子元件(例如,電晶體、電阻器、電容器以及電感器)及內連線結構於其上或其中的一個或更多個半導體層241、保護膜243、介電材料245、以及晶粒連接件247。舉例來說,半導體晶粒240可為例如邏輯晶粒、記憶體晶粒、電力管理晶粒、射頻晶粒、感測器晶粒、微機電系統晶粒、訊號處理晶粒、前端晶粒、其組合等任何適合的半導體晶粒。
在將積體電路晶粒240黏合至介電黏合層212之前,可根據適用的製程對積體電路晶粒240進行處理,以在積體電路晶粒240中形成積體電路。舉例而言,積體電路晶粒240包括位於所述一個或更多個半導體層241中的半導體基底。所述半導體基底可包含經摻雜的矽或未經摻雜層的矽,或者是絕緣層上半導體(semiconductor-on-insulator,SOI)基底的主動層。所述半導體基底可包含例如以下其他半導體材料:鍺;化合物半導體,包括碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦以及/或銻化銦;合金半導體,包括SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP以及/或GaInAsP;或者其組合。亦可使用例如多層式基底或梯度基底等其他基底。可在半導體基底中及/或半導體基底上形成例如電晶體、二極體、電容器、電阻器等元件且可藉由內連線結構而對所述元件進行內連,以形成積體電路,所述內連結構包括位於半導體基底上的一個或更多個介電層中的金屬化圖案。
半導體晶粒240更包括進行外部連接的接合墊(圖中未示出)(例如鋁墊或銅墊)。所述接合墊位於積體電路晶粒240的可被稱作主動側的位置上。保護膜243位於積體電路晶粒240上及部分接合墊上。開口穿過保護膜243至接合墊。晶粒連接件247(例如,包含例如銅等金屬)位於穿過保護膜243的開口中且機械地及電性地耦合至相應的接合墊。晶粒連接件247的形成方法包括電鍍等。晶粒連接件247可電性耦合至晶粒240的積體電路。
介電材料245位於積體電路晶粒240的主動側上,例如位於保護膜243及晶粒連接件247上。介電材料245橫向包封晶粒連接件247,且介電材料245與積體電路晶粒240橫向相接。介電材料245可為例如聚苯並噁唑、聚醯亞胺、苯環丁烷等聚合物、例如氮化矽等氮化物、例如氧化矽、磷矽酸鹽玻璃、硼矽酸鹽玻璃、硼磷矽酸鹽玻璃等氧化物或其組合物,並且可例如藉由旋轉塗佈、疊層、化學氣相沈積等而形成。
黏合層212的材料及形成方法可相似於黏合層211的材料及形成方法。此處不再贅述。
接下來,如圖3中所示,在導電路徑226上形成熱-電-機械晶片110的垂直連接件229,且藉由介電黏合層228而將另一半導體晶粒230貼合至熱-電-機械晶片110的主動側。在其他實施例(未單獨示出)中,垂直連接件229可形成接合墊223正上方的部分導電墊227上。儘管在圖3中僅繪示出一個垂直連接件229,然而亦可形成兩個或更多個垂直連接件229。作為形成垂直連接件229的實例,在保護層225上形成晶種層(圖中未示出)。在某些實施例中,晶種層為金屬層,所述金屬層可為單一層或包括由不同材料所形成的多個子層的複合層。在某些實施例中,晶種層包括鈦層及位於鈦層上的銅層。晶種層的形成方法包括物理氣相沈積(physical vapor deposition,PVD)等。接著在晶種層上形成光阻並將所述光阻圖案化。所述光阻可藉由旋轉塗佈法等形成並將所述光阻暴露至光下,以進行圖案化。光阻的圖案對應於垂直連接件229。所述圖案化會形成穿過光阻的開口以暴露出晶種層。在光阻的開口中及晶種層所暴露出的部分上形成導電材料。所述導電材料的形成方法包括電鍍(例如,電鍍或無電電鍍等)。所述導電材料可包括金屬,例如是銅、鈦、鎢、鋁等。接著,將未形成於導電材料上的光阻及部分晶種層移除。移除光阻的方法包括例如使用氧電漿等可接受的灰化製程或剝除製程。移除光阻後,例如使用可接受的蝕刻製程(例如藉由濕式蝕刻(wet etching)或乾式蝕刻(dry etching))來移除晶種層所暴露出的部分。晶種層的剩餘部分及導電材料形成垂直連接件229。在其他實施例中,不使用晶種層,而是在欲形成垂直連接件229的位置處的導電墊227或導電路徑226(例如,當導電墊227或導電路徑226包含銅時)上電鍍導電材料。在所示實施例中,垂直連接件229可在晶粒230貼合至熱-電-機械晶片110之前形成。在其他實施例中,垂直連接件229亦可在晶粒230貼合至熱-電-機械晶片110之後形成。
舉例來說,半導體晶粒230可為例如邏輯晶粒、記憶體晶粒、電力管理晶粒、射頻晶粒、感測器晶粒、微機電系統晶粒、訊號處理晶粒、前端晶粒、其組合等任何適合的半導體晶粒。以晶粒230的主動側面朝上(例如,遠離熱-電-機械晶片110)的方式,將半導體晶粒230貼合至熱-電-機械晶片110。半導體晶粒230及黏合層228的材料及形成方法可分別相似於半導體晶粒240及黏合層211的材料及形成方法。此處不再贅述。
接下來,如圖4中所示,在載體210上形成第一模製層215,其環繞熱-電-機械晶片110、晶粒230、晶粒240以及熱-電-機械晶片110的垂直連接件229。在某些實施例中,第一模製層215的厚度TM相同於熱-電-機械晶片110的厚度、晶粒230的厚度、介電黏合層211的厚度以及介電黏合層228的厚度總和,其中所述厚度是沿與厚度TM相同的方向來量測的。如圖4中所示,第一模製層215的上表面215U與晶粒230的上表面(例如,晶粒230的晶粒連接件237的上表面)及晶粒240的上表面(例如,晶粒240的晶粒連接件247的上表面)齊平。隨後,在第一模製層215、熱-電-機械晶片110、晶粒230、晶粒240以及熱-電-機械晶片110的垂直連接件229上形成重佈線層250。
第一模製層215可為模製化合物、環氧樹脂等且可藉由壓縮模製(compression molding)、轉移模製(transfer molding)等方法來形成。在進行固化之後,第一模製層215可經過例如化學機械平坦化(chemical mechanical planarization,CMP)製程等研磨(grinding)製程,以暴露出垂直連接件229、晶粒連接件237以及晶粒連接件247。在研磨製程之後,垂直連接件229的上表面、晶粒連接件237的上表面、晶粒連接件247的上表面以及第一模製層215的上表面共平面。在某些實施例中,舉例而言,若已暴露出垂直連接件229、晶粒連接件237以及晶粒連接件247,則可省略研磨製程。
在圖4中,在第一模製層215、垂直連接件229、晶粒230以及晶粒240上形成重佈線層250。重佈線層250包括一個或更多個介電層255以及形成於所述一個或更多個介電層255內部的導電特徵(例如導電線251及/或導電通孔253)。重佈線層250的導電特徵可延伸超過晶粒230、晶粒240以及熱-電-機械晶片110的邊界(例如,外部周界或側壁),因此能夠扇出(fan-out)晶粒230、晶粒240及熱-電-機械晶片110。在某些實施例中,重佈線層250的導電特徵電性耦合至晶粒230(例如,經由晶粒連接件237)、晶粒240(例如,經由晶粒連接件247)及熱-電-機械晶片110(例如,經由垂直連接件229),且可在晶粒230、晶粒240以及熱-電-機械晶片110之間提供電性連接。另外,重佈線層250的導電特徵可包括接近重佈線層250的上表面的接觸墊252,用以與後續形成的其他導電特徵(例如,圖5中的通孔269)電性連接。
重佈線層250的介電層255的材料包括低介電常數(低K)介電材料、磷矽酸鹽玻璃(PSG)、硼磷矽酸鹽玻璃(BPSG)、氟矽酸鹽玻璃(fluorinated silicate glass,FSG)、SiOx Cy 、旋塗玻璃(spin-on-glass)、旋塗聚合物(spin-on-polymer)、矽碳材料、聚苯並噁唑、聚醯亞胺、苯環丁烷、其化合物、其複合材料、其組合等。重佈線層250的介電層255的形成方法包括旋轉、化學氣相沈積、電漿增強型化學氣相沈積(plasma-enhanced CVD,PECVD)及/或疊層等任何適合的方法。導電線251及導電通孔253可包含銅、銅合金、其他金屬或合金或者其組合或多層。舉例來說,導電線251及導電通孔253可使用減成法(substractive)及/或鑲嵌法(damascene)來形成。舉例來說,導電線251及導電通孔253可使用一個或更多個濺鍍(sputtering)製程、微影製程、電鍍製程以及光阻剝除製程來形成。重佈線層250的導電特徵亦可使用其他方法來形成。
接下來,在圖5中,在重佈線層250上形成通孔269,其電性耦合至重佈線層250的導電特徵。通孔269的材料及形成方法可相似於熱-電-機械晶片110的垂直連接件229的材料及形成方法。應注意,不同於自熱-電-機械晶片110的主動側延伸至相應模製層(例如,第一模製層215)的上表面的垂直連接件229,通孔269自接觸重佈線層250的相應模製層(例如,圖7中的第二模製層259)的第一表面延伸至所述相應模製層的第二表面,所述第二表面相對於所述第一表面。在某些實施例中,通孔269的高度H2與環繞通孔269的第二模製層259(參見圖7)的厚度H2相同。在所示實施例中,通孔269的寬度W2大於垂直連接件229的寬度W1。但視所使用的光阻的深寬比而定(例如在形成通孔的過程中),通孔269的寬度W2亦可等於或小於垂直連接件229的寬度W1。
在圖6中,藉由介電層(例如黏合層257等)以將晶粒260的主動側背朝重佈線層250的方式,將半導體晶粒260貼合至重佈線層250。舉例來說,半導體晶粒260可為例如邏輯晶粒、記憶體晶粒、電力管理晶粒、射頻晶粒、感測器晶粒、微機電系統晶粒、訊號處理晶粒、前端晶粒、其組合等任何適合的半導體晶粒。半導體晶粒260及黏合層257的材料及形成方法可分別相似於半導體晶粒240及黏合層211的材料及形成方法。此處不再贅述。
接下來,在圖7中,在重佈線層250上形成第二模製層259。第二模製層259環繞通孔269及半導體晶粒260。所沈積的第二模製層259可經歷固化製程及平坦化製程,以暴露出通孔269及晶粒260的晶粒連接件267。第二模製層259的材料及形成方法可相似於第一模製層215的材料及形成方法,此處不再贅述。
在形成第二模製層259之後,在第二模製層259、通孔269以及晶粒260上形成重佈線層270。如圖7中所示,重佈線層270包括例如介電層271、介電層273以及介電層275等多個介電層、以及導電特徵(例如導電線276及導電線272等)。在所示實施例中,藉由例如電鍍製程,在介電層273的凹槽(例如,被導電線272局部填充的凹槽)中共形地形成導電線272。重佈線層270亦可包括接近重佈線層270的上表面的接觸墊,其用於與其他元件或封裝體電性連接。在所示實施例中,重佈線層270包括接近重佈線層270的上表面的凸塊下金屬化(under-bump metallization,UBM)結構278。雖然在圖7中僅示出三個介電層271/273/275,但亦可在重佈線層270中形成任何數目的介電層及任何數目的導電線。
如圖7中所示,連接件280(亦可稱作導電凸塊)可藉由例如凸塊下金屬化結構278電性耦合至重佈線層270。連接件280可配置在凸塊下金屬化結構278上。連接件280可以是球柵陣列(ball grid array,BGA),其包括例如焊料等共晶材料(eutectic material),但亦可使用任何適合的材料。在一實施例中,連接件280可以是焊球,其形成方法可使用例如直接落球(direct ball drop)製程等落球方法。另外,亦可藉由以下步驟來形成焊料球:首先藉由例如蒸鍍(evaporation)、電鍍、印刷、焊料轉移等任何適合的方法形成錫層;接著,進行回焊(reflow)以將所述材料塑形成所期望的凸塊形狀。形成連接件280後,則可執行測試以確保所述結構適合於進一步的處理。
在圖7中所示的處理之後可進行其他處理。舉例而言,圖7中所示的多重堆疊式封裝體200可經過載體剝離製程,以從多重堆疊式封裝體200移除載體210。作為另一實例,在載體剝離製程之後,可執行切割(dicing)製程。在某些實施例中,切割能將形成於載體210上的所述多個半導體封裝體單體化成獨立的封裝體。
圖8至圖18繪示為依照另一實施例的一種具有熱-電-機械晶片的多重堆疊式(MUST)半導體封裝體300在製程的各個階段的剖面圖。為簡潔起見,在圖8至圖18中僅示出一個多重堆疊式封裝體,然而應理解,可在載體310上形成數十、數百或甚至數千個半導體封裝體。
參照圖8,舉例來說,使用旋轉塗佈、疊層或其他方法,在載體310上形成例如黏合層或聚合物系緩衝層等介電層313。在介電層313上形成通孔329。在某些實施例中,通孔329的材料及形成方法相似於圖5中的通孔269的材料及形成方法。
在圖9中,藉由例如介電黏合層315,以將半導體晶粒320貼合至介電層313。在實施例中,介電黏合層315的材料及形成方法相似於圖2中的介電黏合層211的材料及形成方法。在某些實施例中,以半導體晶粒320的主動側(例如,具有接合墊323的側)背朝介電層313的方式,將半導體晶粒320配置在通孔329之間。
接下來,如圖10中所示,在介電層313上形成第一模製層328。第一模製層328環繞通孔329及晶粒320。在沈積第一模製層328之後,可將第一模製層328固化,且可使第一模製層328進一步經歷平坦化製程(例如,化學機械平坦化製程)以獲得與通孔329的上表面及晶粒320的上表面齊平的平坦上表面。隨後,在第一模製層328、通孔329以及晶粒320上形成重佈線層330。重佈線層330包括形成於一個或更多個介電層335中的導電線331及/或導電通孔333。在實施例中,重佈線層330的材料及形成方法相似於圖5中的重佈線層250的材料及形成方法。在各種實施例中,將重佈線層330電性耦合至通孔329及晶粒320,且重佈線層330可在晶粒320與通孔329之間提供電性連接。
參照圖11,在重佈線層330上形成通孔349。在某些實施例中,將通孔349電性耦合至重佈線層330。在實施例中,通孔349的材料及形成方法相似於圖5中的通孔269的材料及形成方法。在所示實施例中,通孔329的寬度W3大於通孔349的寬度W4。但視(例如在形成通孔的過程中)所使用的光阻的深寬比而定,通孔329的寬度W3亦可等於或小於通孔349的寬度W4。
接下來,如圖12中所示,分別藉由介電黏合層,以將晶粒340及晶粒346貼合至重佈線層330。在實施例中,介電黏合層的材料及形成方法相似於圖2中的介電黏合層211的材料及形成方法。如圖12中所示,晶粒340的主動側及晶粒346的主動側背朝重佈線層330。
接下來,如圖13中所示,在重佈線層330上形成第二模製層348。第二模製層348環繞通孔349、晶粒340以及晶粒346。在沈積第二模製層348之後,可將第二模製層348固化,且可使第二模製層348進一步經歷平坦化製程(例如,化學機械平坦化製程)以獲得與通孔349的上表面以及晶粒340的上表面及晶粒346的上表面齊平的平坦上表面。隨後,在第二模製層348、通孔349、晶粒340以及晶粒346上形成重佈線層350。重佈線層350包括形成於一個或更多個介電層中的導電線及/或導電通孔。在實施例中,重佈線層350的材料及形成方法相似於圖5中的重佈線層250的材料及形成方法。在各種實施例中,將重佈線層350電性耦合至通孔349、晶粒340以及晶粒346,且重佈線層350可在晶粒340、晶粒346以及通孔349之間提供電性連接。
在圖14中,在重佈線層350上形成電性耦合至重佈線層350的通孔379。在實施例中,通孔379的材料及形成方法相似於圖5中的通孔269的材料及形成方法。在某些實施例中,通孔379的寬度W5小於或等於通孔349的寬度W4(W5≤W4)。在某些實施例中,通孔349的寬度W4小於或等於通孔329的寬度W3(W4≤W3)。在所示實施例中,寬度W5小於寬度W4,且寬度W4小於寬度W3。舉例而言,每一模製層(例如,第一模製層328、第二模製層348以及第三模製層388(參見圖17))中的通孔的寬度可隨著每一模製層遠離介電層313延伸而接連變小。作為另一實例,當使用通孔329來與另一封裝體(例如,圖19中的封裝體400)進行耦合時,通孔329的寬度W3可大於寬度W4或寬度W5。
在圖15中,藉由介電黏合層355(例如,晶粒貼合膜)而將熱-電-機械晶片110貼合至重佈線層350。以熱-電-機械晶片110的主動側背朝重佈線層350的方式,將熱-電-機械晶片110配置在通孔379之間。在熱-電-機械晶片110的主動側上形成垂直連接件229,且經由例如接合墊223及導電墊227而將垂直連接件229電性耦合至熱-電-機械晶片110。在某些實施例中,垂直連接件229的中心軸線不與接合墊223的中心軸線(例如,沿與重佈線層350的上表面350U垂直的方向延伸的中心軸線)對齊,且朝熱-電-機械晶片110的周界(例如,側壁)移動。此使得在熱-電-機械晶片110的上表面的中心區中留有更多空間,用以在後續處理中貼合半導體晶粒。
接下來,參照圖16,分別藉由介電黏合層368(例如,晶粒貼合膜)及介電黏合層369(例如,晶粒貼合膜)而將半導體晶粒370及半導體晶粒380貼合至熱-電-機械晶片110的上表面。在實施例中,介電黏合層368及介電黏合層369的材料及形成方法相似於圖2中的介電黏合層211的材料及形成方法。半導體晶粒370的主動側及半導體晶粒380的主動側面朝上(例如,遠離熱-電-機械晶片110)。
接下來,在圖17中,在重佈線層350上形成第三模製層388。第三模製層388環繞通孔379、垂直連接件229、熱-電-機械晶片110、晶粒370以及晶粒380。在沈積第三模製層388之後可將第三模製層388固化,且可使第三模製層388進一步經歷平坦化製程(例如,化學機械平坦化製程)以獲得與通孔379的上表面、垂直連接件229的上表面以及晶粒370的上表面及晶粒380的上表面齊平的平坦上表面。在某些實施例中,第三模製層388的厚度TM2等於熱-電-機械晶片110的厚度、貼合至熱-電-機械晶片110的晶粒(例如,晶粒370或晶粒380)的厚度、介電黏合層355的厚度以及對應介電黏合層(例如,介電黏合層368或介電黏合層369)的厚度總和,其中各所述厚度是沿與TM2相同的方向而量測。如圖17中所示,第三模製層388的上表面388U與晶粒370的上表面、晶粒380的上表面、通孔379的上表面以及垂直連接件229的上表面齊平。
隨後,在第三模製層388、通孔379、垂直連接件229、熱-電-機械晶片110、晶粒370以及晶粒380上形成重佈線層390。重佈線層390包括形成於一個或更多個介電層中的導電特徵且重佈線層390可相似於圖7中的重佈線層270。在各種實施例中,將重佈線層390電性耦合至通孔379、熱-電-機械晶片110(例如,經由垂直連接件229)、晶粒370以及晶粒380,且重佈線層390可在熱-電-機械晶片110、晶粒370、晶粒380以及通孔379之間提供電性連接。重佈線層390可包括接近重佈線層390的上表面的接觸墊。在所示實施例中,重佈線層390包括凸塊下金屬化結構398。
在某些實施例中,在凸塊下金屬化結構398上形成電性耦合至重佈線層390的連接件399(亦可稱作導電凸塊)。另外,亦可藉由電性耦合至重佈線層390的導電特徵(例如,微凸塊墊或凸塊下金屬化結構(圖中未示出))而將表面元件394放置成與重佈線層390接觸。可使用表面元件394向半導體晶粒(例如,晶粒370、晶粒380、晶粒340、晶粒346以及晶粒320)、熱-電-機械晶片110或封裝體300的整體提供附加功能或程式設計(programming)。在實施例中,表面元件394可為表面安裝元件(surface mount device,SMD)或積體被動元件(integrated passive device,IPD),所述積體被動元件(IPD)包括例如電阻器、電感器、電容器、跨接線(jumper)、其組合等期望連接至半導體晶粒及熱-電-機械晶片110並與半導體晶粒及熱-電-機械晶片110結合使用的被動元件。
在圖18中,將圖17中的半導體封裝體300翻轉,且將連接件399貼合至由框架395支撐的膠帶393。膠帶393可為切割膠帶(dicing tape),所述切割膠帶可為黏合性的、用於在後續處理中將半導體封裝體300保持在定位上。藉由剝離製程而使載體310自半導體封裝體300脫離(剝離)。剝離製程可使用例如蝕刻、研磨以及機械剝落(mechanical peel off)等任何適合的製程來移除載體310。在某些實施例中,藉由在載體310的表面上照射雷射或紫外光來剝離載體310。雷射或紫外光破壞介電層(例如,黏合層)的對載體310進行結合的化學鍵,且可接著使載體310輕易地脫離。儘管圖中未示出,然而在載體剝離製程之後,可執行切割處理,以將形成於載體310上的所述多個半導體封裝體單體化成獨立的多重堆疊式封裝體300。在載體剝離之後,圖18中所示的半導體封裝體300代表具有熱-電-機械晶片110的多重堆疊式封裝體。
在圖19中,將另一半導體封裝體400貼合至圖18中所示的多重堆疊式封裝體300,以形成具有熱-電-機械晶片110的多重堆疊疊層封裝體500。參照圖19,形成穿過多重堆疊式封裝體300的介電層313以暴露出通孔329的開口。所述開口的形成方法可例如使用雷射鑽孔(laser drilling)、蝕刻等。在某些實施例中,可使用例如焊料印刷機器在開口中沈積焊料膏(solder paste)。接下來,經由半導體封裝體400的連接件430,而將另一半導體封裝體400物理地及電性地耦合至多重堆疊式封裝體300。在半導體封裝體400(亦可稱作頂部封裝體)與半導體封裝體300(亦可稱作底部封裝體)之間的空隙中可形成底部填充材料417。在其他實施例中,為了形成圖19中的多重堆疊疊層封裝體500,在將形成於圖18中的載體310上的所述多個多重堆疊式封裝體300貼合至頂部封裝體400之前,不對所述多個多重堆疊式封裝體300進行切割。相反,可在將頂部封裝體400貼合至相應底部封裝體300之後進行切割。
如圖19中所示,每一頂部封裝體400具有安裝於基底410的上表面410U上的一個或更多個晶粒420。在某些實施例中,半導體晶粒420包括堆疊在一起的多個晶粒。半導體晶粒420可為相同類型的,例如為記憶體晶粒或邏輯晶粒。作為另一選擇,半導體晶粒420可具有不同的功能性,舉例來說,某些晶粒為數位訊號處理晶粒、某些晶粒為邏輯晶粒、而另一些晶粒為記憶體晶粒。在基底410的上表面410U上形成模製層440以包封半導體晶粒420。在某些實施例中,使用例如結合導線、凸塊或球格陣列封裝體(BGA)球等適合的方法將半導體晶粒420的接觸墊或接合墊連接至位於基底410的上表面410U上的接觸墊413。基底410包括導電內連線結構(圖中未示出)。在某些實施例中,將基底410中的內連線結構電性耦合至上表面410U上的接觸墊413以及基底410的下表面上的接觸墊415,所述下表面相對於上表面410U。在所示實施例中,將連接件430結合至接觸墊415。連接件430可為例如銅柱(具有焊料頂蓋)、焊料球等任何適合的連接件。
將頂部封裝體400與底部封裝體300對齊,使得頂部封裝體400的外部連接件430的位置匹配底部封裝體300的通孔329的位置。在某些實施例中,在將頂部封裝體400堆疊於底部封裝體300上之後,可執行回焊製程以將連接件430物理地及電性地與通孔329耦合。回焊製程可在連接件430與對應的通孔329之間形成焊料接點區。在其中連接件430包括焊料球的情形中,回焊製程可在每一接觸墊415與對應的通孔329之間形成焊料接點區。
可選擇性地放置底部填充材料417,以填充頂部封裝體400與底部封裝體300之間的空隙。底部填充材料417的示例性材料包括聚合物及其他適合的非導電性材料,但不僅限於此。底部填充材料417可使用例如針形分配器(needle dispenser)或噴射分配器(jetting dispenser)分配在頂部封裝體400與底部封裝體300之間的空隙中。可執行固化製程,以將底部填充材料417固化。
藉由在半導體封裝體中使用熱-電-機械晶片(例如,熱-電-機械晶片110),以減少半導體封裝體的翹曲。另外,熱-電-機械晶片有助於減少因在封裝體中使用的半導體晶粒的高的長寬比(例如,大於1:1的長寬比)而造成的不對稱封裝體翹曲。因此,可提高半導體封裝體的電性連接的可靠性及半導體製程良率。由於熱-電-機械晶片內部具有功能電路,因此熱-電-機械晶片可用於實施各種設計功能,以提高半導體封裝體的積集密度。在使用表面元件(參見例如圖17中的表面元件394)的實施例中,可使用熱-電-機械晶片來實現表面元件的某些或所有功能中的,以減小表面元件的數目及/或面積,且因此,封裝體能夠有更大表面積,以供外部連接件399使用。舉例而言,位於半導體封裝體上的表面元件的原始數目(例如,在不使用熱-電-機械晶片110的條件下的數目)可介於約10個與約12個之間,且每一表面元件的表面積可為約3平方毫米或小於3平方毫米,熱-電-機械晶片110藉由實現表面元件的某些或所有功能,可將表面元件的數目減小至原始數目的約10%至約40%。作為另一實例,藉由熱-電-機械晶片實現表面元件的某些或所有功能,可將封裝體300中表面元件所佔用的表面積減小為約5平方毫米至約20平方毫米。
圖2至圖8、圖9至圖18以及圖19中所示的實施例示出一個模製層(例如,圖19中的第三模製層388)中的一個熱-電-機械晶片(例如,圖19中的熱-電-機械晶片110)舉例來說明。然而,可在各所述模製層中的任一者中使用熱-電-機械晶片以減少封裝體翹曲。舉例而言,圖19中的第一模製層328、第二模製層348以及第三模製層388中的每一者內部可具有一個或更多個熱-電-機械晶片。另外,視例如熱-電-機械晶片的表面積及貼合至熱-電-機械晶片的晶粒的表面積等因素而定,貼合至每一熱-電-機械晶片的表面的晶粒的數目可為一個、兩個或更多個。在不悖離本發明的精神的條件下可對本文所述所示實施例作出各種潤飾,且該些潤飾中的所有者全部旨在包含於本發明的範圍內。
圖20說明根據實施例的具有熱-電-機械晶片110的整合扇出型(InFO)封裝體600的剖面圖。如圖20中所示,整合扇出型封裝體600包括熱-電-機械晶片110以及半導體晶粒140,半導體晶粒140的非主動側貼合至熱-電-機械晶片110的主動側。模製層148環繞熱-電-機械晶片110、晶粒140以及熱-電-機械晶片110的垂直連接件229。通孔149可選擇性地形成於模製層148內部,且自模製層148的上表面延伸至模製層148的下表面。在某些實施例中,模製層148的厚度TM3等於熱-電-機械晶片110的厚度、晶粒140的厚度、介電黏合層119的厚度以及介電黏合層122的厚度總和,其中各所述厚度是沿與TM3相同的方向而量測。如圖20中所示,模製層148的下表面148L與晶粒140的下表面(例如,晶粒連接件145的下表面145L)、通孔149的下表面以及垂直連接件229的下表面齊平。
在某些實施例中,在熱-電-機械晶片的主動側上形成有第一重佈線層150,第一重佈線層150包括形成於一個或更多個介電層151中的導電線153及/或通孔155。在某些實施例中,第一重佈線層150電性耦合至熱-電-機械晶片110(例如,經由垂直連接件229)、晶粒140以及通孔149,且可在熱-電-機械晶片110、晶粒140以及通孔149之間提供電性連接。在第一重佈線層150的凸塊下金屬化結構158上形成有連接件160(亦可稱作導電凸塊)。在所示實施例中,一個或更多個表面元件164貼合至且電性耦合至第一重佈線層150,且安置於各連接件160之間。在熱-電-機械晶片110的非主動側上可選擇性地形成第二重佈線層170。若形成第二重佈線層170,則第二重佈線層170可包括形成於一個或更多個介電層177中的導電線173及/或通孔175,且可電性耦合至通孔149。
圖21說明根據實施例的具有熱-電-機械晶片110的整合扇出型疊層封裝體(InFO-PoP)700的剖面圖。如圖21中所示,頂部封裝體400機械地及電性地耦合至圖20中所示的整合扇出型封裝體600,以形成整合扇出型疊層封裝體700。在頂部封裝體400與整合扇出型封裝體600之間的空隙中,可放置有底部填充材料246。圖21中的頂部封裝體400可相似於圖19中的頂部封裝體400,因此此處不再贅述。
圖22說明根據實施例的具有熱-電-機械晶片110的整合扇出型多晶片(InFO-M)封裝體800的剖面圖。圖21中的整合扇出型多晶片封裝體800相似於圖20中的整合扇出型封裝體600,但整合扇出型多晶片封裝體800具有兩個貼合至熱-電-機械晶片110的半導體晶粒(例如,晶粒140及晶粒144),而非一個貼合至熱-電-機械晶片110的晶粒。各細節相似於參照圖20所述者,因此此處不再予以贅述。可對圖21所示的實施例作出各種潤飾,例如,可將多於兩個晶粒貼合至熱-電-機械晶片110。
圖23說明根據實施例的具有熱-電-機械晶片110的整合扇出型多晶片疊層封裝體(InFO-M PoP)900的剖面圖。如圖23中所示,頂部封裝體400機械地及電性地耦合至圖22中所示的整合扇出型多晶片封裝體800,以形成整合扇出型多晶片疊層封裝體900。在頂部封裝體400與整合扇出型多晶片封裝體800之間的空隙中,可放置有底部填充材料246。圖23中的頂部封裝體400可相似於圖19中的頂部封裝體400,因此此處不再贅述。
圖24說明根據某些實施例的用於製作半導體結構的方法1000的流程圖。應理解,圖24中所示的實施例方法僅為諸多可能實施例方法的實例。此項技術中具有通常知識者將辨認出諸多變型、替代形式以及潤飾。舉例而言,可對如圖24中所示的各個步驟進行增添、移除、替換、重新排列以及重覆。
如圖24中所示,在步驟1010中,將熱-電-機械(TEM)晶粒貼合至載體,所述熱-電-機械晶粒具有功能電路。在步驟1020中,將第一晶粒貼合至熱-電-機械晶粒的第一表面。在步驟1030中,在熱-電-機械晶粒的第一表面上形成第一通孔,並鄰近第一晶粒,第一通孔電性耦合至熱-電-機械晶粒。在步驟1040中,在載體上形成第一模製層,所述第一模製層環繞熱-電-機械晶粒、第一晶粒以及第一通孔,其中第一模製層的第一表面與第一晶粒的上表面及第一通孔的上表面齊平。在步驟1050中,在第一模製層的第一表面上形成第一重佈線層,所述第一重佈線層電性耦合至第一晶粒及第一通孔。
本文所述某些實施例的有利特徵可包括減少不對稱翹曲的能力及增加組件翹曲的控制。具有低的熱膨脹係數的大的剛性熱-電-機械晶片(例如,圖1A/圖1B中的熱-電-機械晶片110)將決定性地影響(例如,補償、改善或甚至防止)半導體封裝體的晶圓翹曲。由於熱-電-機械晶片在半導體封裝體中佔用空間並使得模製層的體積減小,且由於模製層可具有大的熱膨脹係數,因此熱-電-機械晶片可藉由減小封裝體中的模製層的體積來減少翹曲。對於具有不對稱尺寸(例如,例如矩形形狀等非正方形形狀)的晶粒(例如,圖1A/圖1B中的晶粒120),可發生不對稱封裝體翹曲。具有對稱尺寸(例如,正方形形狀)的熱-電-機械晶片可用於半導體封裝體中,以貼合具有不對稱尺寸的晶粒,藉此減少不對稱封裝體的翹曲。由於熱-電-機械晶片包括功能電路,因此更多功能可整合於半導體封裝體中,藉此提高積集密度並降低成本。某些實施例的另一有利特徵可包括提供初始翹曲調節的能力。作為另一實例,熱-電-機械晶片可用於整合被動組件,以取代先前的表面元件。此可減小在半導體封裝體中使用的表面元件的數目及/或面積,藉此為更多的外部連接件留有更多空間(例如,表面積)。
在一些實施例中,一種半導體元件包括:熱-電-機械晶片(TEM chip),具有功能電路;第一晶粒,貼合至所述熱-電-機械晶片的第一側;以及第一通孔,位於所述熱-電-機械晶片的所述第一側上且鄰近所述第一晶粒,所述第一通孔電性耦合至所述熱-電-機械晶片。所述半導體元件亦包括:第一模製層,環繞所述熱-電-機械晶片、所述第一晶粒及所述第一通孔,其中所述第一晶粒的上表面及所述第一通孔的上表面與所述第一模製層的上表面齊平。所述半導體元件更包括:第一重佈線層,位於所述第一模製層的所述上表面上且電性耦合至所述第一通孔及所述第一晶粒。
根據本發明的一些實施例,在俯視圖中所述第一晶粒安置於所述熱-電-機械晶片的橫向周界內,且所述熱-電-機械晶片具有1:1的長寬比。
根據本發明的一些實施例,所述半導體元件,更包括:第二晶粒、第二模製層、第二重佈線層以及多個通孔。第二晶粒位於所述第一重佈線層上並貼合至所述第一重佈線層。第二模製層位於所述第一重佈線層上及所述第二晶粒周圍。第二重佈線層位於所述第二模製層及所述第二晶粒上。所述第二重佈線層電性耦合至所述第二晶粒。多個通孔位於所述第二模製層中。所述多個通孔自所述第二模製層的第一側延伸至所述第二模製層的第二側,所述第二模製層的所述第二側相對於所述第二模製層的所述第一側。所述第二模製層的所述第一側接觸所述第一重佈線層,且所述多個通孔電性耦合至所述第一重佈線層及所述第二重佈線層。
根據本發明的一些實施例,所述半導體元件,更包括第二通孔。第二通孔位於所述第一模製層中且自所述第一模製層的所述上表面延伸至所述第一模製層的下表面,所述下表面相對於所述上表面。所述第二通孔電性耦合至所述第一重佈線層。
根據本發明的一些實施例,所述半導體元件,更包括第二重佈線層。第二重佈線層位於所述熱-電-機械晶片的第二側上,所述熱-電-機械晶片的所述第二側相對於所述熱-電-機械晶片的所述第一側。所述第二通孔電性耦合至所述第二重佈線層。
根據本發明的一些實施例,所述半導體元件,更包括:半導體封裝體與多個導電凸塊。半導體封裝體位於所述熱-電-機械晶片的所述第二側上且經由所述半導體封裝體的外部連接件電性耦合至所述第二重佈線層。所述多個導電凸塊位於所述第一重佈線層上並電性耦合至所述第一重佈線層。
根據本發明的一些實施例,所述半導體元件,更包括第二晶粒、第二模製層以及第三通孔。所述第二晶粒電性耦合至所述第二重佈線層。所述第二重佈線層位於所述第二晶粒與所述熱-電-機械晶片之間。所述第二模製層位於所述第二晶粒周圍。所述第三通孔位於所述第二模製層中且電性耦合至所述第二重佈線層。
根據本發明的一些實施例,所述半導體元件,更包括:半導體封裝體與導電凸塊。所述半導體封裝體具有外部連接件。所述半導體封裝體的所述外部連接件電性耦合至所述第三通孔。所述第二模製層位於所述半導體封裝體與所述第二重佈線層之間。所述導電凸塊位於所述第一重佈線層上且電性耦合至所述第一重佈線層。
根據本發明的一些實施例,所述半導體元件,更包括第三重佈線層。第三重佈線層位於所述第二模製層上且位於所述半導體封裝體與所述第二模製層之間。所述第三重佈線層電性耦合至所述第三通孔。所述半導體封裝體的所述外部連接件經由所述第三重佈線層電性耦合至所述第三通孔。
根據本發明的一些實施例,所述半導體元件,更包括:半導體封裝體與多個導電凸塊。半導體封裝體位於所述熱-電-機械晶片的第二側上,所述熱-電-機械晶片的所述第二側相對於所述熱-電-機械晶片的所述第一側,且經由所述半導體封裝體的外部連接件電性耦合至所述第二通孔。所述多個導電凸塊位於所述第一重佈線層上且電性耦合至所述第一重佈線層。
根據本發明的一些實施例,所述的半導體元件,更包括第二晶粒。第二晶粒貼合至所述熱-電-機械晶片的所述第一側,其中所述第二晶粒電性耦合至所述第一重佈線層。
根據本發明的一些實施例,所述的半導體元件,更包括第二重佈線層。第二重佈線層位於所述熱-電-機械晶片的第二側上,所述熱-電-機械晶片的所述第二側相對於所述熱-電-機械晶片的所述第一側,其中所述第二通孔電性耦合至所述第二重佈線層。
根據本發明的一些實施例,所述的半導體元件,更包括:半導體封裝體與多個外部連接件。半導體封裝體位於所述熱-電-機械晶片的第二側上,所述熱-電-機械晶片的所述第二側相對於所述熱-電-機械晶片的所述第一側,其中所述半導體封裝體的外部連接件電性耦合至所述第二通孔。所述多個外部連接件,位於所述第一重佈線層上並電性耦合至所述第一重佈線層。
在其他實施例中,一種半導體封裝體包括:熱-電-機械晶粒(TEM die)與垂直連接件。熱-電-機械晶粒嵌於第一模製層中。所述熱-電-機械晶粒具有第一接合墊。所述第一接合墊位於所述熱-電-機械晶粒的第一側上。垂直連接件位於所述第一模製層中及所述熱-電-機械晶粒的所述第一側上。所述垂直連接件電性耦合至所述第一接合墊且自所述熱-電-機械晶粒的所述第一側延伸至所述第一模製層的第一側。所述半導體封裝體亦包括第一半導體晶粒。第一半導體晶粒位於所述第一模製層中及所述熱-電-機械晶粒的所述第一側上。所述第一半導體晶粒具有第二接合墊。所述第二接合墊位於所述第一半導體晶粒的第一側上。所述第一半導體晶粒的第二側面對所述熱-電-機械晶粒的所述第一側。所述第一半導體晶粒的所述第二側相對於所述第一半導體晶粒的所述第一側。所述半導體封裝體更包括第一重佈線層。第一重佈線層位於所述第一模製層的所述第一側上並電性耦合至所述垂直連接件及所述第二接合墊。
根據本發明的一些實施例,所述的半導體封裝體,更包括:第一通孔與第二重佈線層。第一通孔位於所述第一模製層中且鄰近所述熱-電-機械晶粒。所述第一通孔自所述第一模製層的所述第一側延伸至所述第一模製層的第二側,所述第一模製層的所述第二側相對於所述第一模製層的所述第一側。第二重佈線層位於所述第一模製層的所述第二側上。所述第一通孔電性耦合至所述第一重佈線層及所述第二重佈線層。
根據本發明的一些實施例,所述的半導體封裝體,更包括第二半導體封裝體。第二半導體封裝體位於所述第一模製層的所述第二側上。所述第二半導體封裝體經由所述第二半導體封裝體的一個外部連接件或更多個外部連接件電性耦合至所述第二重佈線層。
根據本發明的一些實施例,所述的半導體封裝體,更包括:第二半導體晶粒、第二模製層以及第二通孔。第二半導體晶粒位於所述第二重佈線層上且電性耦合至所述第二重佈線層。第二模製層位於所述第二重佈線層上及所述第二半導體晶粒周圍。第二通孔位於所述第二模製層中且鄰近所述第二半導體晶粒,其中所述第二通孔的高度與所述第二模製層的厚度相同。
在又一實施例中,一種半導體元件的形成方法,其步驟如下。將熱-電-機械晶粒貼合至載體。所述熱-電-機械晶粒具有功能電路。在所述熱-電-機械晶粒的第一表面上形成第一通孔。所述第一通孔電性耦合至所述熱-電-機械晶粒。將第一晶粒貼合至所述熱-電-機械晶粒的所述第一表面並鄰近所述第一通孔。在所述載體上形成第一模製層,所述第一模製層環繞所述熱-電-機械晶粒、所述第一晶粒及所述第一通孔。所述第一模製層的第一表面與所述第一晶粒的上表面及所述第一通孔的上表面齊平。在所述第一模製層的所述第一表面上形成第一重佈線層。所述第一重佈線層電性耦合至所述第一晶粒及所述第一通孔。
根據本發明的一些實施例,所述半導體元件的形成方法,更包括在所述第一模製層中形成第二通孔。所述第二通孔電性耦合至所述第一重佈線層,其中所述第二通孔的高度與所述第一模製層的厚度相同。
根據本發明的一些實施例,所述的半導體元件的形成方法,更包括以下步驟。在所述第一模製層的第二表面上形成第二重佈線層,所述第一模製層的所述第二表面相對於所述第一模製層的所述第一表面。將半導體封裝體機械性地且電性地耦合至所述第二重佈線層。
以上概述了數個實施例的特徵,使本領域技術人員可更加瞭解本發明的態樣。本領域技術人員應理解,其可輕易地使用本發明作為設計或修改其他工藝與結構的依據,以實行本文所介紹的實施例的相同目的及/或達到相同優點。本領域技術人員還應理解,這種等效的配置並不悖離本發明的精神與範疇,且本領域技術人員在不悖離本發明的精神與範疇的情況下可對本文做出各種改變、置換以及變更。
100‧‧‧半導體封裝體
110‧‧‧熱-電-機械晶片/晶片
110L、130L、145L、148L‧‧‧下表面
110U、130U、215U、350U、388U、410U‧‧‧上表面
111、151、177、255、271、273、275、313、335‧‧‧介電層
119、122、315、355、368、369‧‧‧介電黏合層
120、144、340、346‧‧‧晶粒
121‧‧‧介電黏合層/介電層
130、148、440‧‧‧模製層
133‧‧‧通孔/模製穿孔
140、230、260、320、370、380、420‧‧‧半導體晶粒/晶粒
145、237、247、267‧‧‧晶粒連接件
149、155、175、269、329、349、379‧‧‧通孔
150‧‧‧第一重佈線層
153、173、251、272、276、331‧‧‧導電線
158、278、398‧‧‧凸塊下金屬化結構
160、280‧‧‧連接件
164、394‧‧‧表面元件
170‧‧‧第二重佈線層
200‧‧‧多重堆疊式封裝體/半導體封裝體
210、310‧‧‧載體
211、212、228‧‧‧介電黏合層/黏合層
215、328‧‧‧第一模製層
221‧‧‧半導體層/黏合層
223、323‧‧‧接合墊
225、243‧‧‧保護層
226‧‧‧導電路徑
227‧‧‧導電墊
229‧‧‧垂直連接件
240‧‧‧晶粒/半導體晶粒/積體電路晶粒
241‧‧‧半導體層
245‧‧‧介電材料
246、417‧‧‧底部填充材料
250、270、330、350、390‧‧‧重佈線層
252、413、415‧‧‧接觸墊
253、333‧‧‧導電通孔
257‧‧‧黏合層
259、348‧‧‧第二模製層
300‧‧‧多重堆疊式封裝體/封裝體/半導體封裝體/底部封裝體
388‧‧‧第三模製層
393‧‧‧膠帶
395‧‧‧框架
399‧‧‧連接件/外部連接件
400‧‧‧封裝體/半導體封裝體/頂部封裝體
410‧‧‧基底
430‧‧‧外部連接件/連接件
500‧‧‧多重堆疊疊層封裝體
600‧‧‧整合扇出型封裝體
700‧‧‧整合扇出型疊層封裝體
800‧‧‧整合扇出型多晶片封裝體
900‧‧‧整合扇出型多晶片疊層封裝體
1000‧‧‧方法
1010、1020、1030、1040、1050‧‧‧步驟
B-B‧‧‧線
H、Hv‧‧‧高度
H2‧‧‧高度/厚度
T‧‧‧厚度/總厚度
TM、TM2、TM3‧‧‧厚度
W1、W2、W3、W4、W5、W6‧‧‧寬度
X、Y‧‧‧方向
圖1A及圖1B分別繪示為依照一實施例的一種具有熱-電-機械(TEM)晶片的半導體封裝體在製程的某一階段的俯視圖及剖面圖。 圖2至圖7繪示為依照一實施例的一種具有熱-電-機械晶片的多重堆疊式(multi-stacked,MUST)封裝體在製程的各個階段的剖面圖。 圖8至圖18繪示為依照另一實施例的一種具有熱-電-機械晶片的多重堆疊式封裝體在製程的各個階段的剖面圖。 圖19繪示為依照一實施例的一種具有熱-電-機械晶片的多重堆疊疊層封裝體(multi-stacked package-on-package,MUST-PoP)之半導體封裝體的剖面圖。 圖20繪示為依照一實施例的一種具有熱-電-機械晶片的整合扇出型(integrated fan-out,InFO)封裝體的剖面圖。 圖21繪示為依照一實施例的一種具有熱-電-機械晶片的整合扇出型疊層封裝體(integrated fan-out package-on-package,InFO-PoP)之半導體封裝體的剖面圖。 圖22繪示為依照一實施例的一種具有熱-電-機械晶片的整合扇出型多晶片(integrated fan-out multi-chip,InFO-M)封裝體的剖面圖。 圖23繪示為依照一實施例的一種具有熱-電-機械晶片的整合扇出型多晶片疊層封裝體(integrated fan-out multi-chip package-on-package,InFO-M POP)之半導體封裝體的剖面圖。 圖24繪示為依照一實施例的一種形成半導體封裝體的方法的流程圖。

Claims (10)

  1. 一種半導體元件,包括: 熱-電-機械晶片(TEM chip),具有功能電路; 第一晶粒,貼合至所述熱-電-機械晶片的第一側; 第一通孔,位於所述熱-電-機械晶片的所述第一側上且鄰近所述第一晶粒,所述第一通孔電性耦合至所述熱-電-機械晶片; 第一模製層,環繞所述熱-電-機械晶片、所述第一晶粒及所述第一通孔,其中所述第一晶粒的上表面及所述第一通孔的上表面與所述第一模製層的上表面齊平;以及 第一重佈線層,位於所述第一模製層的所述上表面上且電性耦合至所述第一通孔及所述第一晶粒。
  2. 如申請專利範圍第1項所述的半導體元件,其中在俯視圖中所述第一晶粒安置於所述熱-電-機械晶片的橫向周界內,且所述熱-電-機械晶片具有1:1的長寬比,或更包括: 第二晶粒,位於所述第一重佈線層上並貼合至所述第一重佈線層; 第二模製層,位於所述第一重佈線層上及所述第二晶粒周圍; 第二重佈線層,位於所述第二模製層及所述第二晶粒上,所述第二重佈線層電性耦合至所述第二晶粒;以及 多個通孔,位於所述第二模製層中,所述多個通孔自所述第二模製層的第一側延伸至所述第二模製層的第二側,所述第二模製層的所述第二側相對於所述第二模製層的所述第一側,其中所述第二模製層的所述第一側接觸所述第一重佈線層,且所述多個通孔電性耦合至所述第一重佈線層及所述第二重佈線層。
  3. 如申請專利範圍第1項所述的半導體元件,更包括: 第二通孔,位於所述第一模製層中且自所述第一模製層的所述上表面延伸至所述第一模製層的下表面,所述下表面相對於所述上表面,其中所述第二通孔電性耦合至所述第一重佈線層。
  4. 如申請專利範圍第3項所述的半導體元件,更包括: 第二重佈線層,位於所述熱-電-機械晶片的第二側上,所述熱-電-機械晶片的所述第二側相對於所述熱-電-機械晶片的所述第一側,其中所述第二通孔電性耦合至所述第二重佈線層。
  5. 如申請專利範圍第4項所述的半導體元件,更包括: 半導體封裝體,位於所述熱-電-機械晶片的所述第二側上且經由所述半導體封裝體的外部連接件電性耦合至所述第二重佈線層;以及 多個導電凸塊,位於所述第一重佈線層上並電性耦合至所述第一重佈線層,或更包括: 第二晶粒,電性耦合至所述第二重佈線層,其中所述第二重佈線層位於所述第二晶粒與所述熱-電-機械晶片之間; 第二模製層,位於所述第二晶粒周圍; 第三通孔,位於所述第二模製層中且電性耦合至所述第二重佈線層; 半導體封裝體,具有外部連接件,其中所述半導體封裝體的所述外部連接件電性耦合至所述第三通孔,其中所述第二模製層位於所述半導體封裝體與所述第二重佈線層之間;以及 導電凸塊,位於所述第一重佈線層上且電性耦合至所述第一重佈線層,或更包括: 第三重佈線層,位於所述第二模製層上且位於所述半導體封裝體與所述第二模製層之間,所述第三重佈線層電性耦合至所述第三通孔,其中所述半導體封裝體的所述外部連接件經由所述第三重佈線層電性耦合至所述第三通孔。
  6. 如申請專利範圍第3項所述的半導體元件,更包括: 半導體封裝體,位於所述熱-電-機械晶片的第二側上,所述熱-電-機械晶片的所述第二側相對於所述熱-電-機械晶片的所述第一側,且經由所述半導體封裝體的外部連接件電性耦合至所述第二通孔;以及 多個導電凸塊,位於所述第一重佈線層上且電性耦合至所述第一重佈線層,或更包括: 第二晶粒,貼合至所述熱-電-機械晶片的所述第一側,其中所述第二晶粒電性耦合至所述第一重佈線層,或更包括: 第二重佈線層,位於所述熱-電-機械晶片的第二側上,所述熱-電-機械晶片的所述第二側相對於所述熱-電-機械晶片的所述第一側,其中所述第二通孔電性耦合至所述第二重佈線層,或更包括: 半導體封裝體,位於所述熱-電-機械晶片的第二側上,所述熱-電-機械晶片的所述第二側相對於所述熱-電-機械晶片的所述第一側,其中所述半導體封裝體的外部連接件電性耦合至所述第二通孔;以及 多個外部連接件,位於所述第一重佈線層上並電性耦合至所述第一重佈線層。
  7. 一種半導體封裝體,包括: 熱-電-機械晶粒(TEM die),嵌於第一模製層中,所述熱-電-機械晶粒具有第一接合墊,所述第一接合墊位於所述熱-電-機械晶粒的第一側上; 垂直連接件,位於所述第一模製層中及所述熱-電-機械晶粒的所述第一側上,其中所述垂直連接件電性耦合至所述第一接合墊且自所述熱-電-機械晶粒的所述第一側延伸至所述第一模製層的第一側; 第一半導體晶粒,位於所述第一模製層中及所述熱-電-機械晶粒的所述第一側上,其中所述第一半導體晶粒具有第二接合墊,所述第二接合墊位於所述第一半導體晶粒的第一側上,其中所述第一半導體晶粒的第二側面對所述熱-電-機械晶粒的所述第一側,所述第一半導體晶粒的所述第二側相對於所述第一半導體晶粒的所述第一側;以及 第一重佈線層,位於所述第一模製層的所述第一側上並電性耦合至所述垂直連接件及所述第二接合墊。
  8. 如申請專利範圍第7項所述的半導體封裝體,更包括: 第一通孔,位於所述第一模製層中且鄰近所述熱-電-機械晶粒,其中所述第一通孔自所述第一模製層的所述第一側延伸至所述第一模製層的第二側,所述第一模製層的所述第二側相對於所述第一模製層的所述第一側; 第二重佈線層,位於所述第一模製層的所述第二側上,其中所述第一通孔電性耦合至所述第一重佈線層及所述第二重佈線層,或更包括: 第二半導體封裝體,位於所述第一模製層的所述第二側上,其中所述第二半導體封裝體經由所述第二半導體封裝體的一個外部連接件或更多個外部連接件電性耦合至所述第二重佈線層, 或更包括: 第一通孔,位於所述第一模製層中且鄰近所述熱-電-機械晶粒,其中所述第一通孔自所述第一模製層的所述第一側延伸至所述第一模製層的第二側,所述第一模製層的所述第二側相對於所述第一模製層的所述第一側; 第二重佈線層,位於所述第一模製層的所述第二側上,其中所述第一通孔電性耦合至所述第一重佈線層及所述第二重佈線層; 第二半導體晶粒,位於所述第二重佈線層上且電性耦合至所述第二重佈線層; 第二模製層,位於所述第二重佈線層上及所述第二半導體晶粒周圍;以及 第二通孔,位於所述第二模製層中且鄰近所述第二半導體晶粒,其中所述第二通孔的高度與所述第二模製層的厚度相同。
  9. 一種半導體元件的形成方法,包括: 將熱-電-機械(TEM)晶粒貼合至載體,所述熱-電-機械晶粒具有功能電路; 在所述熱-電-機械晶粒的第一表面上形成第一通孔,所述第一通孔電性耦合至所述熱-電-機械晶粒; 將第一晶粒貼合至所述熱-電-機械晶粒的所述第一表面並鄰近所述第一通孔; 在所述載體上形成第一模製層,所述第一模製層環繞所述熱-電-機械晶粒、所述第一晶粒及所述第一通孔,其中所述第一模製層的第一表面與所述第一晶粒的上表面及所述第一通孔的上表面齊平;以及 在所述第一模製層的所述第一表面上形成第一重佈線層,所述第一重佈線層電性耦合至所述第一晶粒及所述第一通孔。
  10. 如申請專利範圍第9項所述的半導體元件的形成方法,更包括在所述第一模製層中形成第二通孔,所述第二通孔電性耦合至所述第一重佈線層,其中所述第二通孔的高度與所述第一模製層的厚度相同,或更包括: 在所述第一模製層的第二表面上形成第二重佈線層,所述第一模製層的所述第二表面相對於所述第一模製層的所述第一表面;以及 將半導體封裝體機械性地且電性地耦合至所述第二重佈線層。
TW106111643A 2016-08-18 2017-04-07 半導體封裝體、半導體元件及其形成方法 TWI719189B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662376726P 2016-08-18 2016-08-18
US62/376,726 2016-08-18
US15/366,654 US10672741B2 (en) 2016-08-18 2016-12-01 Semiconductor packages with thermal-electrical-mechanical chips and methods of forming the same
US15/366,654 2016-12-01

Publications (2)

Publication Number Publication Date
TW201826466A true TW201826466A (zh) 2018-07-16
TWI719189B TWI719189B (zh) 2021-02-21

Family

ID=61192109

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106111643A TWI719189B (zh) 2016-08-18 2017-04-07 半導體封裝體、半導體元件及其形成方法

Country Status (3)

Country Link
US (2) US10672741B2 (zh)
CN (1) CN107768351B (zh)
TW (1) TWI719189B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI672784B (zh) * 2018-07-31 2019-09-21 台達電子工業股份有限公司 封裝結構及封裝結構的製造方法
TWI760061B (zh) * 2020-01-17 2022-04-01 台灣積體電路製造股份有限公司 具有加大的連通柱的封裝件及其製造方法

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11069734B2 (en) 2014-12-11 2021-07-20 Invensas Corporation Image sensor device
US10204893B2 (en) 2016-05-19 2019-02-12 Invensas Bonding Technologies, Inc. Stacked dies and methods for forming bonded structures
US9825007B1 (en) 2016-07-13 2017-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure with molding layer and method for forming the same
US11469215B2 (en) * 2016-07-13 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure with molding layer and method for forming the same
US11625523B2 (en) 2016-12-14 2023-04-11 iCometrue Company Ltd. Logic drive based on standard commodity FPGA IC chips
TWI765944B (zh) 2016-12-14 2022-06-01 成真股份有限公司 標準大宗商品化現場可編程邏輯閘陣列(fpga)積體電路晶片組成之邏輯驅動器
US10879212B2 (en) 2017-05-11 2020-12-29 Invensas Bonding Technologies, Inc. Processed stacked dies
US10217720B2 (en) 2017-06-15 2019-02-26 Invensas Corporation Multi-chip modules formed using wafer-level processing of a reconstitute wafer
US10447274B2 (en) 2017-07-11 2019-10-15 iCometrue Company Ltd. Logic drive based on standard commodity FPGA IC chips using non-volatile memory cells
US10957679B2 (en) 2017-08-08 2021-03-23 iCometrue Company Ltd. Logic drive based on standardized commodity programmable logic semiconductor IC chips
US10636757B2 (en) * 2017-08-29 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit component package and method of fabricating the same
US10630296B2 (en) 2017-09-12 2020-04-21 iCometrue Company Ltd. Logic drive with brain-like elasticity and integrality based on standard commodity FPGA IC chips using non-volatile memory cells
US10957672B2 (en) * 2017-11-13 2021-03-23 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of manufacturing the same
US10608642B2 (en) 2018-02-01 2020-03-31 iCometrue Company Ltd. Logic drive using standard commodity programmable logic IC chips comprising non-volatile radom access memory cells
US10692996B1 (en) * 2018-02-05 2020-06-23 United States Of America As Represented By The Secretary Of The Air Force Systems, methods and apparatus for radio frequency devices
US10623000B2 (en) 2018-02-14 2020-04-14 iCometrue Company Ltd. Logic drive using standard commodity programmable logic IC chips
US10573573B2 (en) * 2018-03-20 2020-02-25 Taiwan Semiconductor Manufacturing Co., Ltd. Package and package-on-package structure having elliptical conductive columns
US11735570B2 (en) * 2018-04-04 2023-08-22 Intel Corporation Fan out packaging pop mechanical attach method
US10847505B2 (en) 2018-04-10 2020-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-chip semiconductor package
US11276676B2 (en) * 2018-05-15 2022-03-15 Invensas Bonding Technologies, Inc. Stacked devices and methods of fabrication
US10608638B2 (en) 2018-05-24 2020-03-31 iCometrue Company Ltd. Logic drive using standard commodity programmable logic IC chips
US11462419B2 (en) 2018-07-06 2022-10-04 Invensas Bonding Technologies, Inc. Microelectronic assemblies
WO2020010136A1 (en) 2018-07-06 2020-01-09 Invensas Bonding Technologies, Inc. Molded direct bonded and interconnected stack
US10892011B2 (en) 2018-09-11 2021-01-12 iCometrue Company Ltd. Logic drive using standard commodity programmable logic IC chips comprising non-volatile random access memory cells
US11309334B2 (en) 2018-09-11 2022-04-19 iCometrue Company Ltd. Logic drive using standard commodity programmable logic IC chips comprising non-volatile random access memory cells
US10937762B2 (en) 2018-10-04 2021-03-02 iCometrue Company Ltd. Logic drive based on multichip package using interconnection bridge
US11616046B2 (en) 2018-11-02 2023-03-28 iCometrue Company Ltd. Logic drive based on chip scale package comprising standardized commodity programmable logic IC chip and memory IC chip
US11211334B2 (en) 2018-11-18 2021-12-28 iCometrue Company Ltd. Logic drive based on chip scale package comprising standardized commodity programmable logic IC chip and memory IC chip
WO2020150159A1 (en) 2019-01-14 2020-07-23 Invensas Bonding Technologies, Inc. Bonded structures
US11024586B2 (en) * 2019-01-22 2021-06-01 Advanced Semiconductor Engineering, Inc. Semiconductor device package and method of manufacturing the same
US11600590B2 (en) * 2019-03-22 2023-03-07 Advanced Semiconductor Engineering, Inc. Semiconductor device and semiconductor package
CN113811804A (zh) * 2019-04-04 2021-12-17 洛克利光子有限公司 光学引擎
US11296053B2 (en) 2019-06-26 2022-04-05 Invensas Bonding Technologies, Inc. Direct bonded stack structures for increased reliability and improved yield in microelectronics
CN112151516A (zh) * 2019-06-28 2020-12-29 台湾积体电路制造股份有限公司 封装
US10985154B2 (en) 2019-07-02 2021-04-20 iCometrue Company Ltd. Logic drive based on multichip package comprising standard commodity FPGA IC chip with cryptography circuits
US11227838B2 (en) 2019-07-02 2022-01-18 iCometrue Company Ltd. Logic drive based on multichip package comprising standard commodity FPGA IC chip with cooperating or supporting circuits
US11887930B2 (en) 2019-08-05 2024-01-30 iCometrue Company Ltd. Vertical interconnect elevator based on through silicon vias
WO2020123001A1 (en) * 2019-09-05 2020-06-18 Futurewei Technologies, Inc. Multi-side power delivery in stacked memory packaging
CN112490129A (zh) * 2019-09-11 2021-03-12 华邦电子股份有限公司 半导体封装及其制造方法
US11088125B2 (en) 2019-09-17 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. IPD modules with flexible connection scheme in packaging
US11637056B2 (en) 2019-09-20 2023-04-25 iCometrue Company Ltd. 3D chip package based on through-silicon-via interconnection elevator
US11251119B2 (en) * 2019-09-25 2022-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure, package-on-package structure and method of fabricating the same
US11621244B2 (en) * 2019-11-15 2023-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
US11600526B2 (en) 2020-01-22 2023-03-07 iCometrue Company Ltd. Chip package based on through-silicon-via connector and silicon interconnection bridge
US11631647B2 (en) 2020-06-30 2023-04-18 Adeia Semiconductor Bonding Technologies Inc. Integrated device packages with integrated device die and dummy element
US11764177B2 (en) 2020-09-04 2023-09-19 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
US11728273B2 (en) 2020-09-04 2023-08-15 Adeia Semiconductor Bonding Technologies Inc. Bonded structure with interconnect structure
KR20220117032A (ko) * 2021-02-16 2022-08-23 삼성전자주식회사 반도체 패키지
US20230060520A1 (en) * 2021-08-27 2023-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor package and semiconductor device

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003023138A (ja) * 2001-07-10 2003-01-24 Toshiba Corp メモリチップ及びこれを用いたcocデバイス、並びに、これらの製造方法
US20080174008A1 (en) * 2007-01-18 2008-07-24 Wen-Kun Yang Structure of Memory Card and the Method of the Same
US8759964B2 (en) 2007-07-17 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer level package structure and fabrication methods
WO2009022991A1 (en) 2007-08-14 2009-02-19 Agency For Science, Technology And Research Die package and method for manufacturing the die package
JP5423880B2 (ja) 2010-04-07 2014-02-19 株式会社島津製作所 放射線検出器およびそれを製造する方法
US9048233B2 (en) 2010-05-26 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package systems having interposers
US8361842B2 (en) 2010-07-30 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded wafer-level bonding approaches
US9064879B2 (en) 2010-10-14 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods and structures using a die attach film
US8884431B2 (en) 2011-09-09 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods and structures for semiconductor devices
US9905520B2 (en) * 2011-06-16 2018-02-27 Taiwan Semiconductor Manufacturing Company, Ltd. Solder ball protection structure with thick polymer layer
US8829676B2 (en) 2011-06-28 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure for wafer level package
US8754514B2 (en) 2011-08-10 2014-06-17 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-chip wafer level package
US9000584B2 (en) 2011-12-28 2015-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor device with a molding compound and a method of forming the same
US8680647B2 (en) 2011-12-29 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with passive devices and methods of forming the same
CN102593110B (zh) 2012-01-05 2015-07-15 三星半导体(中国)研究开发有限公司 超细间距焊盘的叠层倒装芯片封装结构及底填充制造方法
US9111949B2 (en) 2012-04-09 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus of wafer level package for heterogeneous integration technology
US8703542B2 (en) 2012-05-18 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer-level packaging mechanisms
US9991190B2 (en) 2012-05-18 2018-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging with interposer frame
US8809996B2 (en) 2012-06-29 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Package with passive devices and method of forming the same
US8975726B2 (en) 2012-10-11 2015-03-10 Taiwan Semiconductor Manufacturing Company, Ltd. POP structures and methods of forming the same
US8785299B2 (en) 2012-11-30 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Package with a fan-out structure and method of forming the same
US8803306B1 (en) 2013-01-18 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out package structure and methods for forming the same
US8778738B1 (en) 2013-02-19 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor devices and packaging devices and methods
US9263511B2 (en) 2013-02-11 2016-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Package with metal-insulator-metal capacitor and method of manufacturing the same
US9048222B2 (en) 2013-03-06 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating interconnect structure for package-on-package devices
US20140264831A1 (en) * 2013-03-14 2014-09-18 Thorsten Meyer Chip arrangement and a method for manufacturing a chip arrangement
US9368460B2 (en) 2013-03-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out interconnect structure and method for forming same
US8877554B2 (en) 2013-03-15 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor devices, methods of packaging semiconductor devices, and PoP devices
US8669140B1 (en) 2013-04-04 2014-03-11 Freescale Semiconductor, Inc. Method of forming stacked die package using redistributed chip packaging
US9972602B2 (en) * 2015-02-23 2018-05-15 Marvell World Trade Ltd. Method and apparatus for interconnecting stacked dies using metal posts
US9806063B2 (en) * 2015-04-29 2017-10-31 Qualcomm Incorporated Reinforced wafer level package comprising a core layer for reducing stress in a solder joint and improving solder joint reliability
US9761534B2 (en) * 2015-09-21 2017-09-12 Mediatek Inc. Semiconductor package, semiconductor device using the same and manufacturing method thereof
US10490528B2 (en) * 2015-10-12 2019-11-26 Invensas Corporation Embedded wire bond wires
TWI576928B (zh) * 2015-10-21 2017-04-01 力成科技股份有限公司 模封互連基板及其製造方法
US9773757B2 (en) * 2016-01-19 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Devices, packaged semiconductor devices, and semiconductor device packaging methods

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI672784B (zh) * 2018-07-31 2019-09-21 台達電子工業股份有限公司 封裝結構及封裝結構的製造方法
US10573618B1 (en) 2018-07-31 2020-02-25 Delta Electronics, Inc. Package structures and methods for fabricating the same
TWI760061B (zh) * 2020-01-17 2022-04-01 台灣積體電路製造股份有限公司 具有加大的連通柱的封裝件及其製造方法
US11515224B2 (en) 2020-01-17 2022-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Packages with enlarged through-vias in encapsulant
US11823969B2 (en) 2020-01-17 2023-11-21 Taiwan Semiconductor Manufacturing Co., Ltd. Packages with enlarged through-vias in encapsulant

Also Published As

Publication number Publication date
US20180053746A1 (en) 2018-02-22
CN107768351A (zh) 2018-03-06
US10720409B2 (en) 2020-07-21
TWI719189B (zh) 2021-02-21
US20180374824A1 (en) 2018-12-27
US10672741B2 (en) 2020-06-02
CN107768351B (zh) 2021-04-27

Similar Documents

Publication Publication Date Title
TWI719189B (zh) 半導體封裝體、半導體元件及其形成方法
US11189603B2 (en) Semiconductor packages and methods of forming same
US10522490B2 (en) Semiconductor package and method of forming the same
TWI683401B (zh) 半導體結構及其形成方法
US20210143131A1 (en) Device and Method for UBM/RDL Routing
TW201923984A (zh) 半導體封裝及其形成方法
TWI791336B (zh) 半導體封裝及其製造方法
US11049805B2 (en) Semiconductor package and method
KR20190055692A (ko) 반도체 패키지들 내의 금속화 패턴들 및 그 형성 방법들
TWI789726B (zh) 封裝結構及其製造方法
TWI744628B (zh) 晶片封裝件及其製作方法
TWI724653B (zh) 半導體裝置及其形成方法
TW202022954A (zh) 半導體結構及其形成方法
US20230109128A1 (en) Heat Dissipation in Semiconductor Packages and Methods of Forming Same
TWI790702B (zh) 半導體封裝及製造半導體封裝的方法
KR102557597B1 (ko) 반도체 패키징 및 그 형성 방법
TW202310306A (zh) 半導體封裝及其製造方法
TW202401695A (zh) 半導體封裝及方法
TW202410342A (zh) 半導體封裝及其製造方法
TW202310265A (zh) 封裝結構及其製造方法