TW201802468A - 量測電鍍槽元件之狀態的設備與相關方法 - Google Patents

量測電鍍槽元件之狀態的設備與相關方法 Download PDF

Info

Publication number
TW201802468A
TW201802468A TW106112701A TW106112701A TW201802468A TW 201802468 A TW201802468 A TW 201802468A TW 106112701 A TW106112701 A TW 106112701A TW 106112701 A TW106112701 A TW 106112701A TW 201802468 A TW201802468 A TW 201802468A
Authority
TW
Taiwan
Prior art keywords
conductive pads
conductive
semiconductor processing
measuring
measurement
Prior art date
Application number
TW106112701A
Other languages
English (en)
Other versions
TWI735566B (zh
Inventor
馬克 E 艾默生
史蒂芬 T 邁爾
勞倫斯 奧索夫斯基
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201802468A publication Critical patent/TW201802468A/zh
Application granted granted Critical
Publication of TWI735566B publication Critical patent/TWI735566B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • H01L22/34Circuits for electrically characterising or monitoring manufacturing processes, e. g. whole test die, wafers filled with test structures, on-board-devices incorporated on each die, process control monitors or pad structures thereof, devices in scribe line
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/26Testing of individual semiconductor devices
    • G01R31/2601Apparatus or methods therefor
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/001Apparatus specially adapted for electrolytic coating of wafers, e.g. semiconductors or solar cells
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/005Contacting devices
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D17/00Constructional parts, or assemblies thereof, of cells for electrolytic coating
    • C25D17/06Suspending or supporting devices for articles to be coated
    • CCHEMISTRY; METALLURGY
    • C25ELECTROLYTIC OR ELECTROPHORETIC PROCESSES; APPARATUS THEREFOR
    • C25DPROCESSES FOR THE ELECTROLYTIC OR ELECTROPHORETIC PRODUCTION OF COATINGS; ELECTROFORMING; APPARATUS THEREFOR
    • C25D21/00Processes for servicing or operating cells for electrolytic coating
    • C25D21/12Process control or regulation
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/26Testing of individual semiconductor devices
    • G01R31/2607Circuits therefor
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/44Testing lamps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/14Measuring as part of the manufacturing process for electrical parameters, e.g. resistance, deep-levels, CV, diffusions by electrical means

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrochemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Automation & Control Theory (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Sustainable Development (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Health & Medical Sciences (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)

Abstract

一種測量在一電鍍設備內之電接觸件之電特性的裝置,其具有類似晶圓的一盤狀結構。多個導電的墊係加以形成,以共同界定該盤狀結構的外周緣。導電的墊之相鄰配置者係彼此電隔離。該裝置包含一電流源,該電流源在一第一端子供應電流且在一第二端子汲取電流。該裝置包含測量電路,該測量電路具有第一及第二輸入端子,該測量電路基於在該第一及第二輸入端子存在的訊號判定一電參數的值。該裝置包含開關電路,該開關電路用於在一給定時間,將該等導電的墊之其中被選擇者連接至該電流源的該第一及第二端子,及連接至該測量電路的該第一及第二輸入端子。該裝置亦包含一電源供應器。

Description

量測電鍍槽元件之狀態的設備與相關方法
本發明關於半導體元件製造。
一些半導體裝置製造製程包含將材料電鍍至半導體晶圓(以下稱「晶圓」)之上。電鍍可在電鍍槽中進行,其中具有導電晶種層存在於其上的晶圓係配置成物理接觸繞晶圓周緣配置的多個電接觸件。此外,在一些配置中,當晶圓係配置成物理接觸多個電接觸件時,晶圓亦也配置成使得晶圓的周緣部分物理接觸唇封構件,以盡可能防止電鍍溶液到達該多個電接觸件。在此等配置中,在晶圓與唇封構件之間的密封可能不是完美的,且可能隨著多次電鍍循環而劣化,從而不利地允許一些量的電鍍溶液到達一些電接觸件。
電流係以受控制的方式被引導流經多個電接觸件,以使在晶圓上存在的晶種層帶電,且從而誘發導致將材料電鍍在晶圓上的反應。隨著電鍍循環的數目增加,電鍍材料可能積聚在一些電接觸件上及/或在唇封構件上,且導致在沿延伸至晶圓之一個以上導電路徑的電阻發生變化。沿著通至晶圓之一給定導電路徑之電阻的變化可能影響在晶圓上的電鍍結果。舉例而言,沿者通至晶圓之一給定導電路徑之增加的電阻可能減少沿該給定導電路徑之電流的量,及導致在晶圓上對應於該給定導電路徑之物理接觸位置附近之電鍍材料的量(例如厚度)局部減少。
鑑於上述情況,應理解需要識別多個電接觸件的哪一者及/或唇封構件的哪個部分已經經歷不期望的電鍍,且到達了沿相應導電路徑之電阻的變化可能不利地影響在晶圓上之電鍍結果的程度。這是本發明之內容產生的背景。
在一示例實施例中,揭示一種測量在一半導體處理設備之內之導電路徑之電特性的裝置。該半導體處理設備係配置成當在一晶圓上執行一製程時,將該等導電路徑電連接至該晶圓的一表面。該裝置包含一盤狀結構,該盤狀結構的外徑實質上等於該晶圓的外徑。該盤狀結構的整體厚度實質上類似於該晶圓的整體厚度。該裝置包含沿該盤狀結構之第一側的外周緣形成的多個導電的墊。該多個導電的墊之相鄰配置者係彼此電隔離。該多個導電的墊共同界定該盤狀結構之該第一側的該外周緣。該裝置包含具有一第一端子及一第二端子的一電源。當該盤狀結構係配置在該半導體處理設備之內時,該電源係配置成經由在該第一端子與該第二端子之間延伸的一導電路徑及經由該半導體處理設備的一部分供應電功率。該第一端子係電連接至該多個導電的墊之其中至少一者的一第一集合。該第二端子係電連接至該多個導電的墊之其中至少一者的一第二集合。該多個導電的墊之該第二集合係不包括該多個導電的墊之該第一集合。該多個導電的墊之該第一及第二集合形成在該第一端子及該第二端子之間延伸之該導電路徑的一部分。該裝置包含具有一第一輸入端子及一第二輸入端子的測量電路。該測量電路的該第一輸入端子係電連接至該多個導電的墊之一選擇的第一者。該測量電路的該第二輸入端子係電連接至該多個導電的墊之一選擇的第二者。該測量電路係配置成基於在該多個導電的墊之該選擇的第一及第二者處存在的電訊號,判定一電參數的值。該裝置亦包含配置在該盤狀結構上的一電源供應器。該電源供應器係加以連接,以將電功率供應至在該盤狀結構上的所有電動元件。
在一示例實施例中,揭示一種測量在一半導體處理設備之內之導電路徑之電特性的方法。該半導體處理設備係配置成當在一晶圓上執行一製程時,將該等導電路徑電連接至該晶圓的一表面。該方法包含在該半導體處理設備之內配置一測量裝置。該測量裝置具有一盤狀結構,其中該盤狀結構的外徑實質上等於該晶圓的外徑,且其中該盤狀結構的整體厚度實質上類似於該晶圓的整體厚度。該測量裝置係配置在該半導體處理設備之內,使得沿該測量裝置之第一側的外周緣形成之多個導電的墊物理接觸在該半導體處理設備之內的電接觸件。該多個導電的墊之相鄰配置者係在該測量裝置中彼此電隔離。該多個導電的墊共同界定該測量裝置之該第一側的該外周緣。該方法包含操作該測量裝置以經由一導電路徑供應電功率,該導電路徑包括該多個導電的墊之其中至少一者的一第一集合及該多個導電的墊之其中至少一者的一第二集合。該多個導電的墊之該第二集合係不包括該多個導電的墊之該第一集合。該方法包含操作該測量裝置以測量在該多個導電的墊之一選擇的第一者及在該多個導電的墊之一選擇的第二者處存在的電訊號。該方法亦包含操作該測量裝置,以使用該測量的電訊號,針對延伸穿過該半導體處理設備的一部分且包含該多個導電的墊之該選擇的第一者及該多個導電的墊之該選擇的第二者的一導電路徑,判定一特徵參數。
在一示例實施例中,揭示一種測量在一半導體處理設備之內之導電路徑之電特性的系統。該半導體處理設備係配置成當在一晶圓上執行一製程時,將該等導電路徑電連接至該晶圓的一表面。該系統包含具有一盤狀結構的一測量裝置,其中該盤狀結構的外徑實質上等於該晶圓的外徑,且其中該盤狀結構的整體厚度實質上類似於該晶圓的整體厚度。該測量裝置包含多個導電的墊,該多個導電的墊沿該盤狀結構之第一側的外周緣加以形成。該多個導電的墊之相鄰配置者係彼此電隔離。該多個導電的墊共同界定該盤狀結構之該第一側的該外周緣。該測量裝置包含一電源,該電源具有一第一端子及一第二端子。當該測量裝置係配置在該半導體處理設備之內時,該電源係配置成經由在該第一端子與該第二端子之間延伸的一導電路徑及經由該半導體處理設備的一部分供應電功率。該第一端子係電連接至該多個導電的墊之其中至少一者的一第一集合。該第二端子係電連接至該多個導電的墊之其中至少一者的一第二集合。該多個導電的墊之該第二集合係不包括該多個導電的墊之該第一集合。該多個導電的墊之該第一及第二集合形成在該第一端子及該第二端子之間延伸之該導電路徑的一部分。該測量裝置亦包含測量電路,該測量電路具有一第一輸入端子及一第二輸入端子。該測量電路的該第一輸入端子係電連接至該多個導電的墊之一選擇的第一者。該測量電路的該第二輸入端子係電連接至該多個導電的墊之一選擇的第二者。該測量電路係配置成基於在該多個導電的墊之該選擇的第一及第二者處存在的電訊號,判定一電參數的值。該測量裝置包含一通訊模組,該通訊模組係配置成傳送表示由該測量電路判定之該電參數的值之資料。該測量裝置亦包含一電源供應器,該電源供應器係加以連接以將電功率供應至在該測量裝置上的所有電動元件。
在一示例實施例中,一種方法包含以與一晶圓係配置在一半導體處理設備之內的相同方式,將一測量裝置配置在該半導體處理設備之內。該半導體處理設備係配置成當在該晶圓上執行一製程時,將一條以上導電路徑電連接至該晶圓的一表面。該測量裝置具有一盤狀結構,其中該盤狀結構的外徑與該晶圓的外徑實質相等,且其中該盤狀結構的整體厚度實質上類似於該晶圓的整體厚度。該測量裝置係配置在該半導體處理設備之內,使得沿該測量裝置之第一側的外周緣形成之該多個導電的墊物理接觸在該半導體處理設備之內的電接觸件。該方法亦包含操作該測量裝置,以經由包括該多個導電的墊之其中至少一者的一第一集合及該多個導電的墊之其中至少一者的一第二集合之一導電路徑,供應電功率。該多個導電的墊之該第二集合係不包括該多個導電的墊之該第一集合。該方法亦包含操作該測量裝置以測量在該多個導電的墊之一選擇的第一者及在該多個導電的墊之一選擇的第二者處存在的電訊號,以判定延伸穿過該半導體處理設備的一部分之一導電路徑的一特徵參數。該方法亦包含自該半導體處理設備移除該測量裝置。
本發明的其他實施態樣及優點將從以下詳細說明,特別是結合隨附圖式作為說明本發明的示例,將更容易理解。
為了透徹理解本發明,在以下的說明中說明眾多具體細節。然而,顯然地,對於精於本項技術之人士而言,本發明可不具有某些或全部這些具體細節而加以實施。另一方面,為了不要不必要地模糊本發明,未詳細說明眾所周知的製程操作。
圖1A根據本發明的一些實施例顯示用於電鍍晶圓109之電鍍設備100之垂直橫剖面的通用圖。在一示例實施例中,本文使用的術語晶圓意指半導體晶圓。此外,在各種實施例中,本文指稱的晶圓可在形式、形狀、及/或尺寸上有所不同。舉例而言,在一些實施例中,本文指稱的晶圓可對應於200 mm(毫米)的半導體晶圓、300 mm的半導體晶圓、或450 mm的半導體晶圓。
電鍍設備100包含杯構件101及錐狀構件103。電鍍設備100亦包含唇封構件105,該唇封構件105係配置成嚙合杯構件101的頂部。若干指狀接觸件107係在唇封構件105的頂部上加以配置。指狀接觸件107係以圓形構造加以配置,以針對待處理的晶圓109之周緣區域提供實質均勻支撐。
圖2A根據本發明的一些實施例顯示指狀接觸件107的頂視圖。圖2B根據本發明的一些實施例顯示通過該等指狀接觸件107其中一者之如圖2A所示之「A-A剖面」的垂直橫剖面圖。如圖2A所示,該若干指狀接觸件107係與環形導電條107A(例如金屬條)連接而整體形成。指狀接觸件107及導電條107A兩者皆係導電的。應理解在各種實施例中,指狀接觸件107及導電條107A可由任何導電材料形成,該導電材料針對電鍍製程的執行提供足夠的電傳導,且該導電材料具有足夠的機械特性以在電鍍製程期間支撐晶圓109,且該導電材料係與在電鍍製程期間所曝露的環境及材料化學相容。
如圖2B及1A所示,指狀接觸件107係形狀設計成沿唇封構件105之頂部的上部輪廓向下彎曲。而且,相對於導電條107A的周向構造,指狀接觸件107的內端部段107B係向上轉以提供晶圓109的支撐表面107C。更具體而言,在電鍍製程期間,晶圓109係位在指狀接觸件107的支撐表面107C上,其中待電鍍之晶圓109的表面朝下,以物理接觸指狀接觸件107的支撐表面107C。
錐狀構件103係附接至軸111,該軸111係配置成相對於杯構件101上下移動,如箭頭111A所示。在電鍍製程期間,錐狀構件103係向下移動以與晶圓109介接及將晶圓109按壓在指狀接觸件107的支撐表面107C之上,以使指狀接觸件107的內端部段107B朝唇封構件105向下撓曲,以便抵著唇封構件105的密封表面105A按壓晶圓109的周緣向下區域。圖1B根據本發明的一些實施例顯示圖1A之錐狀構件103向下移動(如箭頭111B所示),以與晶圓109介接以便抵著唇封構件105的密封表面105A按壓晶圓109之周緣向下區域的圖。
杯構件101的內部區域形成用於容納電鍍溶液的槽容積113。當晶圓109係藉由錐狀構件103施加之向下的力抵著唇封構件105的密封表面105A而加以按壓時,密封部係在晶圓109與密封表面105A之間加以形成,使得電鍍溶液不會超出晶圓109與唇封構件105的密封表面105A之間的接觸位置,從而使電鍍溶液遠離指狀接觸件107。
電鍍設備100亦包含配置成物理接觸導電條107A的匯流排(bus bar)115,從而在匯流排115與指狀接觸件107之間建立電連接。匯流排係由固體金屬片形成,用於改善圍繞晶圓109之周緣的方位角電鍍均勻性。
槽容積113包含陽極構件117。在一些實施例中,陽極構件117係由銅形成。然而,在其他實施例中,陽極構件117可由適合正在執行之特定電鍍製程的其它導電材料形成。在一些實施例中,膜118係配置在槽容積113之內,以將在膜118下方的陽極區域與在膜118上方的陰極區域物理分隔。膜118係配置成當允許陽極區域與陰極區域之間的離子連通時,避免在陽極區域與陰極區域之間之電解液(電鍍溶液)的主體連通。在一些實施例中,膜118係離子選擇性膜。
在電鍍製程期間,直流電源的正極端子係電連接至陽極構件117,且直流電源的負極端子係電連接至匯流排115。以此方式,電流流徑係自陽極構件117經過電鍍溶液至曝露於電鍍溶液之晶圓109的表面,及從晶圓109的表面至指狀接觸件107,及從指狀接觸件107至匯流排115而加以建立。通常,在電鍍製程之前,導電晶種層係在待鍍覆之晶圓109的表面上加以形成,從而在整個晶圓109提供初始的電導性。然後,當材料在電鍍製程期間在晶圓109上加以沉積/生長時,沉積的材料有助於整個晶圓109的電導性。
在許多電鍍製程循環期間,一些電鍍溶液可能侵入通過在晶圓109與唇封構件105的密封表面105A之間的接觸位置,且到達指狀接觸件107。當此發生時,某些材料可能被電鍍在指狀接觸件107之上及/或在唇封構件105之上。當材料係被電鍍在指狀接觸件107之上及/或在唇封構件105之上時,那些電鍍之指狀接觸件107及/或唇封構件105之電鍍部分的電特性將加以改變,使得在晶圓109上在由電鍍之指狀接觸件107及/或唇封構件105之電鍍部分之改變的電特性所電性影響的位置處造成不利的電鍍結果。舉例而言,晶圓109上之不利的電鍍結果可表現為較薄之電鍍材料厚度的區域,其從而導致整個晶圓109的電鍍不均勻性,其從而可能導致半導體裝置製造的難度及/或缺陷。
圖3A根據本發明的示例實施例顯示電鍍厚度及指狀接觸件107之電阻隨著沿晶圓109之給定半徑的方位角變化的示例圖,其中所有指狀接觸件107具有可接受的電阻。電鍍厚度係以微米為單位呈現。指狀接觸件107之電阻係以毫歐姆為單位呈現。方位角係繞垂直於晶圓109的頂表面及底表面延伸且通過晶圓109之中心點之晶圓109的中心軸而加以測量。如圖3A所示,在具有良好狀態之指狀接觸件107的情況下,材料的電鍍厚度沿晶圓109的給定半徑係實質上均勻,其係期望的電鍍結果。
圖3B根據本發明的示例實施例顯示兩個晶圓109獲得之電鍍厚度的圖,一個晶圓109使用所有狀態良好的指狀接觸件107,而另一晶圓109使用狀態不佳的一些指狀接觸件107。圖3B的電鍍厚度圖顯示為隨著沿兩個晶圓109之給定半徑的方位角之函數。狀態不佳的指狀接觸件107,由於電鍍溶液洩漏經過在晶圓109與唇封構件105的密封表面105A之間的接觸位置,而經歷不期望的電鍍。因為在狀態不佳的指狀接觸件107上的電鍍導致一些變色,所以這些狀態不佳的指狀接觸件107係稱為變色的接觸件及具有在可接受規格之外的電特性,例如電阻。如圖3B所示,基於電鍍厚度中的偏差(aberration),變色的指狀接觸件107看起來像位在約130度和約188度的方位角之間。
圖3C根據本發明的示例實施例顯示:使用一些變色的指狀接觸件107進行電鍍之晶圓109之電鍍厚度在一給定半徑隨方位角變化的圖(如圖3B所示),以及針對該電鍍使用之指狀接觸件107之電阻隨方位角變化的圖。圖3C顯示在電鍍厚度係不均勻的方位角位置,相應之變色的指狀接觸件107相對於其他指狀接觸件107具有高電阻,其表示在變色的指狀接觸件107上材料的不期望電鍍。
鑑於上述情況,應理解從電鍍厚度及均勻性來看,維持可接受的電鍍結果係取決於維持指狀接觸件107及唇封構件105的良好狀態。而且,為了維持指狀接觸件107及唇封構件105的良好狀態,需要監視在指狀接觸件107及/或唇封構件105上的電鍍是否發生且發生到怎樣的程度。此外,此監測應以不顯著影響電鍍設備100之可用性的方式加以進行。
圖4A根據本發明的一些實施例顯示裝置400(測量裝置400)的頂視圖,該裝置400用於測量在電鍍設備100中之指狀接觸件107及唇封構件105的電性狀態。裝置400包含具有類似於晶圓109之形態因子(form factor)的印刷電路板。因此,裝置400可以與晶圓109相同的方式加以搬運,且可以與晶圓109相同的方式在電鍍設備100之內加以配置。此外,裝置400可像任何晶圓一樣使用在製造設施中的任何晶圓輸送設備加以移動。另外,裝置400可如任何晶圓加以儲存。在一些實施例中,裝置400係在電鍍工具的伴隨晶圓插槽中加以儲存,且係藉由晶圓輸送機器人在電鍍設備100中加以配置,以藉由裝置400提供指狀接觸件107及/或唇封構件105之電特性的測量。然而,應理解在一些實施例中,裝置400可手工在電鍍設備100中加以配置,以藉由裝置400提供指狀接觸件107及/或唇封構件105之電特性的測量。
裝置400包含多個露出之導電的墊401,該等導電的墊係沿裝置400的外部徑向區域加以配置。每個相鄰之一對導電的墊401係藉由隔離構件403(例如電絕緣體材料)彼此電分離。每個導電的墊401包含至電源405的電連接件404及至測量電路407的電連接件406。在一些實施例中,電源405可配置成經由導電路徑提供受控量的電流,該導電路徑係在電源405的第一端子405A與電源405的第二端子405B之間延伸。在一些實施例中,電源405係配置成在電源405的第一端子405A與電源405的第二端子405B之間供應受控量的電壓。所有導電的墊401之電連接件404係通過開關電路409至電源405,使得電流及/或電壓在一給定時間可提供至該等導電的墊401之任何一者以上。而且,所有導電的墊401之電連接件406係通過開關電路409至測量電路407,使得存在該等導電的墊401之任何一者以上的電參數可在一給定時間加以測量。在一些實施例中,測量電路407包含類比數位轉換電路,用於將測量的類比電參數轉換為數位格式。測量電路407亦包含記憶體模組413,用於以數位格式儲存測量的電參數。
電源405、測量電路407及開關電路409的每一者係配置成在控制模組411的指示下加以操作。以此方式,在一給定時間,控制模組411係加以操作以指揮開關電路409,以將該等導電的墊401之特定一者以上連接至電源405,及將該等導電的墊401之特定一者以上連接至測量電路407。此外,電源405、測量電路407及開關電路409的每一者係加以連接,以從電源供應器415接收電功率。在一些實施例中,電源供應器415是電池。在一些實施例中,電源供應器415是充電電池。
此外,裝置400包含通訊模組417,該通訊模組417係配置成傳送對應於由測量電路407測量的電參數之數位資料及/或對應於裝置400之條件的數位資料。在一些實施例中,通訊模組417係配置成將數位資料無線傳輸至在裝置400之範圍內的無線接收器。而且,在一些實施例中,通訊模組417係配置成支持至裝置400的有線連接,數位資料可經由該有線連接加以傳輸。而且,在一些實施例中,通訊模組417係配置成支持無線通訊模式及有線通訊模式兩者。通訊模組417係加以連接,以從電源供應器415接收電功率。因此,在通訊模組417係配置成支持無線通訊模式的情況下,裝置400係能夠以完全自主及獨立的方式加以操作,使得裝置400可以與晶圓109相同的方式移動通過製造設施及通過電鍍工具。
此外,控制模組411可藉由通訊模組417加以編程,以使用電源405及測量電路407指揮任何規定形式之測量的執行。因此,應理解控制模組411係加以連接,以將控制訊號傳送至通訊模組417、電源405、測量電路407、記憶體模組413、電源供應器415及開關電路409的每一者,以及自通訊模組417、電源405、測量電路407、記憶體模組413、電源供應器415及開關電路409的每一者接收資料訊號。
圖4B根據本發明的一些實施例顯示來自圖4A的裝置400,其中開關電路409係更詳細地加以顯示。應理解,圖4B之特定的開關電路409之配置係作為示例加以提供。在其他實施例中,開關電路409可配置成與圖4B之示例中顯示的開關電路不同。更具體而言,在其他實施例中,開關電路409可實質上以任何方式加以配置,只要存在以下功能:在一給定時間將選擇的一個以上導電的墊401電連接至電源405,及在該給定時間將選擇的一個以上導電的墊401電連接至測量電路407。此外,應理解本文關於裝置400顯示或描述的任何電連接性,可實質上使用電跡線的任何適當配置在裝置400的印刷電路板內/上加以實現。而且,在一些實施例中,裝置400的印刷電路板可包含多層電跡線,其中相鄰的電跡線層藉由介電材料彼此隔開,且依需要具有用以連接不同層中之電跡線的通孔導體。
在圖4B之開關電路409的配置中,每個電連接件404從相應之導電的墊401延伸至相應的電流開關模組419。而且,每個電連接件406從相應之導電的墊401延伸至相應的測量開關模組421。因此,每個導電的墊401具有對應的電流開關模組419及對應的測量開關模組421。
電源匯流排423係加以提供,用於與每一電流開關模組419連接。而且,參考接地匯流排425係加以提供,用於與每一電流開關模組419連接。電源匯流排423與參考接地匯流排425兩者係電連接至電源405,使得電源405可加以操作,以在控制模組411的控制下將電流供應至電源匯流排423,且自參考接地匯流排425汲取電流。
第一測量匯流排427係加以提供,用於與每一測量開關模組421連接。而且,第二測量匯流排429係加以提供,用於與每一測量開關模組421連接。第一測量匯流排427及第二測量匯流排429兩者係電連接至測量電路407。在控制模組411的控制下,測量電路407可加以操作,以在一給定時間感測在第一測量匯流排427上的電訊號及/或在第二測量匯流排429上的電訊號。
圖5根據本發明的一些實施例顯示一給定導電的墊401之電路圖,其中,該給定導電的墊401之電連接件404連接至其電流開關模組419,且其中,該給定導電的墊401之電連接件406連接至其測量開關模組421。在電流開關模組419之內,電連接件404係連接至一對開關裝置501及503。在一些實施例中,每一開關裝置501及503係被實施為整合電路開關裝置。然而,在一些實施例中,開關裝置501及503可被實施為類比開關裝置。每一開關裝置501及503係在控制模組411的控制下,如分別由連接件505及507所示。開關裝置501具有連接至電源匯流排423的一端子,該電源匯流排423係如前所述又連接至電源405。而且,開關裝置503具有連接至參考接地匯流排425的一端子,該參考接地匯流排425係如前所述又連接至電源405。使用此配置,控制模組411可操作開關裝置501及503,以將導電的墊401電連接至電源匯流排423或參考接地匯流排425。以此方式,依據在電流開關模組419之內之開關裝置501及503的設定,電流及/或電壓可在一給定時間從電源405施加至特定之導電的墊401,或特定之導電的墊401可在該給定時間電連接至參考接地電位。
在測量開關模組421之內,電連接件406係連接至一對開關裝置509及511。在一些實施例中,每一開關裝置509及511係被實施為整合電路開關裝置。然而,在一些實施例中,開關裝置509及511可被實施為類比開關裝置。每一開關裝置509及511係在控制模組411的控制下,如分別由連接件513及515所示。開關裝置509具有連接至第一測量匯流排427的一端子,該第一測量匯流排427係如前所述又連接至測量電路407。而且,開關裝置511具有連接至第二測量匯流排429的一端子,該第二測量匯流排429係如前所述又連接至測量電路407。使用此配置,控制模組411可操作開關裝置509及511,以將導電的墊401電連接至第一測量匯流排427或第二測量匯流排429。以此方式,依據在測量開關模組421之內之開關裝置509及511的設定,測量電路407可加以連接,以在一給定時間感測在特定之導電的墊401處的高電流及/或電壓,或在該給定時間感測在特定之導電的墊401處的低電流及/或電壓。
圖6A根據本發明的一些實施例顯示裝置400的圖,該裝置400係配置成置放在指狀接觸件107的支撐表面107C上。圖6A中描繪的視圖係在從朝錐狀構件103向上看之槽容積113內部的視角。如圖6A所示,導電的墊401接觸指狀接觸件107的支撐表面107C,以在每一導電的墊401及與該導電的墊401物理接觸之指狀接觸件107的對應子集合之間建立導電路徑。以此方式,每一導電的墊401係與指狀接觸件107的不同子集合電連接。
圖6B根據本發明的一些實施例顯示通過裝置400及指狀接觸件107之如圖6A所示之「B-B剖面」的垂直橫剖面圖。如圖6A所示,裝置400係配置成使得導電的墊401置放在指狀接觸件107的支撐表面107C上。正如進行電鍍處理的晶圓109一樣,裝置400可接觸錐狀構件103以使用精確控制量之向下的力將導電的墊401按壓在指狀接觸件107的支撐表面107C上。此外,應理解裝置400的旋轉位置可分度至電鍍設備100,以便知道指狀接觸件107的哪一子集合係與該等導電的墊401之特定一者物理接觸。因為裝置400具有類似於晶圓109之形態因子的形態因子,所以裝置400可使用在電鍍設備100連接之製造工作站可得的標準晶圓對準器裝置旋轉分度至電鍍設備100。
在開關電路409之適當設定的情況下,電流及/或電壓可從電源405通過一給定之導電的墊401,被引導至與該給定之導電的墊物理連接之指狀接觸件107的特定子集合。而且,在開關電路409之適當設定的情況下,與一給定之導電的墊401物理連接之指狀接觸件107的特定子集合可電連接至參考接地電位。以此方式,藉由操作控制模組411及開關電路409,精確控制的電流可加以引導,以在圍繞裝置400之周緣的第一方位位置處流入第一導電的墊401,及通過與該第一導電的墊401物理接觸之指狀接觸件107的第一特定子集合,且自指狀接觸件107的該第一特定子集合通過導電條107A(及可能通過與導電條107A接觸的其他導電元件,諸如匯流排115)至在圍繞裝置400之周緣的第二方位位置處之指狀接觸件107的第二特定子集合,及從指狀接觸件107的該第二特定子集合至在圍繞裝置400之周緣的該第二方位位置處之第二導電的墊401,及自該第二導電的墊401至參考接地電位。而且,類似地,藉由操作控制模組411及開關電路409,精確控制的電壓可在圍繞裝置400之周緣的該第一方位位置處的第一導電的墊401與圍繞裝置400之周緣和該第二方位位置處的第二導電的墊401之間加以施加。
控制模組411可加以編程以指揮開關電路409的操作,以針對第一方位位置選擇該等導電的墊401之任一者,及針對第二方位位置選擇該等導電的墊401之任一者。在一些實施例中,第二方位位置對應於配置在第一導電的墊401旁邊之導電的墊401,其中第一導電的墊401對應於第一方位位置。在一些實施例中,多個導電的墊401係加以選擇,以提供多個第二方位位置。舉例而言,在一些實施例中,多個第二方位位置對應於分別配置在第一導電的墊401之每一側上的兩個導電的墊401。在該特定的示例中,電流將流入第一導電的墊401,且經由毗鄰該第一導電的墊401之兩個導電的墊401兩者返回。
結合操作裝置400以將精確控制的電流引導流入在第一方位位置處之指狀接觸件107的第一子集合,且在第二方位位置處自指狀接觸件107的第二子集合返回,控制模組411可加以編程以指揮開關電路409,以將測量電路407連接至在第一方位位置處之第一導電的墊401及在第二方位位置處之第二導電的墊401之其中一或二者,從而提供在第一方位位置處之第一導電的墊401及在第二方位位置處之第二導電的墊401之其中一或二者的電壓及/或電流之測量。
當製造裝置400時,在裝置400之內之導電路徑(例如跡線)的電阻係仔細地加以測量。因此,當精確控制的電流係流入指狀接觸件107的第一子集合且自指狀接觸件107的第二子集合返回時,沿在指狀接觸件107的第一子集合與指狀接觸件107的第二子集合之間的導電路徑之電阻,可加以測量且與一允許的電阻範圍相比,以判定不良電鍍是否沿在指狀接觸件107的第一子集合與指狀接觸件107的第二子集合之間的導電路徑發生。以此方式,裝置400可加以操作,以測量在繞裝置400整個周緣之指狀接觸件107之不同子集合之間的電阻,以充分描繪整體指狀接觸件107之電阻的特性,及識別不良電鍍是否已在指狀接觸件107之特定者上發生。
圖7根據本發明的一些實施例顯示一電路圖,該電路圖表示電源405的連接方式以將電流供應至第一導電的墊401A,及表示第二導電的墊401B的連接方式,以汲取電流返回至電源405。電源405係配置成在第一端子405A供應電流且在第二端子405B汲取電流。電源405係加以連接以經由電連接件701將電流供應至第一導電的墊401A。如先前關於圖5所述,電連接件701係經由開關電路409佈線至第一導電的墊401A。沿電連接件701的電阻711係加以測量且已知。電源405係加以連接以經由電連接件703自第二導電的墊401B汲取電流。如先前關於圖5所述,電連接件703係經由開關電路409佈線至第二導電的墊401B。沿電連接件703的電阻713係加以測量且已知。
圖7亦顯示與第一導電的墊401A電連接之指狀接觸件107的第一子集合107-1,及與第二導電的墊401B電連接之指狀接觸件107的第二子集合107-2。在指狀接觸件107之第一子集合107-1中的每個指狀接觸件107,係經由導電條107A電連接至在指狀接觸件107之第二子集合107-2中的每個指狀接觸件107。沿在指狀接觸件107的第一子集合107-1與指狀接觸件107之第二子集合107-2之間之導電條107A部分的電阻709,係加以測量且已知。此外,導電條107A係與匯流排115電連接。沿在指狀接觸件107的第一子集合107-1與指狀接觸件107之第二子集合107-2之間之匯流排115部分的電阻715,係加以測量且已知。此外,導電條107A係與唇封構件105電連接。由於在唇封構件105上可能具有電鍍的材料,所以沿在指狀接觸件107的第一子集合107-1與指狀接觸件107之第二子集合107-2之間之唇封構件105部分的電阻717係未知的。
測量電路407係加以連接,以經由佈線至測量電路407之第一輸入端子407A的電連接件705測量存在第一導電的墊401A上的電特性,例如電流及/或電壓。如先前關於圖5所述,電連接件705係經由開關電路409佈線至第一導電的墊401A。沿電連接件705的電阻719係加以測量且已知。測量電路407係亦加以連接,以經由佈線至測量電路407之第二輸入端子407B的電連接件707測量存在第二導電的墊401B上的電特性,例如電流及/或電壓。如先前關於圖5所述,電連接件707係經由開關電路409佈線至第二導電的墊401B。沿電連接件707的電阻721係加以測量且已知。
在電源405與第一導電的墊401A和第二導電的墊401B之每一者之間的各種電阻及其他電特性係已知的。而且,在測量電路407與第一導電的墊401A和第二導電的墊401B之每一者之間的各種電阻及其他電特性係已知的。此外,沿在指狀接觸件107之第一子集合107-1與指狀接觸件107之第二子集合107-2之間的導電條107A之電阻及其他電特性係已知的。此外,沿在指狀接觸件107之第一子集合107-1與指狀接觸件107之第二子集合107-2之間的匯流排115之電阻及其他電特性係已知的。因此,在圖7的電路中,由於在指狀接觸件107上及/或在唇封構件105上存在之電鍍材料的可能性,所以整體的電阻(經由指狀接觸件107之第一子集合107-1及指狀接觸件107之第二子集合107-2的各種指狀接觸件107,及經由在指狀接觸件107之第一子集合107-1與指狀接觸件107之第二子集合107-2之間延伸的唇封構件105部分)係未知的。
藉由將精確控制的電流施加至第一導電的墊401A及藉由汲取來自第二導電的墊401B之施加的電流,及結合操作測量電路407以測量在第一導電的墊401A和第二導電的墊401B之每一者的電壓及/或電流,上述整體的電阻可加以測量及評估,以判定不良電鍍是否在指狀接觸件107的第一子集合107-1及/或指狀接觸件107的第二子集合107-2之內發生,及/或不良電鍍是否經由在指狀接觸件107的第一子集合107-1與指狀接觸件107的第二子集合107-2之間延伸的唇封構件105部份發生。在一些實施例中,測量電路407包含由電源供應器415結合電源405供電的分路電阻器,以沿電鍍設備100之選擇的方位段測量通過指狀接觸件107及唇封構件105的電阻。應理解裝置400提供沿電鍍設備100之任何選擇的方位段之指狀接觸件107及唇封構件105之電阻的測量。
藉由監控指狀接觸件107及唇封構件105的電特性,在指狀接觸件107及/或唇封構件105的狀態指示產生不良電鍍結果之可能性的情況下,針對電鍍設備100的維修發出警報是可能的。此外,在一些實施例中,諸如光學感測器的額外感測器可在裝置400之內加以實現,以提供指狀接觸件107群及唇封構件105之相應方位段的目視觀察。
在一些實施例中,裝置400係在電鍍工具上的伴隨晶圓插槽中加以儲存,且係被排程為用機器人移動至進行測量的電鍍設備100,所以不需要使用者互動。一旦完成測量,裝置400係返回至伴隨晶圓插槽,在此時,裝置400將測量數據傳送至電鍍工具以進行記錄及分析。此外,在指狀接觸件107之電阻及唇封構件105之功能中的趨勢可隨元件老化加以記錄。
鑑於上述情況,應理解本文揭示的裝置400提供測量在電鍍設備(諸如電鍍設備100)之內之電接觸件的電特性,其中該電鍍設備係配置成在晶圓109上執行電鍍製程。然而,亦應理解通常本文揭示的裝置400可用於測量在半導體處理設備(其可能是或可能不是電鍍設備)之內之導電路徑的電特性,其中該半導體處理設備係配置成當在晶圓109上執行製程時,將導電路徑電連接至晶圓109的表面。裝置400包含盤狀結構,該盤狀結構的外徑實質上等於晶圓109的外徑。在一些實施例中,裝置400的盤狀結構係印刷電路板。裝置400之盤狀結構的整體厚度實質上類似於晶圓109的整體厚度。
裝置400包含多個導電的墊401,該等導電的墊401係沿盤狀結構之第一側的外周緣加以形成。該多個導電的墊401之相鄰配置者係彼此電隔離。在一些實施例中,裝置400的盤狀結構係由電絕緣材料形成,且該多個導電的墊401之相鄰配置者係藉由盤狀結構的一部分彼此隔離,從而提供在該多個導電的墊401之相鄰配置者之間的電隔離。在一些實施例中,電隔離結構(諸如隔離構件403)係在盤狀結構上加以配置,以將該多個導電的墊401之相鄰配置者彼此電隔離。該多個導電的墊401共同界定盤狀結構之第一側的外周緣。在一些實施例中,多個導電的墊401之每一者的尺寸係與沿裝置400之盤狀結構之第一側的外周緣測量的尺寸實質相等。
裝置400包含具有第一端子405A及第二端子405B的電源405。當裝置400的盤狀結構係配置在半導體處理設備之內時,電源405係配置成經由在第一端子405A和第二端子405B之間延伸的導電路徑及經由半導體處理設備的一部分供應電功率。第一端子405A係電連接至多個導電的墊401之其中至少一者的第一集合。第二端子405B係電連接至多個導電的墊401之其中至少一者的第二集合。該多個導電的墊401之該第二集合係不包括該多個導電的墊401之該第一集合。該多個導電的墊401之該第一及第二集合形成在第一端子405A及第二端子405B之間延伸之導電路徑的一部分。在一些實施例中,電源係配置成經由在第一端子405A及第二端子405B之間延伸的導電路徑供應受控量的電流。在一些實施例中,電源係配置成在第一端子405A及第二端子405B之間供應受控量的電壓。
裝置400亦包含具有第一輸入端子407A及第二輸入端子407B的測量電路407。測量電路407的第一輸入端子407A係電連接至多個導電的墊401之選擇的第一者。測量電路407的第二輸入端子407B係電連接至多個導電的墊401之選擇的第二者。測量電路407係配置成基於在該多個導電的墊401之該選擇的第一及第二者處存在的電訊號,判定電參數的值。在一些實施例中,測量電路407係配置成基於在該多個導電的墊401之該選擇的第一及第二者處存在的電訊號,測量電壓及電流其中一或二者。在一些實施例中,測量電路407係配置成基於在第一輸入端子407A存在的第一測量訊號及在第二輸入端子407B存在的第二測量訊號測量電壓。在一些實施例中,測量電路407係配置成,基於在第一輸入端子407A存在的第一測量訊號及在第二輸入端子407B存在的第二測量訊號測量電流。
裝置400包含開關電路409,該開關電路409係配置成,在一給定時間將電源405的第一端子405A連接至多個導電的墊401之選擇的第一者。開關電路409係亦配置成,在該給定時間將電源405的第二端子405B連接至多個導電的墊401之選擇的第二者。開關電路409係亦配置成,在該給定時間將測量電路407的第一輸入端子407A連接至該多個導電的墊401之該選擇的第一者。而且,開關電路409係配置成,在該給定時間將測量電路407的第二輸入端子407B連接至該多個導電的墊401之該選擇的第二者。裝置400亦包含在盤狀結構上配置的電源供應器415。電源供應器415係加以連接,以將電功率供應至在盤狀結構上之裝置400的所有電動元件。在一些實施例中,電源供應器415係電池。
在一些實施例中,裝置400包含在盤狀結構上配置的控制模組411。控制模組411係配置成指揮電源405及測量電路407及開關電路409的操作。在一些實施例中,控制模組411係配置成指揮開關電路409,以在一給定時間將多個導電的墊401之其中特定一者以上連接至電源405的第一端子405A。而且,在一些實施例中,控制模組411係配置成指揮開關電路409,以在該給定時間將多個導電的墊401之其中特定一者以上連接至電源405的第二端子405B。在一些實施例中,開關電路409係加以操作以確保,在該給定時間電連接至電源405之第二端子405B之多個導電的墊401的其中該一者以上,係不同於在該給定時間電連接至電源405之第一端子405A之多個導電的墊401之其中該一者以上,以避免在電源405的第一端子405A及第二端子405B之間的電短路。
在一些實施例中,開關電路409係配置成,在一給定時間將多個導電的墊401之其中任何兩者以上選擇性地電連接至測量電路407的第一輸入端子407A。此外,在一些實施例中,開關電路409係配置成,在該給定時間將多個導電的墊401之其中任何兩者以上選擇性地電連接至測量電路407的第二輸入端子407B。在一些實施例中,開關電路409係加以操作以確保,在該給定時間電連接至測量電路407之第二輸入端子407B之多個導電的墊401的其中該兩者以上,係不同於在該給定時間電連接至測量電路407之第一輸入端子407A之多個導電的墊401之其中該兩者以上。
在一些實施例中,裝置400係配置成具有記憶體模組413,該記憶體模組413係定義為在盤狀結構上的電腦記憶體。該電腦記憶體係配置成儲存表示由測量電路407判定之電參數的值之數位資料。此外,在一些實施例中,測量電路407包含類比數位轉換電路,用於將電參數的值轉換為表示電參數的值之數位資料。此外,在一些實施例中,裝置400係配置成包含在盤狀結構上配置的通訊模組417。在一些實施例中,通訊模組417係配置成無線地傳送表示由測量電路407判定之電參數的值之資料。
在一些實施例中,配置在裝置400之盤狀結構上的控制模組411係配置成指揮電源405、測量電路407、開關電路409、電源供應器415、記憶體模組413及通訊模組417的操作。在一些實施例中,控制模組411係配置成指揮開關電路409,以在該給定時間將多個導電的墊401之其中特定一者以上連接至電源405的第一端子405A,及在該給定時間將多個導電的墊401之其中特定一者以上連接至電源405的第二端子405B,及在該給定時間將多個導電的墊401之其中特定一者以上連接至測量電路407的第一輸入端子407A,及在該給定時間將多個導電的墊401之其中特定一者以上連接至測量電路407的第二輸入端子407B。
圖8根據本發明的一些實施例顯示一種方法的流程圖,該方法用於測量在半導體處理設備(諸如電鍍設備100等)之內之導電路徑的電特性。半導體處理設備係配置成當在晶圓109上執行製程時,將導電路徑電連接至晶圓109的表面。該方法包含操作801,用於將測量裝置400配置在半導體處理設備之內。測量裝置400具有一盤狀結構,其中該盤狀結構的外徑與晶圓109的外徑實質相等,且其中該盤狀結構的整體厚度實質上類似於晶圓109的整體厚度。測量裝置400係配置在半導體處理設備之內,使得沿測量裝置400之第一側的外周緣形成之多個導電的墊401物理接觸在半導體處理設備之內的電接觸件107。多個導電的墊401之相鄰配置者係在測量裝置400上彼此電隔離。而且,該多個導電的墊401共同界定測量裝置400之第一側的外周緣。
該方法亦包含操作803,用於操作測量裝置400以經由導電路徑供應電功率,該導電路徑包含多個導電的墊401之其中至少一者的第一集合及多個導電的墊401之其中至少一者的第二集合,其中該多個導電的墊401之該第二集合係不包括該多個導電的墊401之該第一集合。該方法亦包含操作805,用於操作測量裝置400,以測量在該多個導電的墊401之選擇的第一者處及在該多個導電的墊401之選擇的第二者處存在的電訊號。
該方法亦包含操作807,用於操作測量裝置400,以使用測量的電訊號判定導電路徑的特徵參數,該導電路徑延伸穿過半導體處理設備的一部分,且包含該多個導電的墊401之該選擇的第一者及該多個導電的墊401之該選擇的第二者。該方法亦可包含操作測量裝置400,以傳送(以無線及/或有線的方式)及/或儲存表示導電路徑之特徵參數的值之資料。
在一些實施例中,延伸穿過半導體處理設備之該部分的導電路徑之特徵參數是電阻值。舉例而言,在電鍍設備100的實施例中,當測量裝置400係配置在半導體處理設備(電鍍設備100)之內時,在半導體處理設備(電鍍設備100)之內的導電路徑可包含與多個導電的墊401物理接觸的電接觸件107。更具體而言,該電阻值包含與該多個導電的墊401之該選擇的第一者物理接觸之電接觸件107的電阻,及與該多個導電的墊401之該選擇的第二者物理接觸之電接觸件107的電阻。而且,電阻值可包含通過一段唇封構件105的電阻,該唇封構件105從該多個導電的墊401之該選擇的第一者延伸至該多個導電的墊401之該選擇的第二者。此外,電阻值可包含通過一段匯流排115的電阻,該匯流排115從該多個導電的墊401之該選擇的第一者延伸至該多個導電的墊401之該選擇的第二者。此外,電阻值可包含通過一段導電條107A的電阻,該導電條107A從該多個導電的墊401之該選擇的第一者延伸至該多個導電的墊401之該選擇的第二者。
在一些實施例中,用於操作測量裝置400以經由導電路徑供應電功率的操作803,包含將電流傳輸通過多個導電的墊401之其中至少一者的第一集合及多個導電的墊401之其中至少一者的第二集合。應理解在各種實施例中,該方法可包含操作測量裝置400,以在一給定時間將電流供應至多個導電的墊401之複數者,或在一給定時間自多個導電的墊401之複數者汲取電流,或以下兩者:在一給定時間將電流供應至多個導電的墊401之複數者及在該給定時間自多個導電的墊401之不同的複數者汲取電流。此外,在一些實施例中,用於操作測量裝置400以通過導電路徑供應電功率的操作803,包含在多個導電的墊401之其中至少一者的第一集合與多個導電的墊401之其中至少一者的第二集合之間施加電壓差。
此外,鑑於上述情況,應理解本文揭示一種系統,該系統用於測量在半導體處理設備(諸如電鍍設備100等)之內之導電路徑的電特性,其中該半導體處理設備係配置成當在晶圓109上執行製程時,將導電路徑電連接至晶圓109的表面。該系統包含測量裝置400,該測量裝置400具有一盤狀結構,其中該盤狀結構的外徑與晶圓109的外徑實質相等,且其中該盤狀結構的整體厚度實質上類似於晶圓109的整體厚度。測量裝置400包含多個導電的墊401,該多個導電的墊401係沿該盤狀結構之第一側的外周緣加以形成。多個導電的墊401之相鄰配置者係彼此電隔離。而且,該多個導電的墊401共同界定該盤狀結構之第一側的外周緣。
測量裝置400包含具有第一端子405A及第二端子405B的電源405。當測量裝置400係配置在半導體處理設備之內時,電源405係配置成經由在第一端子405A和第二端子405B之間延伸的導電路徑及經由半導體處理設備的一部分供應電功率。第一端子405A係電連接至多個導電的墊401之其中至少一者的第一集合。第二端子405B係電連接至多個導電的墊401之其中至少一者的第二集合。該多個導電的墊401之該第二集合係不包括該多個導電的墊401之該第一集合。該多個導電的墊401之該第一及第二集合形成在第一端子405A及第二端子405B之間延伸之導電路徑的一部分。測量裝置400包含具有第一輸入端子407A及第二輸入端子407B的測量電路407。測量電路407的第一輸入端子407A係電連接至多個導電的墊401之選擇的第一者。測量電路407的第二輸入端子407B係電連接至多個導電的墊401之選擇的第二者。測量電路407係配置成基於在該多個導電的墊401之該選擇的第一及第二者處存在的電訊號,判定電參數的值。
測量裝置400包含開關電路409,該開關電路409係配置成,在一給定時間將電源405的第一端子405A連接至多個導電的墊401之其中至少一者的第一集合。開關電路409係配置成,在該給定時間將電源405的第二端子405B連接至多個導電的墊401之其中至少一者的第二集合。開關電路409係配置成,在該給定時間將測量電路407的第一輸入端子407A連接至該多個導電的墊401之該選擇的第一者。而且,開關電路409係配置成,在該給定時間將測量電路407的第二輸入端子407B連接至該多個導電的墊401之該選擇的第二者。
測量裝置400亦包含通訊模組417,該通訊模組417係配置成傳送(以無線及/或有線的方式)表示由測量電路407判定之電參數的值之資料。測量裝置400亦包含電源供應器415,該電源供應器415係加以連接,以將電功率供應至在測量裝置400上的所有電動元件。此外,該系統包含與電鍍設備100相關聯的電腦系統。該電腦系統係配置成自測量裝置400的通訊模組417接收所傳送的資料。此外,測量裝置400可包含資料儲存裝置(諸如記憶體模組413),該資料儲存裝置係配置成儲存基於在該多個導電的墊401之該選擇的第一及第二者處存在的電訊號所判定之電參數的值。
圖9根據本發明的一些實施例顯示一種方法的流程圖,該方法用於操作電鍍設備100以實現自動化電鍍槽評估。該方法包含操作901,用於在新的晶圓109上開始電鍍製程。自操作901,該方法繼續進行操作903,以將晶圓109裝載進電鍍設備100。自操作903,該方法繼續進行操作905,以在晶圓109上執行電鍍製程。自操作905,該方法繼續進行操作907,以自電鍍設備100移除晶圓109。在自電鍍設備100移除晶圓109的操作907之後,決定操作909係加以執行以判定測量製程是否在指狀接觸件107上加以執行。若不執行測量製程,則該方法返回至操作901以在新的晶圓109上開始電鍍製程。
若測量製程係在指狀接觸件107上加以執行,則該方法自操作909繼續進行操作911,用於喚醒測量裝置400。自操作911,該方法繼續進行操作913,用於在方位上對準測量裝置400。測量裝置400的方位對準可在標準晶圓對準器裝置內加以執行。自操作913,該方法繼續進行操作915,用於將測量裝置400移進電鍍設備100,使得測量裝置400係加以配置以使導電的墊401物理接觸指狀接觸件107。自操作915,該方法繼續進行操作917,其中測量裝置400係加以操作,以執行指狀接觸件107及/或唇封構件105之電參數的測量。
自操作917,該方法繼續進行操作919以潤洗測量裝置400。接著,操作921係加以執行,以將測量裝置400返回至其儲存位置。在該儲存位置,測量裝置400的電源供應器415可依需要加以再充電。在一些實施例中,電源供應器415係配置成接收感應充電,使得不需要外部接觸件對電源供應器415充電。然而,在一些實施例中,測量裝置400係配備外部接觸件,用於連接在儲存位置的電源以提供電源供應器415的充電。
一旦測量裝置400係返回至其儲存位置,該方法繼續進行操作923,以將由測量裝置400獲得的測量資料傳送至與電鍍設備100相關聯的電腦系統。該方法包含操作925,以判定由測量裝置400測量的電參數資料是否係在可接受的限度之內。若電參數資料係判定在可接受的限度之內,則該方法返回至操作901以在新的晶圓109上開始電鍍製程。若電參數資料係判定不在可接受的限度之內,則該方法繼續進行操作927,以開始採取一些補救行動以調整電鍍設備100。在一些實施例中,操作927可包含用於關閉電鍍設備100的操作927A。而且,在一些實施例中,操作927可包含操作927B,用於在製造設備之內發出警報或以其他方式警告操作者電鍍設備100的不良狀況。
圖10根據本發明的一些實施例顯示一種用於評估半導體處理設備狀況之方法的流程圖。該方法包含操作1001,用於以與晶圓109係配置在半導體處理設備之內的相同方式,將測量裝置400配置在半導體處理設備內。半導體處理設備係配置成當在晶圓109上執行製程時,將一條以上導電路徑電連接至晶圓109的表面。測量裝置400具有一盤狀結構,其中該盤狀結構的外徑與晶圓109的外徑實質相等,且其中該盤狀結構的整體厚度實質上類似於晶圓109的整體厚度。測量裝置400係配置在半導體處理設備之內,使得沿測量裝置400之第一側的外周緣形成之多個導電的墊401物理接觸在半導體處理設備之內的電接觸件。該方法亦包含操作1003,用於操作測量裝置400以經由導電路徑供應電功率,該導電路徑包含多個導電的墊之其中至少一者的第一集合及多個導電的墊之其中至少一者的第二集合,其中該多個導電的墊之該第二集合係不包括該多個導電的墊之該第一集合。
該方法亦包含操作1005,用於操作測量裝置400以測量在多個導電的墊401之選擇的第一者及在多個導電的墊401之選擇的第二者處存在的電訊號,以判定延伸穿過半導體處理設備之一部分之導電路徑的特徵參數。該方法亦包含操作1007,用於從半導體處理設備移除測量裝置400。
圖10的方法亦可包含用於判定導電路徑的特徵參數是否為可接受的操作。並且,當判定導電路徑的特徵參數係不可接受時,該方法可包含提供半導體處理設備需要維修之通知的操作。而且,當判定導電路徑的特徵參數係可接受時,該方法可包含繼續半導體處理設備之正常操作的操作。該方法亦可包含將測量裝置400儲放在半導體處理設備。此外,當測量裝置400係儲放在半導體處理設備時,該方法可包含對該測量裝置400充電。
應理解本文揭示的測量裝置400可遠程地及自主地操作,而不需要與測量裝置400的使用者互動。此外,在沒有與使用者互動的情況下,測量裝置400提供電鍍設備100元件的監控及測量資料的傳送。而且,本文揭示的測量裝置400可以與晶圓相同的方式藉由機器人置放在電鍍設備100內,且可將結果無線地報告回電鍍工具及/或其他控制系統。在使用測量裝置400的情況下,指狀接觸件107及/或唇封構件105之電參數的測量可排程至電鍍設備100的正常操作排程表中。
此外,本文揭示的測量裝置400,不需為了經由指狀接觸件107及/或唇封構件105的特定方位區段分析電阻,而將導電條107A或匯流排115電分離成區段。測量裝置400提供在電性上相同電位之獨立元件的電參數之測量。測量裝置400針對電阻測量提供指狀接觸件107及唇封構件105之特定方位區段的選擇。而且,因為測量裝置400不需為了執行測量存在指狀接觸件107及/或唇封構件105之任何給定方位區段處的電阻而拆卸匯流排115或電鍍設備100的任何其他元件,所以不需停止使用電鍍設備100以評估指狀接觸件107及/或唇封構件105的狀況,從而提供電鍍設備100之可用性的改進及相應之製造設施生產率的改進。
傳統電鍍設備檢查方法依賴目視檢查以識別由於唇封構件105失效引起的嚴重電鍍溶液洩漏之證據,及評估指狀接觸件107的外觀以檢查指狀接觸件107上的電鍍。因此,傳統的檢查方法本質上係定性的。與這些傳統檢查方法相比,應理解本文揭示的測量裝置400提供電鍍槽元件(諸如指狀接觸件107及唇封構件105)之電參數的定量測量。此外,測量裝置400可提供電鍍設備100元件的老化及嚴重失效之監控,其係無法使用傳統檢查方法加以監控。
雖然上述本發明為了清楚理解的目的已以一些細節加以描述,但顯然地,某些改變與修改可在隨附申請專利範圍的範疇內加以實施。因此,本發明的實施例係被視為說明性而非限制性的,且本發明係非限制於此處提供的細節,而是可在描述之實施例的範疇及等同物之內加以修改。
100‧‧‧電鍍設備
101‧‧‧杯構件
103‧‧‧錐狀構件
105‧‧‧唇封構件
105A‧‧‧密封表面
107‧‧‧接觸件
107-1‧‧‧第一子集合
107-2‧‧‧第二子集合
107A‧‧‧導電條
107B‧‧‧內端部段
107C‧‧‧支撐表面
109‧‧‧晶圓
111‧‧‧軸
111A‧‧‧箭頭
111B‧‧‧箭頭
113‧‧‧槽容積
115‧‧‧匯流排
117‧‧‧陽極構件
118‧‧‧膜
400‧‧‧裝置(測量裝置)
401‧‧‧墊
401A‧‧‧墊
401B‧‧‧墊
403‧‧‧隔離構件
404‧‧‧電連接件
405‧‧‧電源
405A‧‧‧第一端子
405B‧‧‧第二端子
406‧‧‧電連接件
407‧‧‧測量電路
407A‧‧‧第一輸入端子
407B‧‧‧第二輸入端子
409‧‧‧開關電路
411‧‧‧控制模組
413‧‧‧記憶體模組
415‧‧‧電源供應器
417‧‧‧通訊模組
419‧‧‧電流開關模組
421‧‧‧測量開關模組
423‧‧‧電源匯流排
425‧‧‧參考接地匯流排
427‧‧‧第一測量匯流排
429‧‧‧第二測量匯流排
501‧‧‧開關裝置
503‧‧‧開關裝置
505‧‧‧連接件
507‧‧‧連接件
509‧‧‧開關裝置
511‧‧‧開關裝置
513‧‧‧連接件
515‧‧‧連接件
701‧‧‧電連接件
703‧‧‧電連接件
705‧‧‧電連接件
707‧‧‧電連接件
709‧‧‧電阻
711‧‧‧電阻
713‧‧‧電阻
715‧‧‧電阻
717‧‧‧電阻
719‧‧‧電阻
721‧‧‧電阻
圖1A根據本發明的一些實施例顯示用於電鍍晶圓之電鍍設備之垂直橫剖面的通用圖。
圖1B根據本發明的一些實施例顯示圖1A之錐狀構件向下移動,以與晶圓介接以便抵著唇封構件的密封表面按壓晶圓之周緣向下區域的圖。
圖2A根據本發明的一些實施例顯示指狀接觸件的頂視圖。
圖2B根據本發明的一些實施例顯示通過該等指狀接觸件其中一者之如圖2A所示之「A-A剖面」的垂直橫剖面圖。
圖3A根據本發明的示例實施例顯示電鍍厚度及指狀接觸件之電阻隨著沿晶圓之給定半徑的方位角變化的示例圖,其中所有指狀接觸件具有可接受的電阻。
圖3B根據本發明的示例實施例顯示兩個晶圓所獲得之電鍍厚度的圖,一個晶圓使用所有狀態良好的指狀接觸件,而另一晶圓使用狀態不佳的一些指狀接觸件。
圖3C根據本發明的示例實施例顯示:使用一些變色的指狀接觸件進行電鍍之晶圓之電鍍厚度在一給定半徑隨方位角變化的圖(如圖3B所示),以及針對該電鍍使用之指狀接觸件之電阻在一給定半徑隨方位角變化的圖。
圖4A根據本發明的一些實施例顯示一裝置的頂視圖,該裝置用於測量在電鍍設備中之指狀接觸件及唇封構件的電性狀態。
圖4B根據本發明的一些實施例顯示來自圖4A的裝置,其中開關電路係更詳細地加以顯示。
圖5根據本發明的一些實施例顯示一給定導電的墊之電路圖,其中電連接連接至電流開關模組,且其中電連接連接至測量開關模組。
圖6A根據本發明的一些實施例顯示裝置的圖,該裝置係配置成置放在指狀接觸件的支撐表面107C上。
圖6B根據本發明的一些實施例顯示通過裝置及指狀接觸件之如圖6A所示之「B-B剖面」的垂直橫剖面圖。
圖7根據本發明的一些實施例顯示一電路圖,該電路圖表示電源的連接方式以將電流供應至第一導電的墊,及表示第二導電的墊之連接方式,以汲取電流返回至電源。
圖8根據本發明的一些實施例顯示一種方法的流程圖,該方法用於測量在半導體處理設備之內之電接觸件的電特性。
圖9根據本發明的一些實施例顯示一種方法的流程圖,該方法用於操作電鍍設備以實現自動化電鍍槽評估。
圖10根據本發明的一些實施例顯示一種用於評估半導體處理設備狀況之方法的流程圖。
400‧‧‧裝置(測量裝置)
401‧‧‧墊
403‧‧‧隔離構件
404‧‧‧電連接件
405‧‧‧電源
406‧‧‧電連接件
407‧‧‧測量電路
409‧‧‧開關電路
411‧‧‧控制模組
413‧‧‧記憶體模組
415‧‧‧電源供應器
417‧‧‧通訊模組

Claims (29)

  1. 一種測量在一半導體處理設備之內之導電路徑之電特性的裝置,該半導體處理設備係配置成當在一晶圓上執行一製程時,將該等導電路徑電連接至該晶圓的一表面,該裝置包含: 一盤狀結構,該盤狀結構的外徑實質上等於該晶圓的外徑,該盤狀結構的整體厚度實質上類似於該晶圓的整體厚度; 多個導電的墊,沿該盤狀結構之第一側的外周緣加以形成,其中該多個導電的墊之相鄰配置者係彼此電隔離,且其中該多個導電的墊共同界定該盤狀結構之該第一側的該外周緣; 一電源,具有一第一端子及一第二端子,當該盤狀結構係配置在該半導體處理設備之內時,該電源係配置成經由在該第一端子與該第二端子之間延伸的一導電路徑及經由該半導體處理設備的一部分供應電功率,該第一端子係電連接至該多個導電的墊之其中至少一者的一第一集合,該第二端子係電連接至該多個導電的墊之其中至少一者的一第二集合,該多個導電的墊之該第二集合係不包括該多個導電的墊之該第一集合,該多個導電的墊之該第一及第二集合形成在該第一端子及該第二端子之間延伸之該導電路徑的一部分; 測量電路,具有一第一輸入端子及一第二輸入端子,該測量電路的該第一輸入端子係電連接至該多個導電的墊之一選擇的第一者,該測量電路的該第二輸入端子係電連接至該多個導電的墊之一選擇的第二者,該測量電路係配置成基於在該多個導電的墊之該選擇的第一及第二者處存在的電訊號,判定一電參數的值;及 一電源供應器,配置在該盤狀結構上,該電源供應器係加以連接以將電功率供應至在該盤狀結構上的所有電動元件。
  2. 如申請專利範圍第1項之測量在一半導體處理設備之內之導電路徑之電特性的裝置,其中,該盤狀結構係一印刷電路板。
  3. 如申請專利範圍第1項之測量在一半導體處理設備之內之導電路徑之電特性的裝置,其中該盤狀結構係由一電絕緣材料形成,且其中該多個導電的墊之相鄰配置者係藉由該盤狀結構的一部分彼此隔離。
  4. 如申請專利範圍第1項之測量在一半導體處理設備之內之導電路徑之電特性的裝置,其中,電隔離結構係在該盤狀結構上加以配置,以將該多個導電的墊之相鄰配置者彼此電隔離。
  5. 如申請專利範圍第1項之測量在一半導體處理設備之內之導電路徑之電特性的裝置,其中,當沿該盤狀結構之該第一側的該外周緣測量時,該多個導電的墊之每一者的尺寸實質相等。
  6. 如申請專利範圍第1項之測量在一半導體處理設備之內之導電路徑之電特性的裝置,其中,該測量電路係配置成基於在該多個導電的墊之該選擇的第一及第二者處存在的該等電訊號,測量電壓及電流的其中一或二者。
  7. 如申請專利範圍第1項之測量在一半導體處理設備之內之導電路徑之電特性的裝置,其中,該電源係配置成經由在該第一端子與該第二端子之間延伸的該導電路徑供應受控量的電流。
  8. 如申請專利範圍第1項之測量在一半導體處理設備之內之導電路徑之電特性的裝置,其中,該電源係配置成在該第一端子與該第二端子之間供應受控量的電壓。
  9. 如申請專利範圍第1項之測量在一半導體處理設備之內之導電路徑之電特性的裝置,更包含: 開關電路,配置成在一給定時間將該電源的該第一端子連接至該多個導電的墊之其中至少一者的該第一集合,該開關電路係配置成在該給定時間將該電源的該第二端子連接至該多個導電的墊之其中至少一者的該第二集合,該開關電路係配置成在該給定時間將該測量電路的該第一輸入端子連接至該多個導電的墊之該選擇的第一者,且該開關電路係配置成在該給定時間將該測量電路的該第二輸入端子連接至該多個導電的墊之該選擇的第二者。
  10. 如申請專利範圍第9項之測量在一半導體處理設備之內之導電路徑之電特性的裝置,其中,該開關電路係配置成,在該給定時間將該多個導電的墊之其中任何兩者以上選擇性地電連接至該測量電路的該第一輸入端子。
  11. 如申請專利範圍第10項之測量在一半導體處理設備之內之導電路徑之電特性的裝置,其中,該開關電路係配置成,在該給定時間將該多個導電的墊之其中任何兩者以上選擇性地電連接至該測量電路的該第二輸入端子,其中,在該給定時間電連接至該測量電路的該第二輸入端子之該多個導電的墊的其中該兩者以上,係不同於在該給定時間電連接至該測量電路之該第一輸入端子之該多個導電的墊之其中該兩者以上。
  12. 如申請專利範圍第9項之測量在一半導體處理設備之內之導電路徑之電特性的裝置,更包含: 一控制模組,在該盤狀結構上加以配置,該控制模組係配置成指揮該電源及該測量電路及該開關電路的操作。
  13. 如申請專利範圍第12項之測量在一半導體處理設備之內之導電路徑之電特性的裝置,其中,該控制模組係配置成指揮該開關電路,以在該給定時間將該多個導電的墊之其中特定一者以上連接至該電源的該第一端子,及在該給定時間將該多個導電的墊之其中特定一者以上連接至該電源的該第二端子,及在該給定時間將該多個導電的墊之其中特定一者以上連接至該測量電路的該第一輸入端子,及在該給定時間將該多個導電的墊之其中特定一者以上連接至該測量電路的該第二輸入端子。
  14. 如申請專利範圍第1項之測量在一半導體處理設備之內之導電路徑之電特性的裝置,更包含: 一電腦記憶體,在該盤狀結構上加以配置,該電腦記憶體係配置成儲存表示由該測量電路判定之該電參數的值之數位資料,其中,該測量電路包含類比數位轉換電路,用於將該電參數的值轉換為表示該電參數的值之數位資料。
  15. 如申請專利範圍第1項之測量在一半導體處理設備之內之導電路徑之電特性的裝置,更包含: 一通訊模組,在該盤狀結構上加以配置,該通訊模組係配置成無線地傳送表示由該測量電路判定之該電參數的值之資料。
  16. 如申請專利範圍第1項之測量在一半導體處理設備之內之導電路徑之電特性的裝置,其中該半導體處理設備係一電鍍設備,且其中在該半導體處理設備之內的該等導電路徑包含電接觸件,當該盤狀結構係在該半導體處理設備之內加以配置時,該等電接觸件係配置成物理接觸沿該盤狀結構之該第一側的該外周緣形成之該多個導電的墊。
  17. 一種測量在一半導體處理設備之內之導電路徑之電特性的方法,該半導體處理設備係配置成當在一晶圓上執行一製程時,將該等導電路徑電連接至該晶圓的一表面,該方法包含: 在該半導體處理設備之內配置一測量裝置,該測量裝置具有一盤狀結構,其中該盤狀結構的外徑實質上等於該晶圓的外徑,且其中該盤狀結構的整體厚度實質上類似於該晶圓的整體厚度,其中該測量裝置係配置在該半導體處理設備之內,使得沿該測量裝置之第一側的外周緣形成之多個導電的墊物理接觸在該半導體處理設備之內的電接觸件,其中該多個導電的墊之相鄰配置者係在該測量裝置中彼此電隔離,且其中該多個導電的墊共同界定該測量裝置之該第一側的該外周緣; 操作該測量裝置以經由一導電路徑供應電功率,該導電路徑包括該多個導電的墊之其中至少一者的一第一集合及該多個導電的墊之其中至少一者的一第二集合,其中,該多個導電的墊之該第二集合係不包括該多個導電的墊之該第一集合; 操作該測量裝置以測量在該多個導電的墊之一選擇的第一者及在該多個導電的墊之一選擇的第二者處存在的電訊號;及 操作該測量裝置,以使用該測量的電訊號,針對延伸穿過該半導體處理設備的一部分且包含該多個導電的墊之該選擇的第一者及該多個導電的墊之該選擇的第二者的一導電路徑,判定一特徵參數。
  18. 如申請專利範圍第17項之測量在一半導體處理設備之內之導電路徑之電特性的方法,其中,延伸穿過該半導體處理設備之該部分之該導電路徑的該特徵參數係一電阻值。
  19. 如申請專利範圍第18項之測量在一半導體處理設備之內之導電路徑之電特性的方法,其中,該半導體處理設備係一電鍍設備,且其中在該半導體處理設備之內的該等導電路徑包含:電接觸件,其當該測量裝置係在該半導體處理設備之內加以配置時,物理接觸該多個導電的墊。
  20. 如申請專利範圍第17項之測量在一半導體處理設備之內之導電路徑之電特性的方法,其中,操作該測量裝置以經由該導電路徑供應電功率的步驟包含:將電流傳輸通過該多個導電的墊之其中至少一者的該第一集合及該多個導電的墊之其中至少一者的該第二集合。
  21. 如申請專利範圍第17項之測量在一半導體處理設備之內之導電路徑之電特性的方法,其中,操作該測量裝置以經由該導電路徑供應電功率的步驟包含:在該多個導電的墊之其中至少一者的該第一集合與該多個導電的墊之其中至少一者的該第二集合之間施加一電壓差。
  22. 如申請專利範圍第17項之測量在一半導體處理設備之內之導電路徑之電特性的方法,更包含: 操作該測量裝置,以儲存表示導電路徑之該特徵參數的值之資料。
  23. 一種測量在一半導體處理設備之內之導電路徑之電特性的系統,該半導體處理設備係配置成當在一晶圓上執行一製程時,將該等導電路徑電連接至該晶圓的一表面,該系統包含: 具有一盤狀結構的一測量裝置,其中該盤狀結構的外徑實質上等於該晶圓的外徑,且其中該盤狀結構的整體厚度實質上類似於該晶圓的整體厚度, 該測量裝置包含多個導電的墊,該多個導電的墊沿該盤狀結構之第一側的外周緣加以形成,其中該多個導電的墊之相鄰配置者係彼此電隔離,且其中該多個導電的墊共同界定該盤狀結構之該第一側的該外周緣, 該測量裝置包含一電源,該電源具有一第一端子及一第二端子,當該測量裝置係配置在該半導體處理設備之內時,該電源係配置成經由在該第一端子與該第二端子之間延伸的一導電路徑及經由該半導體處理設備的一部分供應電功率,該第一端子係電連接至該多個導電的墊之其中至少一者的一第一集合,該第二端子係電連接至該多個導電的墊之其中至少一者的一第二集合,該多個導電的墊之該第二集合係不包括該多個導電的墊之該第一集合,該多個導電的墊之該第一及第二集合形成在該第一端子及該第二端子之間延伸之該導電路徑的一部分, 該測量裝置包含測量電路,該測量電路具有一第一輸入端子及一第二輸入端子,該測量電路的該第一輸入端子係電連接至該多個導電的墊之一選擇的第一者,該測量電路的該第二輸入端子係電連接至該多個導電的墊之一選擇的第二者,該測量電路係配置成基於在該多個導電的墊之該選擇的第一及第二者處存在的電訊號,判定一電參數的值, 該測量裝置包含一通訊模組,該通訊模組係配置成傳送表示由該測量電路判定之該電參數的值之資料,及 該測量裝置包含一電源供應器,該電源供應器係加以連接以將電功率供應至在該測量裝置上的所有電動元件。
  24. 如申請專利範圍第23項之測量在一半導體處理設備之內之導電路徑之電特性的系統,其中,該測量裝置包含開關電路,該開關電路係配置成在一給定時間將該電源的該第一端子連接至該多個導電的墊之其中至少一者的該第一集合,該開關電路係配置成在該給定時間將該電源的該第二端子連接至該多個導電的墊之其中至少一者的該第二集合,該開關電路係配置成在該給定時間將該測量電路的該第一輸入端子連接至該多個導電的墊之該選擇的第一者,且該開關電路係配置成在該給定時間將該測量電路的該第二輸入端子連接至該多個導電的墊之該選擇的第二者。
  25. 如申請專利範圍第23項之測量在一半導體處理設備之內之導電路徑之電特性的系統,其中,該測量裝置包含一資料儲存裝置,該資料儲存裝置係配置成儲存基於在該多個導電的墊之該選擇的第一及第二者處存在的電訊號判定之該電參數的值。
  26. 一種方法,包含: 以與一晶圓係配置在一半導體處理設備之內的相同方式,將一測量裝置配置在該半導體處理設備之內,該半導體處理設備係配置成當在該晶圓上執行一製程時,將一條以上導電路徑電連接至該晶圓的一表面,該測量裝置具有一盤狀結構,其中該盤狀結構的外徑與該晶圓的外徑實質相等,且其中該盤狀結構的整體厚度實質上類似於該晶圓的整體厚度,其中該測量裝置係配置在該半導體處理設備之內,使得沿該測量裝置之第一側的外周緣形成之該多個導電的墊物理接觸在該半導體處理設備之內的電接觸件; 操作該測量裝置,以經由包括該多個導電的墊之其中至少一者的一第一集合及該多個導電的墊之其中至少一者的一第二集合之一導電路徑,供應電功率,其中,該多個導電的墊之該第二集合係不包括該多個導電的墊之該第一集合; 操作該測量裝置以測量在該多個導電的墊之一選擇的第一者及在該多個導電的墊之一選擇的第二者處存在的電訊號,以判定延伸穿過該半導體處理設備的一部分之一導電路徑的一特徵參數;及 自該半導體處理設備移除該測量裝置。
  27. 如申請專利範圍第26項之方法,更包含: 判定導電路徑的該特徵參數是否為可接受的; 當判定導電路徑的該特徵參數係不可接受時,提供該半導體處理設備需要維修的通知;及 當判定導電路徑的該特徵參數係可接受時,繼續該半導體處理設備的正常操作。
  28. 如申請專利範圍第26項之方法,更包含: 將該測量裝置儲放在該半導體處理設備。
  29. 如申請專利範圍第28項之方法,更包含: 當該測量裝置係儲放在該半導體處理設備時,對該測量裝置充電。
TW106112701A 2016-04-20 2017-04-17 量測電鍍槽元件之狀態的設備與相關方法 TWI735566B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662325237P 2016-04-20 2016-04-20
US62/325,237 2016-04-20

Publications (2)

Publication Number Publication Date
TW201802468A true TW201802468A (zh) 2018-01-16
TWI735566B TWI735566B (zh) 2021-08-11

Family

ID=60089012

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106112701A TWI735566B (zh) 2016-04-20 2017-04-17 量測電鍍槽元件之狀態的設備與相關方法

Country Status (5)

Country Link
US (2) US10436829B2 (zh)
KR (2) KR102432626B1 (zh)
CN (2) CN107329069B (zh)
SG (1) SG10201702885PA (zh)
TW (1) TWI735566B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG10201702885PA (en) * 2016-04-20 2017-11-29 Lam Res Corp Apparatus for measuring condition of electroplating cell components and associated methods
US11169192B2 (en) * 2018-04-23 2021-11-09 The Government Of The United States, As Represented By The Secretary Of The Army Trigonometry dependent plot creation
CN112068022B (zh) * 2020-09-10 2023-01-24 浪潮商用机器有限公司 一种直流电源的电流特性检测方法、系统及相关组件

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1197494A (ja) * 1997-09-18 1999-04-09 Hitachi Ltd 半導体装置およびその製造方法
US6563299B1 (en) * 2000-08-30 2003-05-13 Micron Technology, Inc. Apparatus for measuring parasitic capacitance and inductance of I/O leads on an electrical component using a network analyzer
US6828647B2 (en) 2001-04-05 2004-12-07 Infineon Technologies Ag Structure for determining edges of regions in a semiconductor wafer
US7344899B2 (en) 2002-01-22 2008-03-18 Micron Technology, Inc. Die assembly and method for forming a die on a wafer
US6807503B2 (en) * 2002-11-04 2004-10-19 Brion Technologies, Inc. Method and apparatus for monitoring integrated circuit fabrication
US7135852B2 (en) * 2002-12-03 2006-11-14 Sensarray Corporation Integrated process condition sensing wafer and data analysis system
US7256055B2 (en) * 2003-08-25 2007-08-14 Tau-Metrix, Inc. System and apparatus for using test structures inside of a chip during the fabrication of the chip
CN101329663B (zh) 2008-07-31 2010-04-21 炬力集成电路设计有限公司 一种实现片上系统管脚分时复用的装置及方法
US8013333B2 (en) 2008-11-07 2011-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor test pad structures
TWI473223B (zh) 2009-08-19 2015-02-11 Xintec Inc 晶片封裝體及其製造方法
US8507321B2 (en) 2010-05-11 2013-08-13 Chao-Yen Lin Chip package and method for forming the same
US20110278054A1 (en) 2010-05-14 2011-11-17 I-Tseng Lee Circuit board with notched conductor pads
CN102034683B (zh) 2010-10-14 2013-03-20 友达光电股份有限公司 激光修补机台
IN2013MU01206A (zh) 2013-03-28 2015-04-10 Control Tech Ltd
US9822460B2 (en) * 2014-01-21 2017-11-21 Lam Research Corporation Methods and apparatuses for electroplating and seed layer detection
SG10201702885PA (en) * 2016-04-20 2017-11-29 Lam Res Corp Apparatus for measuring condition of electroplating cell components and associated methods

Also Published As

Publication number Publication date
KR102598276B1 (ko) 2023-11-06
CN111624455B (zh) 2023-04-04
CN111624455A (zh) 2020-09-04
US20170307554A1 (en) 2017-10-26
KR102432626B1 (ko) 2022-08-12
US10436829B2 (en) 2019-10-08
SG10201702885PA (en) 2017-11-29
KR20170120034A (ko) 2017-10-30
TWI735566B (zh) 2021-08-11
KR20220118367A (ko) 2022-08-25
US20200011914A1 (en) 2020-01-09
CN107329069A (zh) 2017-11-07
CN107329069B (zh) 2020-03-10
US10989747B2 (en) 2021-04-27

Similar Documents

Publication Publication Date Title
KR102598276B1 (ko) 전기도금 셀 컴포넌트들의 상태를 측정하기 위한 장치 및 연관된 방법들
JP7127184B2 (ja) めっき装置、基板ホルダ、抵抗測定モジュール、および基板ホルダを検査する方法
JP6440587B2 (ja) 吸着プレート、半導体装置の試験装置および半導体装置の試験方法
KR20160117049A (ko) 실리콘 러버 소켓
KR20150045621A (ko) 전지셀의 기능 검사 지그
US20200020574A1 (en) Device and method for contact state inspection
KR101577396B1 (ko) 전기 단자 테스트용 컨택 핀
TWI781271B (zh) 使用檢查用基板之電流測定模組及檢查用基板
JP2008028253A (ja) ウエハ載置台
KR101476794B1 (ko) 테스트용 소켓 및 테스트용 소켓의 제조방법
US20220082461A1 (en) Device and method for pressure force inspection
TWI526693B (zh) The improved structure of the test probe card
KR101649521B1 (ko) 프로브 및 그 제조방법
CN110373654B (zh) 叉指结构、下电极装置和工艺腔室
CN112505102A (zh) 封装基板之电阻测量方法及其封装基板
JP7308792B2 (ja) 半導体装置の評価装置および半導体装置の評価方法
CN221124408U (zh) 一种样品离子迁移测定设备用的电极
CN112063979B (zh) 半导体加工装置及相关磁控溅射装置
CN111063635B (zh) 检测前开式晶圆传送盒内微环境的方法
US20230010881A1 (en) Impedance measurement jig and method of controlling a substrate-processing apparatus using the jig
KR101962088B1 (ko) 전자개폐기용 코일 구조체 가공방법
US20120241319A1 (en) Gas Detector Having Bipolar Counter/Reference Electrode
CN217688700U (zh) 测试装置及测试系统
JP7055478B2 (ja) バッテリー特性測定装置
JP2007285939A (ja) 半導体集積回路装置の検査装置および検査方法