TW201743143A - 用於判定圖案化製程參數的方法和設備 - Google Patents

用於判定圖案化製程參數的方法和設備 Download PDF

Info

Publication number
TW201743143A
TW201743143A TW106106740A TW106106740A TW201743143A TW 201743143 A TW201743143 A TW 201743143A TW 106106740 A TW106106740 A TW 106106740A TW 106106740 A TW106106740 A TW 106106740A TW 201743143 A TW201743143 A TW 201743143A
Authority
TW
Taiwan
Prior art keywords
target
unit cell
radiation
value
patterning process
Prior art date
Application number
TW106106740A
Other languages
English (en)
Other versions
TWI727003B (zh
Inventor
力司特 雅得安 喬漢 凡
艾納諾斯堤斯 柴特瑪司
保羅 克利絲丁安 希尼
納馬拉 艾略特 葛雷德 麥克
艾羅克 沃馬
湯馬士 休威斯
雨果 奧格斯提納斯 約瑟夫 克瑞馬
Original Assignee
荷蘭商Asml荷蘭公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asml荷蘭公司 filed Critical 荷蘭商Asml荷蘭公司
Publication of TW201743143A publication Critical patent/TW201743143A/zh
Application granted granted Critical
Publication of TWI727003B publication Critical patent/TWI727003B/zh

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B3/00Measuring instruments characterised by the use of mechanical techniques
    • G01B3/14Templates for checking contours
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8851Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8851Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges
    • G01N2021/8887Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges based on image processing techniques
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • Pathology (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Signal Processing (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Architecture (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

一種判定一圖案化製程之疊對之方法,該方法包括:運用一輻射光束照明一基板使得該基板上之一光束光點填充有一單位胞元之一或多個實體例項,該單位胞元在疊對之一標稱值下具有幾何對稱性;使用一偵測器主要偵測由該單位胞元之該一或多個實體例項重新導向之零階輻射;及藉由一硬體電腦系統自該偵測到輻射之一光學特性之值判定該單位胞元之疊對之一非標稱值。

Description

用於判定圖案化製程參數的方法和設備
本描述係關於一種用以判定製程之參數(諸如疊對) (例如)以在基板上產生圖案且該經判定參數可用以設計、監視、調整等等與處理有關之一或多個變數之方法及設備。
微影設備為將所要圖案施加至基板上(通常施加至基板之目標部分上)之機器。微影設備可用於(例如)積體電路(IC)或經設計為功能性的其他器件之製造中。在彼情況下,圖案化器件(其替代地被稱作光罩或倍縮光罩)可用以產生待形成於經設計為功能性的器件之個別層上之電路圖案。可將此圖案轉印至基板(例如,矽晶圓)上之目標部分(例如,包括晶粒之部分、一個晶粒或若干晶粒)上。通常經由成像至提供於基板上之輻射敏感材料(抗蝕劑)層上而進行圖案之轉印。一般而言,單一基板將含有經順次地圖案化之鄰近目標部分之網路。已知微影設備包括:所謂的步進器,其中藉由一次性將整個圖案曝光至目標部分上來輻照每一目標部分;及所謂的掃描器,其中藉由在給定方向(「掃描」方向)上經由輻射光束而掃描圖案同時平行或反平行於此方向而同步地掃描基板來輻照每一目標部分。亦有可能藉由將圖案壓印至基板上而將圖案自圖案化器件轉印至基板。
諸如半導體器件之製造器件通常涉及使用數個製作製程來處理基板(例如,半導體晶圓)以形成該等器件之各種特徵且常常形成該等器件之多個層。通常使用(例如)沈積、微影、蝕刻、化學機械拋光及離子植入來製造及處理此等層及/或特徵。可在一基板上之複數個晶粒上製作多個器件,且接著將該等器件分離成個別器件。此器件製造製程可視為係圖案化製程。圖案化製程涉及圖案轉印步驟,諸如使用微影設備之光學及/或奈米壓印微影,以在基板上提供圖案且通常但(視情況)涉及一或多個相關圖案處理步驟,諸如藉由顯影設備之抗蝕劑顯影、使用烘烤工具烘烤基板、藉由蝕刻設備蝕刻圖案等等。另外,在圖案化製程中涉及一或多個度量衡製程。 在圖案化製程期間在各種步驟下使用度量衡製程以監視及/或控制該製程。舉例而言,度量衡製程係用以量測基板之一或多個特性,諸如在圖案化製程期間形成於基板上之特徵之相對部位(例如,對齊、疊對、對準等等)或尺寸(例如,線寬、臨界尺寸(CD)、厚度等等),使得(例如)可自該一或多個特性判定圖案化製程之效能。若一或多個特性係不可接受的(例如,在用於特性之預定範圍之外),則可(例如)基於該一或多個特性之量測而設計或變更圖案化製程之一或多個變數使得藉由圖案化製程製造之基板具有可接受的特性。 幾十年來,隨著微影及其他圖案化製程技術之改進,功能性元件之尺寸已不斷地縮減,而每器件功能性元件(諸如電晶體)之量已穩定地增加。同時,對在疊對、臨界尺寸(CD)等等方面之準確度要求已變得愈來愈嚴格。將在圖案化製程中不可避免地產生誤差,諸如疊對中之誤差、CD中之誤差等等。舉例而言,可自光學像差、圖案化器件加熱、圖案化器件誤差及/或基板加熱產生成像誤差,且可依據(例如)疊對、CD等等來特性化成像誤差。另外或替代地,可在圖案化製程之其他部分中(諸如在蝕刻、顯影、烘烤等等中)引入誤差,且相似地,可依據(例如)疊對、CD等等來特性化該誤差。該誤差可造成在器件之運行方面之問題,包括器件運行之故障,或運行器件之一或多個電氣問題。因此,需要能夠特性化一或多個此等誤差且採取步驟以設計、修改、控制等等圖案化製程以縮減或最小化此等誤差中的一或多者。 在一實施例中,提供一種度量衡目標,其包含:一第一結構,其經配置以藉由一第一圖案化製程而產生;及一第二結構,其經配置以藉由一第二圖案化製程而產生,其中該第一結構及/或該第二結構並不用以產生一器件圖案之一功能態樣,且其中該第一結構及該第二結構一起形成一單位胞元之一或多個例項,該單位胞元在一標稱實體組態下具有幾何對稱性,且其中該單位胞元具有一特徵,該特徵在不同於該標稱實體組態之一實體組態下歸因於該第一圖案化製程、該第二圖案化製程及/或另一圖案化製程中之圖案置放之一相對移位而造成該單位胞元中之一不對稱性。 在一實施例中,提供一種電腦程式產品,其包含經記錄有一資料結構之一電腦非暫時性可讀媒體,該資料結構對應於如本文中所描述之一度量衡目標。在一實施例中,提供一種倍縮光罩,其包含對應於如本文中所描述之一度量衡目標之一圖案。 在一實施例中,提供一種方法,其包含:產生用於一度量衡目標之一第一結構,該第一結構待藉由產生一器件之一對應器件特徵的一第一圖案化製程而產生;產生用於該度量衡目標之一第二結構,該第二結構待藉由產生器件之一另外對應器件特徵的一第二圖案化製程而產生,其中該第一結構及該第二結構一起形成一單位胞元之一或多個例項,該單位胞元在一標稱實體組態下具有幾何對稱性;及將一特徵引入該度量衡目標中,該特徵在不同於該標稱實體組態之一實體組態下歸因於該器件中之器件特徵之部位自該器件中之該等器件特徵之一預期部位之一相對移位而造成該單位胞元中之一不對稱性。 在一實施例中,提供一種方法,其包含:量測由如本文中所描述之一度量衡重新導向之輻射,該輻射係使用一圖案化製程而轉印至一基板,以判定該圖案化製程之一參數之一值。在一實施例中,該參數包含疊對及/或邊緣置放誤差。 在一態樣中,提供一種包含機器可讀指令之非暫時性電腦程式產品,該等機器可讀指令用於致使一處理器系統引起本文中所描述之一方法之執行。在一態樣中,提供一種電腦程式產品,其包含經記錄有指令之一電腦非暫時性可讀媒體,該等指令在藉由一電腦執行時實施本文中所描述之一方法或一或多個製程步驟。 在一態樣中,提供一種用於量測一圖案化製程之一物件之度量衡設備,該度量衡設備經組態以執行如本文中所描述之一方法。在一態樣中,提供一種用於檢測一圖案化製程之一物件之檢測設備,該檢測設備可操作以執行如本文中所描述之一方法。 在一態樣中,提供一種系統,其包含:一度量衡設備,其經組態以將一輻射光束提供至一物件表面上且偵測由該物件表面上之結構重新導向之輻射;及如本文中所描述之一電腦程式產品。在一實施例中,該系統進一步包含一微影設備,該微影設備包含:一支撐結構,其經組態以固持用以調變一輻射光束之一圖案化器件;及一投影光學系統,其經配置以將該經調變輻射光束投影至一輻射敏感基板上,其中該物件為該基板。 在一實施例中,提供一種系統,其包含:一硬體處理器系統;及一非暫時性電腦可讀儲存媒體,其經組態以儲存機器可讀指令,其中該等機器可讀指令在經執行時致使該硬體處理器系統執行如本文中所描述之一方法。
在詳細地描述實施例之前,有指導性的是呈現可供實施實施例之實例環境。 圖1示意性地描繪微影設備LA。該設備包含: - 照明系統(照明器) IL,其經組態以調節輻射光束B (例如,UV輻射或DUV輻射); - 支撐結構(例如,光罩台) MT,其經建構以支撐圖案化器件(例如,光罩) MA且連接至經組態以根據某些參數來準確地定位該圖案化器件之第一定位器PM; - 基板台(例如,晶圓台) WT,其經建構以固持基板(例如,抗蝕劑塗佈晶圓) W,且連接至經組態以根據某些參數來準確地定位該基板之第二定位器PW;及 - 投影系統(例如,折射投影透鏡系統) PS,其經組態以將由圖案化器件MA賦予至輻射光束B之圖案投影至基板W之目標部分C (例如,包含一或多個晶粒)上,該投影系統被支撐於參考框架(RF)上。 照明系統可包括用於導向、塑形或控制輻射之各種類型之光學組件,諸如折射、反射、磁性、電磁、靜電或其他類型之光學組件,或其任何組合。 支撐結構以取決於圖案化器件之定向、微影設備之設計及其他條件(諸如圖案化器件是否被固持於真空環境中)的方式來支撐圖案化器件。支撐結構可使用機械、真空、靜電或其他夾持技術以固持圖案化器件。支撐結構可為(例如)框架或台,其可根據需要而固定或可移動。支撐結構可確保圖案化器件(例如)相對於投影系統處於所要位置。可認為本文中對術語「倍縮光罩」或「光罩」之任何使用皆與更一般之術語「圖案化器件」同義。 本文中所使用之術語「圖案化器件」應被廣泛地解譯為係指可用以在基板之目標部分中賦予圖案之任何器件。在一實施例中,圖案化器件為可用以在輻射光束之橫截面中向輻射光束賦予圖案以便在基板之目標部分中產生圖案的任何器件。應注意,舉例而言,若被賦予至輻射光束之圖案包括相移特徵或所謂的輔助特徵,則該圖案可不確切地對應於基板之目標部分中之所要圖案。通常,被賦予至輻射光束之圖案將對應於目標部分中所產生之器件(諸如積體電路)中的特定功能層。 圖案化器件可為透射的或反射的。圖案化器件之實例包括光罩、可程式化鏡面陣列及可程式化LCD面板。光罩在微影中為吾人所熟知,且包括諸如二元、交變相移及衰減相移之光罩類型,以及各種混合式光罩類型。可程式化鏡面陣列之實例使用小鏡面之矩陣配置,該等小鏡面中之每一者可個別地傾斜,以便使入射輻射光束在不同方向上反射。傾斜鏡面在由鏡面矩陣反射之輻射光束中賦予圖案。 本文中所使用之術語「投影系統」應被廣泛地解譯為涵蓋適於所使用之曝光輻射或適於諸如浸潤液體之使用或真空之使用之其他因素的任何類型之投影系統,包括折射、反射、反射折射、磁性、電磁及靜電光學系統,或其任何組合。可認為本文中對術語「投影透鏡」之任何使用皆與更一般之術語「投影系統」同義。 投影系統PS具有可非均勻且可影響成像於基板W上之圖案之光學轉移函數。對於非偏振輻射,此等效應可由兩個純量映像相當良好地描述,該兩個純量映像描述依據射出投影系統PS之輻射之光瞳平面中之位置而變化的該輻射之透射(變跡)及相對相位(像差)。可將可被稱作透射映像及相對相位映像之此等純量映像表達為基底函數全集之線性組合。一特別方便集合為任尼克多項式,其形成單位圓上所定義之正交多項式集合。每一純量映像之判定可涉及判定此展開式中之係數。由於任尼克多項式在單位圓上正交,故可藉由依次計算經量測純量映像與每一任尼克多項式之內積且將此內積除以彼任尼克多項式之範數之平方來判定任尼克係數。 透射映像及相對相位映像係場及系統相依的。亦即,一般而言,每一投影系統PS將針對每一場點(亦即,針對投影系統PS之影像平面中之每一空間部位)具有一不同任尼克展開式。可藉由將輻射(例如)自投影系統PS之物件平面(亦即,圖案化器件MA之平面)中之類點源投影通過投影系統PS且使用剪切干涉計以量測波前(亦即,具有相同相位之點之軌跡)來判定投影系統PS在其光瞳平面中之相對相位。剪切干涉計為共同路徑干涉計,且因此,有利地,無需次級參考光束來量測波前。剪切干涉計可包含:繞射光柵,例如,投影系統之影像平面(亦即,基板台WT)中之二維柵格;及偵測器,其經配置以偵測與投影系統PS之光瞳平面共軛的平面中之干涉圖案。干涉圖案係與輻射之相位相對於在剪切方向上在光瞳平面中之座標的導數相關。偵測器可包含感測元件陣列,諸如電荷耦合器件(CCD)。 微影設備之投影系統PS可不產生可見條紋,且因此,可使用相位步進技術(諸如移動繞射光柵)來增強波前判定之準確度。可在繞射光柵之平面中及在垂直於量測之掃描方向的方向上執行步進。步進範圍可為一個光柵週期,且可使用至少三個(均勻地分佈之)相位步進。因此,舉例而言,可在y方向上執行三個掃描量測,每一掃描量測係針對在x方向上之一不同位置而執行。繞射光柵之此步進將相位變化有效地變換成強度變化,從而允許判定相位資訊。光柵可在垂直於繞射光柵之方向(z方向)上步進以校準偵測器。 可藉由將輻射(例如)自投影系統PS之物件平面(亦即,圖案化器件MA之平面)中之類點源投影通過投影系統PS且使用偵測器來量測與投影系統PS之光瞳平面共軛的平面中之輻射強度來判定投影系統PS在其光瞳平面中之透射(變跡)。可使用與用以量測波前以判定像差的偵測器同一個偵測器。 投影系統PS可包含複數個光學(例如,透鏡)元件且可進一步包含調整機構AM,該調整機構經組態以調整該等光學元件中之一或多者以便校正像差(橫越貫穿場之光瞳平面之相位變化)。為了達成此校正,調整機構可操作而以一或多種不同方式操控投影系統PS內之一或多個光學(例如,透鏡)元件。投影系統可具有座標系,其中其光軸在z方向上延伸。調整機構可操作以進行以下各項之任何組合:使一或多個光學元件位移;使一或多個光學元件傾斜;及/或使一或多個光學元件變形。光學元件之位移可在任何方向(x、y、z或其組合)上進行。光學元件之傾斜通常出自垂直於光軸之平面藉由圍繞在x及/或y方向上之軸線旋轉而進行,但對於非旋轉對稱之非球面光學元件可使用圍繞z軸之旋轉。光學元件之變形可包括低頻形狀(例如,像散)及/或高頻形狀(例如,自由形式非球面)。可(例如)藉由使用一或多個致動器以對光學元件之一或多個側施加力及/或藉由使用一或多個加熱元件以加熱光學元件之一或多個選定區來執行光學元件之變形。一般而言,沒有可能調整投影系統PS以校正變跡(橫越光瞳平面之透射變化)。可在設計用於微影設備LA之圖案化器件(例如,光罩) MA時使用投影系統PS之透射映像。使用計算微影技術,圖案化器件MA可經設計為用以至少部分地校正變跡。 如此處所描繪,設備屬於透射類型(例如,使用透射光罩)。替代地,設備可屬於反射類型(例如,使用上文所提及之類型之可程式化鏡面陣列,或使用反射光罩)。 微影設備可屬於具有兩個(雙載物台)或多於兩個台(例如,兩個或多於兩個基板台WTa、WTb、兩個或多於兩個圖案化器件台、在無專用於(例如)促進量測及/或清潔等等之基板的情況下在投影系統下方之基板台WTa及台WTb)之類型。在此等「多載物台」機器中,可並行地使用額外台,或可在一或多個台上進行預備步驟,同時將一或多個其他台用於曝光。舉例而言,可進行使用對準感測器AS之對準量測及/或使用位階感測器LS之位階(高度、傾角等等)量測。 微影設備亦可屬於如下類型:其中基板之至少一部分可由具有相對高折射率之液體(例如,水)覆蓋,以便填充投影系統與基板之間的空間。亦可將浸潤液體施加至微影設備中之其他空間,例如,圖案化器件與投影系統之間的空間。浸潤技術在此項技術中被熟知用於增加投影系統之數值孔徑。如本文中所使用之術語「浸潤」並不意謂諸如基板之結構必須浸沒於液體中,而是僅意謂液體在曝光期間位於投影系統與基板之間。 參看圖1,照明器IL自輻射源SO接收輻射光束。舉例而言,當源為準分子雷射時,源及微影設備可為分離的實體。在此等狀況下,不認為源形成微影設備之部分,且輻射光束係憑藉包含(例如)合適導向鏡面及/或光束擴展器之光束遞送系統BD而自源SO傳遞至照明器IL。在其他狀況下,舉例而言,當源為水銀燈時,源可為微影設備之整體部分。源SO及照明器IL連同光束遞送系統BD (在需要時)可被稱作輻射系統。 照明器IL可包含經組態以調整輻射光束之角強度分佈之調整器AD。通常,可調整照明器之光瞳平面中之強度分佈的至少外部徑向範圍及/或內部徑向範圍(通常分別被稱作σ外部及σ內部)。另外,照明器IL可包含各種其他組件,諸如積光器IN及聚光器CO。照明器可用以調節輻射光束,以在其橫截面中具有所要均勻性及強度分佈。 輻射光束B入射於被固持於支撐結構(例如,光罩台) MT上之圖案化器件(例如,光罩) MA上,且係由該圖案化器件圖案化。在已橫穿圖案化器件MA的情況下,輻射光束B傳遞通過投影系統PS,投影系統PS將該光束聚焦至基板W之目標部分C上。憑藉第二定位器PW及位置感測器IF (例如,干涉量測器件、線性編碼器、2-D編碼器或電容性感測器),可準確地移動基板台WT,例如,以便將不同目標部分C定位於輻射光束B之路徑中。相似地,第一定位器PM及另一位置感測器(其未在圖1中被明確地描繪)可用以(例如)在自光罩庫之機械擷取之後或在掃描期間相對於輻射光束B之路徑來準確地定位圖案化器件MA。一般而言,可憑藉形成第一定位器PM之部分之長衝程模組(粗略定位)及短衝程模組(精細定位)來實現支撐結構MT之移動。相似地,可使用形成第二定位器PW之部分之長衝程模組及短衝程模組來實現基板台WT之移動。在步進器(相對於掃描器)之狀況下,支撐結構MT可僅連接至短衝程致動器,或可固定。可使用圖案化器件對準標記M1、M2及基板對準標記P1、P2來對準圖案化器件MA及基板W。儘管如所說明之基板對準標記佔據專用目標部分,但該等基板對準標記可位於目標部分之間的空間中(此等標記被稱為切割道對準標記)。相似地,在多於一個晶粒提供於圖案化器件MA上之情形中,圖案化器件對準標記可位於該等晶粒之間。 所描繪設備可用於以下模式中之至少一者中: 1. 在步進模式中,在將被賦予至輻射光束之整個圖案一次性投影至目標部分C上時,使支撐結構MT及基板台WT保持基本上靜止(亦即,單次靜態曝光)。接著,使基板台WT在X及/或Y方向上移位,使得可曝光不同目標部分C。在步進模式中,曝光場之最大大小限制單次靜態曝光中成像之目標部分C之大小。 2. 在掃描模式中,在將被賦予至輻射光束之圖案投影至目標部分C上時,同步地掃描支撐結構MT及基板台WT (亦即,單次動態曝光)。可藉由投影系統PS之放大率(縮小率)及影像反轉特性來判定基板台WT相對於支撐結構MT之速度及方向。在掃描模式中,曝光場之最大大小限制單次動態曝光中之目標部分之寬度(在非掃描方向上),而掃描運動之長度判定目標部分之高度(在掃描方向上)。 3. 在另一模式中,在將被賦予至輻射光束之圖案投影至目標部分C上時,使支撐結構MT保持基本上靜止,從而固持可程式化圖案化器件,且移動或掃描基板台WT。在此模式中,通常使用脈衝式輻射源,且在基板台WT之每一移動之後或在一掃描期間之順次輻射脈衝之間根據需要而更新可程式化圖案化器件。此操作模式可易於應用於利用可程式化圖案化器件(諸如上文所提及之類型之可程式化鏡面陣列)之無光罩微影。 亦可使用對上文所描述之使用模式之組合及/或變化或完全不同之使用模式。 如圖2所展示,微影設備LA可形成微影製造單元LC (有時亦被稱作叢集)之部分,微影製造單元LC亦包括用以對基板執行曝光前製程及曝光後製程之設備。通常,此等設備包括用以沈積一或多個抗蝕劑層之一或多個旋塗器SC、用以顯影經曝光抗蝕劑之一或多個顯影器DE、一或多個冷卻板CH及/或一或多個烘烤板BK。基板處置器或機器人RO自輸入/輸出通口I/O1、I/O2拾取一或多個基板,在不同製程設備之間移動基板且將基板遞送至微影設備之裝載匣LB。常常被集體地稱作塗佈顯影系統(track)之此等設備係在塗佈顯影系統控制單元TCU之控制下,塗佈顯影系統控制單元TCU自身受到監督控制系統SCS控制,監督控制系統SCS亦經由微影控制單元LACU而控制微影設備。因此,不同設備可經操作以最大化產出率及處理效率。 為了正確地且一致地曝光由微影設備曝光之基板,需要檢測經曝光基板以量測或判定一或多個屬性,諸如疊對(其可(例如)在上覆層中之結構之間,或在同一層中之已藉由(例如)雙重圖案化製程分離地提供至該層之結構之間)、線厚度、臨界尺寸(CD)、焦點偏移、材料屬性等等。因此,微影製造單元LC位於其中之製造設施亦通常包括度量衡系統MET,度量衡系統MET接收已在微影製造單元中經處理之基板W中的一些或全部。度量衡系統MET可為微影製造單元LC之部分,例如,其可為微影設備LA之部分。 可將度量衡結果直接或間接地提供至監督控制系統SCS。若偵測到誤差,則可對後續基板之曝光(尤其在可足夠迅速且快速完成檢測使得該批量之一或多個其他基板仍待曝光之情況下)及/或對經曝光基板之後續曝光進行調整。又,已經曝光之基板可被剝離及重工以改良良率,或被捨棄,藉此避免對已知有缺陷之基板執行進一步處理。在基板之僅一些目標部分有缺陷之狀況下,可僅對良好的彼等目標部分執行另外曝光。 在度量衡系統MET內,度量衡設備用以判定基板之一或多個屬性,且尤其判定不同基板之一或多個屬性如何變化或同一基板之不同層在不同層間如何變化。度量衡設備可整合至微影設備LA或微影製造單元LC中,或可為單機器件。為了實現快速量測,需要使度量衡設備緊接在曝光之後量測經曝光抗蝕劑層中之一或多個屬性。然而,抗蝕劑中之潛影具有低對比度-在已曝光至輻射之抗蝕劑之部分與尚未曝光至輻射之抗蝕劑之部分之間僅存在極小折射率差-且並非所有度量衡設備皆具有足夠敏感度以進行潛影之有用量測。因此,可在曝光後烘烤步驟(PEB)之後進行量測,曝光後烘烤步驟通常為對經曝光之基板進行之第一步驟且增加抗蝕劑之經曝光之部分與未經曝光之部分之間的對比度。在此階段,抗蝕劑中之影像可被稱為半潛影(semi-latent)。亦有可能對經顯影之抗蝕劑影像進行量測-此時,抗蝕劑之經曝光部分抑或未經曝光部分已被移除-或在諸如蝕刻之圖案轉印步驟之後對經顯影抗蝕劑影像進行量測。後一可能性限制重工有缺陷基板之可能性,但仍可提供有用資訊。 為了實現度量衡,可將一或多個目標提供於基板上。在一實施例中,目標經專門設計且可包含週期性結構。在一實施例中,目標為器件圖案之一部分,例如為器件圖案之週期性結構。在一實施例中,器件圖案為記憶體器件之週期性結構(例如,雙極電晶體(BPT)、位元線接點(BLC)等等結構)。 在一實施例中,基板上之目標可包含一或多個1-D週期性結構(例如,光柵),其經印刷成使得在顯影之後,週期性結構特徵係由固體抗蝕劑線形成。在一實施例中,目標可包含一或多個2-D週期性結構(例如,光柵),其經印刷成使得在顯影之後,該一或多個週期性結構係由抗蝕劑中之固體抗蝕劑導柱或通孔形成。長條、導柱或通孔可替代地經蝕刻至基板中(例如,經蝕刻至基板上之一或多個層中)。 在一實施例中,圖案化製程之所關注參數中之一者為疊對。可使用暗場散射量測來量測疊對,其中阻擋零繞射階(對應於鏡面反射),且僅處理高階。可在PCT專利申請公開案第WO 2009/078708號及第WO 2009/106279號中發現暗場度量衡之實例,該等專利申請公開案之全文係特此以引用方式併入。美國專利申請公開案US2011-0027704、US2011-0043791及US2012-0242970中已描述該技術之進一步開發,該等專利申請公開案之全文係特此以引用方式併入。使用繞射階之暗場偵測的以繞射為基礎之疊對實現對較小目標之疊對量測。此等目標可小於照明光點且可由基板上之器件產品結構環繞。在一實施例中,可在一個輻射捕捉中量測多個目標。 圖3A中示意性地展示適合用於實施例中以量測(例如)疊對之度量衡設備。圖3B中更詳細地說明目標T (包含諸如光柵之週期性結構)及繞射射線。度量衡設備可為單機器件,或併入於(例如)量測站處之微影設備LA中或併入於微影製造單元LC中。貫穿該設備具有若干分支之光軸係由點線O表示。在此設備中,由輸出11 (例如,諸如雷射或氙氣燈之源,或連接至源之開口)發射之輻射係由包含透鏡12、14及物鏡16之光學系統經由稜鏡15而導向至基板W上。此等透鏡係以4F配置之雙重序列而配置。可使用不同透鏡配置,其限制條件為:該透鏡配置仍將基板影像提供至偵測器上。 在一實施例中,透鏡配置允許接取中間光瞳平面以用於空間-頻率濾光。因此,可藉由在呈現基板平面之空間光譜之平面(此處被稱作(共軛)光瞳平面)中界定空間強度分佈來選擇輻射入射於基板上之角度範圍。詳言之,可(例如)藉由在為物鏡光瞳平面之背向投影式影像之平面中在透鏡12與14之間插入合適形式之孔徑板13來進行此選擇。在所說明實例中,孔徑板13具有不同形式(被標註為13N及13S),從而允許選擇不同照明模式。本實例中之照明系統形成離軸照明模式。在第一照明模式中,孔徑板13N提供來自僅出於描述起見而經指定為「北」之方向的離軸照明。在第二照明模式中,孔徑板13S用以提供相似照明,但相似照明來自被標註為「南」之相對方向。藉由使用不同孔徑,其他照明模式係可能的。光瞳平面之其餘部分理想地暗,此係因為所要照明模式外部之任何不必要輻射可干涉所要量測信號。 如圖3B中所展示,目標T經置放為使得基板W實質上垂直於物鏡16之光軸O。與軸線O成一角度而照射於目標T上之照明射線I引起一個零階射線(實線0)及兩個一階射線(點鏈線+1及雙點鏈點線-1)。在運用填充過度之小目標T的情況下,此等射線僅僅為覆蓋包括度量衡目標T及其他特徵之基板區域的許多平行射線中之一者。由於板13中之孔徑具有有限寬度(為接納有用量之輻射所必要),故入射射線I事實上將佔據一角度範圍,且繞射射線0及+1/-1將稍微散開。根據小目標之點散佈函數,每一階+1及-1將遍及一角度範圍而進一步散佈,而非如所展示之單一理想射線。應注意,週期性結構節距及照明角度可經設計或經調整成使得進入物鏡之一階射線與中心光軸緊密地對準。圖3A及圖3B中所說明之射線被展示為稍微離軸,以純粹地使其能夠在圖解中被較容易地區分。由基板W上之目標繞射之至少0階及+1階係由物鏡16收集,且被返回導向通過稜鏡15。 返回至圖3A,藉由指定被標註為北(N)及南(S)之完全相對孔徑來說明第一照明模式及第二照明模式兩者。當入射射線I係來自光軸之北側時,亦即,當使用孔徑板13N來應用第一照明模式時,被標註為+1(N)之+1繞射射線進入物鏡16。與此對比,當使用孔徑板13S來應用第二照明模式時,-1繞射射線(被標註為-1(S))為進入透鏡16之繞射射線。因此,在一實施例中,藉由在某些條件下量測目標兩次(例如,在使目標旋轉或改變照明模式或改變成像模式以分離地獲得-1繞射階強度及+1繞射階強度之後)來獲得量測結果。針對給定目標比較此等強度會提供該目標中之不對稱性之量測,且該目標中之不對稱性可用作微影製程之參數之指示符,例如,疊對。在以上所描述之情形下,改變照明模式。 光束分裂器17將繞射光束劃分成兩個量測分支。在第一量測分支中,光學系統18使用零階繞射光束及一階繞射光束而在第一感測器19 (例如,CCD或CMOS感測器)上形成目標之繞射光譜(光瞳平面影像)。每一繞射階射中感測器上之一不同點,使得影像處理可比較及對比若干階。由感測器19捕捉之光瞳平面影像可用於聚焦度量衡設備及/或正規化強度量測。光瞳平面影像亦可用於諸如重新建構之其他量測目的,如下文進一步所描述。 在第二量測分支中,光學系統20、22在感測器23 (例如,CCD或CMOS感測器)上形成基板W上之目標之影像。在第二量測分支中,將孔徑光闌21提供於與物鏡16之光瞳平面共軛之平面中。孔徑光闌21用以阻擋零階繞射光束,使得形成於感測器23上之目標之影像係由-1或+1一階光束形成。將關於由感測器19及23量測之資料輸出至影像處理器及控制器PU,影像處理器及控制器PU之功能將取決於正被執行之量測之特定類型。應注意,此處在廣泛意義上使用術語「影像」。因而若僅存在-1階及+1階中之一者,則將不形成週期性結構特徵(例如,光柵線)之影像。 圖3中所展示之孔徑板13及光闌21之特定形式純粹為實例。在另一實施例中,使用目標之同軸照明,且使用具有離軸孔徑之孔徑光闌以將實質上僅一個一階繞射輻射傳遞至感測器。在又其他實施例中,代替一階光束或除了一階光束以外,二階光束、三階光束及高階光束(圖3中未繪示)亦可用於量測中。 為了使照明可適應於此等不同類型之量測,孔徑板13可包含圍繞一圓盤而形成之數個孔徑圖案,該圓盤旋轉以使所要圖案處於適當位置。應注意,使用孔徑板13N或13S以量測在一個方向(取決於設置為X或Y)上定向之目標之週期性結構。為了量測正交週期性結構,可能實施達90°及270°之目標旋轉。圖3C及圖3D中展示不同孔徑板。圖3C說明離軸照明模式之另外兩種類型。在圖3C之第一照明模式中,孔徑板13E提供來自僅出於描述起見而相對於先前所描述之「北」指明為「東」之方向的離軸照明。在圖3C之第二照明模式中,孔徑板13W用以提供相似照明,但提供來自被標註為「西」之相對方向的照明。圖3D說明離軸照明模式之另外兩種類型。在圖3D之第一照明模式中,孔徑板13NW提供來自被指明為如先前所描述之「北」及「西」之方向的離軸照明。在第二照明模式中,孔徑板13SE用以提供相似照明,但提供來自被標註為如先前所描述之「南」及「東」之相對方向之照明。舉例而言,上文所提及之先前公佈之專利申請公開案中描述設備之此等及眾多其他變化及應用的使用。 圖4描繪形成於基板上之實例複合度量衡目標T。該複合目標包含緊密定位在一起之四個週期性結構(在此狀況下,為光柵) 32、33、34、35。在一實施例中,可使週期性結構佈局小於量測光點(亦即,週期性結構佈局填充過度)。因此,在一實施例中,週期性結構足夠緊密地定位在一起,使得其皆在由度量衡設備之照明光束形成之量測光點31內。在彼狀況下,該四個週期性結構因此皆被同時地照明且同時地成像於感測器19及23上。在專用於疊對量測之一實例中,週期性結構32、33、34、35自身為由上覆週期性結構而形成之複合週期性結構(例如,複合光柵),亦即,週期性結構在形成於基板W上之器件之不同層中經圖案化且使得一個層中之至少一個週期性結構與不同層中之至少一個週期性結構疊對。此目標可具有在20微米×20微米內或在16微米×16微米內之外部尺寸。另外,所有週期性結構用以量測一特定層對之間的疊對。為了促進目標能夠量測多於單一層對,週期性結構32、33、34、35可具有經不同偏置之疊對偏移,以便促進經形成有複合週期性結構之不同部分的不同層之間的疊對之量測。因此,用於基板上之目標之所有週期性結構將用以量測一個層對,且用於基板上之另一相同目標之所有週期性結構將用以量測另一層對,其中不同偏置促進區分該等層對。 返回至圖4,週期性結構32、33、34、35亦可在其定向方面不同(如所展示),以便在X及Y方向上繞射入射輻射。在一項實例中,週期性結構32及34為分別具有+d、-d之偏置之X方向週期性結構。週期性結構33及35可為分別具有偏移+d及-d之Y方向週期性結構。雖然說明四個週期性結構,但另一實施例可包括較大矩陣以獲得所要準確度。舉例而言,九個複合週期性結構之3×3陣列可具有偏置-4d、-3d、-2d、-d、0、+d、+2d、+3d、+4d。可在由感測器23捕捉之影像中識別此等週期性結構之分離影像。 圖5展示在使用來自圖3D之孔徑板13NW或13SE的情況下在圖3之設備中使用圖4之目標而可形成於感測器23上且由感測器23偵測的影像之實例。雖然感測器19不能解析不同個別週期性結構32至35,但感測器23可解析不同個別週期性結構32至35。暗矩形表示感測器上之影像之場,在此場內,基板上之經照明光點31成像至對應圓形區域41中。在此場內,矩形區域42至45表示週期性結構32至35之影像。並非定位於切割道中或除了定位於切割道中以外,目標亦可定位於器件產品特徵當中。若週期性結構位於器件產品區域中,則在此影像場之周邊中亦可看見器件特徵。處理器及控制器PU使用圖案辨識來處理此等影像,以識別週期性結構32至35之分離影像42至45。以此方式,影像並不必須在感測器框架內之特定部位處極精確地對準,此情形極大地改良量測設備整體上之產出率。 一旦已識別週期性結構之分離影像,就可(例如)藉由平均化或求和經識別區域內之選定像素強度值來量測彼等個別影像之強度。可將該等影像之強度及/或其他屬性彼此進行比較。可組合此等結果以量測微影製程之不同參數。疊對效能為此參數之一實例。 在一實施例中,圖案化製程之所關注參數中之一者為特徵寬度(例如,CD)。圖6描繪可實現特徵寬度判定之高度示意性實例度量衡設備(例如,散射計)。該度量衡設備包含將輻射投影至基板W上之寬頻帶(白光)輻射投影儀2。重新導向輻射傳遞至光譜儀偵測器4,該光譜儀偵測器量測鏡面反射輻射之光譜10 (依據波長而變化的強度),如(例如)在左下方的曲線圖中所展示。根據此資料,可藉由處理器PU (例如)藉由嚴密耦合波分析及非線性回歸或藉由與圖6之右下方所展示之經模擬光譜庫的比較來重新建構導致偵測到之光譜的結構或剖面。一般而言,對於重新建構,結構之一般形式為吾人所知,且根據藉以製造結構之製程之知識來假定一些變數,從而僅留下結構之幾個變數以根據經量測資料予以判定。此度量衡設備可經組態為正入射度量衡設備或斜入射度量衡設備。此外,除了藉由重新建構進行參數之量測以外,角度解析散射量測亦有用於產品及/或抗蝕劑圖案中之特徵之不對稱性量測。不對稱性量測之特定應用係針對疊對之量測,其中目標包含疊置於另一組週期性特徵上的一組週期性特徵。舉例而言,全文併入本文中之美國專利申請公開案US2006-066855中描述以此方式之不對稱性量測之概念。 圖7說明適合用於本文中所揭示之本發明之實施例中的度量衡設備100之實例。全文以引用方式併入本文中之美國專利申請案第US 2006-033921號及第US 2010-201963號中更詳細地解釋此類型之度量衡設備的操作原理。貫穿該設備具有若干分支之光軸係由點線O表示。在此設備中,由源110 (例如,氙氣燈)發射之輻射係經由光學系統而導向至基板W上,該光學系統包含:透鏡系統120、孔徑板130、透鏡系統140、部分反射表面150及物鏡160。在一實施例中,此等透鏡系統120、140、160係以4F配置之雙重序列而配置。在一實施例中,使用透鏡系統120來準直由輻射源110發射之輻射。可視需要使用不同透鏡配置。可藉由在呈現基板平面之空間光譜之平面中界定空間強度分佈來選擇輻射入射於基板上之角度範圍。詳言之,可藉由在為物鏡光瞳平面之背向投影式影像之平面中在透鏡120與140之間插入合適形式之孔徑板130來進行此選擇。藉由使用不同孔徑,不同強度分佈(例如,環形、偶極等等)係可能的。在徑向及周邊方向上之照明之角度分佈以及諸如輻射之波長、偏振及/或相干性之屬性可皆經調整以獲得所要結果。舉例而言,一或多個干涉濾光器130 (參見圖9)可提供於源110與部分反射表面150之間以選擇在(比如) 400奈米至900奈米或甚至更低(諸如200奈米至300奈米)範圍內之所關注波長。干涉濾光器可為可調諧的,而非包含不同濾光器之集合。可使用光柵來代替干涉濾光器。在一實施例中,一或多個偏振器170 (參見圖9)可提供於源110與部分反射表面150之間以選擇所關注偏振。偏振器可為可調諧的,而非包含不同偏振器之集合。 如圖7中所展示,目標T經置放為使得基板W垂直於物鏡160之光軸O。因此,來自源110之輻射係由部分反射表面150反射且經由物鏡160聚焦至基板W上之目標T上之照明光點S (參見圖8)中。在一實施例中,物鏡160具有高數值孔徑(NA),理想地為至少0.9且至少0.95。浸潤度量衡設備(使用相對高折射率流體,諸如水)甚至可具有大於1之數值孔徑。 與軸線O成角度而聚焦至照明光點之照明射線170、172引起繞射射線174、176。應記住,此等射線僅僅為覆蓋包括目標T之基板區域的許多平行射線中之一者。照明光點內之每一元件係在度量衡設備之視場內。由於板130中之孔徑具有有限寬度(為接納有用量之輻射所必要),故入射射線170、172事實上將佔據一角度範圍,且繞射射線174、176將稍微散開。根據小目標之點散佈函數,每一繞射階將遍及一角度範圍而進一步散佈,而非如所展示之單一理想射線。 由基板W上之目標繞射之至少0階係由物鏡160收集,且被返回導向通過部分反射表面150。光學元件180將繞射光束之至少部分提供至光學系統182,光學系統182使用零階及/或一階繞射光束在感測器190 (例如,CCD或CMOS感測器)上形成目標T之繞射光譜(光瞳平面影像)。在一實施例中,提供孔徑186以濾出某些繞射階使得將特定繞射階提供至感測器190。在一實施例中,孔徑186允許實質上或主要僅零階輻射到達感測器190。在一實施例中,感測器190可為二維偵測器,使得可量測基板目標T之二維角度散射光譜。感測器190可為(例如) CCD或CMOS感測器陣列,且可使用為(例如)每圖框40毫秒之積分時間。感測器190可用以量測在單一波長(或窄波長範圍)下之經重新導向輻射之強度、分離地在多個波長下之經重新導向輻射之強度,或遍及一波長範圍而積分之經重新導向輻射之強度。此外,感測器可用以分離地量測具有橫向磁偏振及/或橫向電偏振之輻射之強度,及/或橫向磁偏振輻射與橫向電偏振輻射之間的相位差。 視情況,光學元件180將繞射光束之至少部分提供至量測分支200以在感測器230 (例如,CCD或CMOS感測器)上形成基板W上之目標之影像。量測分支200可用於各種輔助功能,諸如聚焦度量衡設備(亦即,使基板W能夠與接物鏡160焦點對準),及/或用於引言中所提及的類型之暗場成像。 為了針對光柵之不同大小及形狀提供自訂視場,在自源110至物鏡160之路徑上在透鏡系統140內提供可調整場光闌300。場光闌300含有孔徑302且位於與目標T之平面共軛的平面中,使得照明光點變為孔徑302之影像。可根據放大因子而按比例調整影像,或孔徑與照明光點之大小之關係可為1:1。為了使照明可適應於此等不同類型之量測,孔徑板300可包含圍繞一圓盤而形成之數個孔徑圖案,該圓盤旋轉以使所要圖案處於適當位置。替代地或另外,可提供及調換一組板300,以達成相同效應。另外或替代地,亦可使用可程式化孔徑器件,諸如可變形鏡面陣列或透射空間光調變器。 通常,目標將與其在平行於Y軸或平行於X軸而延行之週期性結構特徵對準。關於目標之繞射行為,具有在平行於Y軸之方向上延伸的特徵之週期性結構具有在X方向上之週期性,而具有在平行於X軸之方向上延伸的特徵之週期性結構具有在Y方向上之週期性。為了量測在兩個方向上之效能,通常提供兩種類型之特徵。雖然為了簡單起見將參考線及空間,但週期性結構無需由線及空間形成。此外,每一線及/或線之間的空間可為由較小子結構形成之結構。另外,週期性結構可經形成為在兩個維度上同時具有週期性(例如在週期性結構包含支柱及/或通孔的情況下)。 圖8說明典型目標T之平面圖,及圖7之設備中之照明光點S之範圍。為了獲得無來自周圍結構之干涉的繞射光譜,在一實施例中,目標T為大於照明光點S之寬度(例如,直徑)的週期性結構(例如,光柵)。光點S之寬度可小於目標之寬度及長度。換言之,目標係由照明「填充不足」,且繞射信號基本上不含來自目標自身外部之產品特徵及其類似者之任何信號。此情形簡化目標之數學重新建構,此係因為可將目標視為無限的。 圖9示意性地描繪基於使用度量衡所獲得之量測資料而進行目標圖案30'之一或多個所關注變數之值之判定的實例製程。由偵測器190偵測到之輻射提供用於目標30'之經量測輻射分佈108。 針對給定目標30',可使用(例如)數值馬克士威求解程序210而自經參數化數學模型206計算/模擬輻射分佈208。經參數化數學模型206展示構成目標及與該目標相關聯的各種材料之實例層。經參數化數學模型206可包括用於在考慮中的目標之部分之特徵及層之變數中的一或多者,其可變化且被導出。如圖9中所展示,該等變數中之一或多者可包括一或多個層之厚度t 、一或多個特徵之寬度w (例如,CD)、一或多個特徵之高度h、一或多個特徵之側壁角α,及/或特徵之間的相對位置(本文中視為係疊對)。儘管圖中未繪示,但變數中之一或多者可進一步包括但不限於:層中之一或多者之折射率(例如,真折射率或複折射率、折射率張量等等)、一或多個層之消光係數、一或多個層之吸收率、在顯影期間之抗蝕劑損失、一或多個特徵之基腳,及/或一或多個特徵之線邊緣粗糙度。根據圖案化製程及/或其他量測製程之知識,可將1-D週期性結構或2-D週期性結構之一或多個參數之一或多個值(諸如寬度、長度、形狀或3-D剖面特性之值)輸入至重新建構製程。舉例而言,變數之初始值可為針對正被量測之目標之一或多個參數之彼等預期值,諸如CD、節距等等之值。 在一些狀況下,可將目標劃分成單位胞元之複數個例項。在彼狀況下,為了幫助簡易計算目標之輻射分佈,可將模型206設計為使用目標之結構之單位胞元進行計算/模擬,其中重複單位胞元作為橫越完整目標之例項。因此,模型206可使用一個單位胞元進行計算且複製結果以使用適當邊界條件擬合整個目標,以便判定該目標之輻射分佈。 除了在重新建構時計算輻射分佈208以外或替代在重新建構時計算輻射分佈208,亦可針對在考慮中的目標部分之變數之複數個變化預計算複數個輻射分佈208以產生輻射分佈庫以在重新建構時使用。 接著在212處比較經量測輻射分佈108與所計算輻射分佈208 (例如,接近彼時進行計算或自庫獲得)以判定經量測輻射分佈108與所計算輻射分佈208之間的差。若存在差,則可變化經參數化數學模型206之變數中的一或多者之值,獲得新的所計算輻射分佈208 (例如,計算或自庫獲得)且將其與經量測輻射分佈108進行比較直至在經量測輻射分佈108與輻射分佈208之間存在足夠匹配為止。彼時,經參數化數學模型206之變數之值提供實際目標30'之幾何形狀的良好或最佳匹配。在一實施例中,當經量測輻射分佈108與所計算輻射分佈208之間的差在容許臨限值內時存在足夠匹配。 在此等度量衡設備中,可在量測操作期間提供基板支撐件以固持基板W。基板支撐件可在形式上與圖1之基板台WT相似或相同。在度量衡設備與微影設備整合之實例中,基板支撐件可甚至為同一基板台。可提供粗略定位器及精細定位器以相對於量測光學系統準確地定位基板。提供各種感測器及致動器(例如)以獲取所關注目標之位置,且將所關注目標帶入至物鏡下方之位置中。通常將對橫越基板W之不同部位處的目標進行許多量測。可在X及Y方向上移動基板支撐件以獲取不同目標,且可在Z方向上移動基板支撐件以獲得目標相對於光學系統之焦點之所要部位。舉例而言,當實務上光學系統可保持實質上靜止(通常在X及Y方向上,但可能亦在Z方向上)且僅基板移動時,方便地將操作考慮並描述為如同物鏡被帶入至相對於基板之不同部位。倘若基板及光學系統之相對位置正確,則原則上無關緊要的係,基板與光學系統中之哪一者在真實世界中移動,或其兩者皆移動,抑或光學系統之一部分之組合移動(例如,在Z方向及/或傾斜方向上),其中光學系統之剩餘部分靜止且基板移動(例如,在X及Y方向上,且視情況亦在Z方向及/或傾斜方向上)。 在一實施例中,目標之量測準確度及/或敏感度可相對於提供至目標上的輻射光束之一或多個性質而變化,該等性質例如,輻射光束之波長、輻射光束之偏振、輻射光束之強度分佈(亦即,角度或空間強度分佈)等等。因此,可選擇理想地獲得(例如)目標之良好量測準確度及/或敏感度之特定量測策略。 為了監視包括至少一個圖案轉印步驟(例如,光學微影步驟)之圖案化製程(例如,器件製造製程),檢測經圖案化基板且量測/判定經圖案化基板之一或多個參數。舉例而言,一或多個參數可包括:形成於經圖案化基板中或基板上之順次層之間的疊對、(例如)形成於經圖案化基板中或基板上之特徵之臨界尺寸(CD) (例如,臨界線寬)、光學微影步驟之焦點或聚焦誤差、光學微影步驟之劑量或劑量誤差、光學微影步驟之光學像差、置放誤差(例如,邊緣置放誤差)等等。可對產品基板自身之目標及/或對提供於基板上之專用度量衡目標執行此量測。可在抗蝕劑顯影後但在蝕刻前執行量測,或可在蝕刻後執行量測。 在一實施例中,自量測製程獲得之參數為自直接自量測製程判定之參數導出之參數。作為一實例,自量測參數獲得之經導出參數為用於圖案化製程之邊緣置放誤差。邊緣置放誤差提供藉由圖案化製程產生之結構之邊緣部位之變化。在一實施例中,自疊對值導出邊緣置放誤差。在一實施例中,自疊對值與CD值之組合導出邊緣置放誤差。在一實施例中,自疊對值、CD值與對應於局域變化(例如,個別結構之邊緣粗糙度、形狀不對稱性等等)之值之組合導出邊緣置放。在一實施例中,邊緣置放誤差包含經組合之疊對誤差及CD誤差之極值(例如,3倍標準偏差,亦即,3σ)。在一實施例中,在涉及產生結構及涉及藉由經由藉由圖案化製程關於結構提供之圖案蝕刻來移除結構之一部分而「切割」結構之多圖案化製程中,邊緣置放誤差具有以下形式(或包含以下項中之一或多者):,其中σ為標準偏差,對應於疊對之標準偏差,對應於疊對之標準偏差,對應於圖案化製程中所產生之結構之臨界尺寸均勻性(CDU)之標準偏差,對應於圖案化製程中所產生之切口(若存在)之臨界尺寸均勻性(CDU)之標準偏差,對應於光學近接效應(OPE)及/或近接偏置平均值(PBA)之標準偏差,其為在節距下之CD與參考CD之間的差,且對應於線邊緣粗糙度(LER)及/或局域置放誤差(LPE)之標準偏差。雖然以上之公式係關於標準偏差,但其可以不同可比得上之統計方式(諸如方差)來公式化。 存在用於對在圖案化製程中形成之結構進行量測的各種技術,包括使用掃描電子顯微鏡、以影像為基礎之量測工具及/或各種特殊化工具。如上文所論述,特殊化度量衡工具之快速及非侵入性形式為輻射光束經導向至基板之表面上之目標上且量測經散射(經繞射/經反射)光束之屬性的度量衡工具。藉由評估由基板散射之輻射之一或多個屬性,可判定基板之一或多個屬性。此可被稱為以繞射為基礎之度量衡。此以繞射為基礎之度量衡之一個此類應用係在目標內之特徵不對稱性之量測中。此特徵不對稱性之量測可用作(例如)疊對之量度,但其他應用亦係已知的。舉例而言,可藉由比較繞射光譜之相對部分(例如,比較週期性光柵之繞射光譜中之-1階與+1階)來量測不對稱性。此量測可如以上所描述來完成,且如(例如)全文以引用方式併入本文中之美國專利申請公開案US2006-066855中所描述來完成。以繞射為基礎之度量衡之另一應用係在目標內之特徵寬度(CD)之量測中。此等技術可使用上文關於圖6至圖9所描述之設備及方法。 現在,雖然此等技術有效,但需要提供導出目標內之特徵不對稱性(諸如疊對、CD不對稱性、側壁角不對稱性等等)之新量測技術。此技術可有效地用於經專門設計度量衡目標或可能更顯著用於直接判定器件圖案上之特徵不對稱性。 參看圖10,在疊對實施例之內容背景中描述此量測技術之原理。在圖10A中,展示目標T之幾何學上對稱單位胞元。目標T可僅僅包含單位胞元之單一實體例項或可包含單位胞元之複數個實體例項,如圖10C中所展示。 目標T可為經專門設計之目標。在一實施例中,目標係用於切割道。在一實施例中,目標可為晶粒內目標,亦即,目標係在器件圖案當中(且因此在切割道之間)。在一實施例中,目標可具有可比得上器件圖案特徵之特徵寬度或節距。舉例而言,目標特徵寬度或節距可小於或等於器件圖案之最小特徵大小或節距的300%、小於或等於器件圖案之最小特徵大小或節距的200%、小於或等於器件圖案之最小特徵大小或節距的150%,或小於或等於器件圖案之最小特徵大小或節距的100%。 目標T可為器件結構。舉例而言,目標T可為記憶體器件之一部分(其常常具有幾何學上對稱或可在幾何學上對稱之一或多個結構,如以下進一步論述)。 在一實施例中,目標T或單位胞元之實體例項可具有小於或等於2400平方微米之面積、小於或等於2000平方微米之面積、小於或等於1500平方微米之面積、小於或等於1000平方微米之面積、小於或等於400平方微米之面積、小於或等於200平方微米之面積、小於或等於100平方微米之面積、小於或等於50平方微米之面積、小於或等於25平方微米之面積、小於或等於10平方微米之面積、小於或等於5平方微米之面積、小於或等於1平方微米之面積、小於或等於0.5平方微米之面積,或小於或等於0.1平方微米之面積。在一實施例中,目標T或單位胞元之實體例項具有平行於基板平面之小於或等於50微米、小於或等於30微米、小於或等於20微米、小於或等於15微米、小於或等於10微米、小於或等於5微米、小於或等於3微米、小於或等於1微米、小於或等於0.5微米、小於或等於0.2微米或小於或等於0.1微米的橫截面尺寸。 在一實施例中,目標T或單位胞元之實體例項具有小於或等於小於或等於5微米、小於或等於2微米、小於或等於1微米、小於或等於500奈米、小於或等於400奈米、小於或等於300奈米、小於或等於200奈米、小於或等於150奈米、小於或等於100奈米、小於或等於75奈米、小於或等於50奈米、小於或等於32奈米、小於或等於22奈米、小於或等於16奈米、小於或等於10奈米、小於或等於7奈米或小於或等於5奈米之結構節距。 在一實施例中,目標T具有單位胞元之複數個實體例項。因此,目標T通常可具有此處所列出之較高尺寸,而單位胞元之實體例項將具有此處所列出之較低尺寸。在一實施例中,目標T包含單位胞元之50,000個或更多個實體例項、單位胞元之25,000個或更多個實體例項、單位胞元之15,000個或更多個實體例項、單位胞元之10,000個或更多個實體例項、單位胞元之5,000個或更多個實體例項、單位胞元之1,000個或更多個實體例項、單位胞元之500個或更多個實體例項、單位胞元之200個或更多個實體例項、單位胞元之100個或更多個實體例項、單位胞元之50個或更多個實體例項,或單位胞元之10個或更多個實體例項。 理想地,單位胞元之該實體例項或單位胞元之該複數個實體例項集體地填充度量衡設備之光束光點。在彼狀況下,經量測結果基本上僅包含來自單位胞元之實體例項(或其複數個例項)之資訊。在一實施例中,光束光點具有為50微米或更小、40微米或更小、30微米或更小、20微米或更小、15微米或更小、10微米或更小、5微米或更小或2微米或更小之橫截面寬度。 圖10A中之單位胞元包含實體地具現化或將實體地具現化於基板上之至少兩個結構。第一結構1000包含線且第二結構1005包含橢圓型形狀。當然,第一結構1000及第二結構1005可為不同於所描繪結構的結構。 另外,在此實例中,在第一結構1000與第二結構1005之間可存在自其預期位置之相對移位,此係歸因於其分離地轉移至基板上從而具有疊對之誤差。在此實例中,第一結構1000相比於第二結構1005位於基板上之較高層中。因此,在一實施例中,可在圖案化製程之第一執行中在第一較低層中產生第二結構1005,且可在圖案化製程之第二執行中在比第一下部層高的第二層中產生第一結構1000。現在,沒有必要使第一結構1000及第二結構1005位於不同層中。舉例而言,在雙重圖案化製程(包括(例如)作為其部分之蝕刻製程)中,可在同一層中產生第一結構1000及第二結構1005以形成基本上單一圖案,但依據其在該同一層內之相對置放仍可存在「疊對」關注點。在此單層實例中,第一結構1000及第二結構1005兩者可具有(例如)類似於針對第一結構1000之圖10A中所展示的線之形式,但已經藉由第一圖案轉印製程而提供於基板上的第二結構1005之線可與在第二圖案轉印製程中提供的結構1000之線交錯。 顯著地,單位胞元具有或能夠具有相對於軸線或點之幾何對稱性。舉例而言,圖10A中之單位胞元具有相對於(例如)軸線1010之反射對稱性及相對於(例如)點1015之點/旋轉對稱性。相似地,可看到,圖10C中之單位胞元之實體例項(且因此,單位胞元之實體例項之組合)具有幾何對稱性。 在一實施例中,單位胞元具有針對某一特徵之幾何對稱性(諸如疊對)。本文中之實施例集中於當單位胞元幾何學上對稱時具有零疊對的該單位胞元。然而,取而代之,單位胞元可具有針對某一幾何不對稱性之零疊對。將接著使用適當偏移及計算以考量當單位胞元具有某一幾何不對稱性時具有零疊對的該單位胞元。適切地,單位胞元應能夠取決於某特徵值而在對稱性上改變(例如,變成不對稱性,或變得進一步不對稱,或自不對稱情形變得對稱)。 在圖10A之實例中,單位胞元具有針對零疊對之幾何對稱性(但無需為零疊對)。此由箭頭1020及1025表示,其展示第一結構1000之線相對於第二結構1005之橢圓型形狀均勻地對準(且該均勻對準至少部分地使單位胞元能夠具有幾何對稱性,如圖10A中所展示)。因此,在此實例中,當單位胞元具有幾何對稱性時,存在零疊對。然而,當存在疊對之誤差(例如,非零疊對)時,單位胞元不再幾何學上對稱且按照定義,目標不再幾何學上對稱。 另外,在目標包含單位之複數個實體例項的情況下,單位胞元之該等例項週期性地配置。在一實施例中,單位胞元之該等例項以晶格形式而配置。在一實施例中,該週期性配置具有在目標內之幾何對稱性。 因此,在此技術中,如下文進一步所論述,獲得與所關注特徵不對稱性(例如,非零疊對)相關的幾何對稱性改變(例如,幾何不對稱性之改變,或一另外幾何不對稱性之改變,或自幾何不對稱性至幾何對稱性之改變)以能夠判定特徵不對稱性(例如,非零疊對)之優點。 可使用(例如)圖7之度量衡設備運用輻射來照明包含圖10A之單位胞元之實體例項的目標。可(例如)藉由偵測器190量測由目標重新導向之輻射。在一實施例中,量測重新導向輻射之光瞳,亦即,傅立葉變換平面。此光瞳之實例量測被描繪為光瞳影像1030。雖然光瞳影像1030具有金剛石型形狀,但其無需具有此形狀。本文中之術語光瞳及光瞳平面包括其任何共軛物,除非內容背景另有要求(例如,在特定光學系統之光瞳平面正被識別的情況下)。光瞳影像1030實際上為依據重新導向輻射之光瞳之光學特性(在此狀況下為強度)而指定之影像。 出於方便起見,本文中之論述將集中於作為所關注光學特性之強度。但本文中之技術可供一或多個替代或額外光學特性(諸如相位及/或反射率)使用。 另外,出於方便起見,本文中之論述集中於偵測及處理重新導向輻射之影像(且特別是光瞳影像)。然而,可以與影像不同之方式量測及表示重新導向輻射之光學屬性。舉例而言,可依據一或多個光譜(例如,依據波長而變化的強度)處理重新導向輻射。因此,重新導向輻射之經偵測影像可視為係重新導向輻射之光學表示之實例。因此,在光瞳平面影像之狀況下,光瞳影像為光瞳表示之實例。 另外,重新導向輻射可為偏振的或非偏振的。在一實施例中,量測光束輻射為偏振輻射。在一實施例中,量測光束輻射經線性偏振。 在一實施例中,光瞳表示主要或實質上屬於自目標之重新導向輻射之一個繞射階。舉例而言,輻射可為輻射之特定階的80%或更多、85%或更多、90%或更多、95%或更多、98%或更多,或99%或更多。在一實施例中,光瞳表示主要或實質上屬於零階重新導向輻射。可(例如)在目標之節距、量測輻射之波長及(視情況)一或多個其他條件致使目標主要重新導向零階時出現此輻射(但可存在一或多個高階之輻射)。在一實施例中,大部分光瞳表示為零階重新導向輻射。在一實施例中,光瞳表示屬於零輻射且分離地屬於一階輻射,其可接著經線性組合(疊加)。圖7中之孔徑186可用以選擇輻射之特定階,例如零階。 關於對應於第一結構1000及第二結構1005之幾何學上對稱單位胞元之光瞳影像1030,可看到,該光瞳影像內之強度分佈基本上對稱(例如,具有與幾何結構所屬相同的對稱性類型)。此情形藉由自光瞳影像1030移除對稱強度分佈部分(此引起經導出光瞳影像1035)加以進一步確認。為了移除對稱強度分佈部分,特定光瞳影像像素(例如,一像素)可藉由自彼特定光瞳影像像素下之強度減去對稱定位之光瞳影像像素之強度而使對稱強度分佈部分被移除,且反之亦然。在一實施例中,該像素可對應於偵測器(例如偵測器190)之像素,但其無需如此;舉例而言,光瞳影像像素可為偵測器之複數個像素。在一實施例中,像素強度被減去所橫越之對稱點或軸線對應於單位胞元之對稱點或軸線。因此,舉例而言,在考慮光瞳影像1030的情況下,對稱性強度分佈部分可藉由(例如)自所展示之彼特定像素下之強度Ii 減去來自對稱定位像素(亦即,相對於軸線1032對稱地定位)之強度Ii '而移除。因此,在對稱強度部分被移除的情況下在特定像素下之強度Si 則為Si = Ii - Ii '。可針對光瞳影像之複數個像素(例如,光瞳影像中之所有像素)重複此強度。如經導出光瞳影像1035中所看到,對應於對稱單位胞元之強度分佈基本上完全對稱。因此,具有對稱單位胞元幾何形狀(且若適用,具有該單位胞元之例項之某一週期性)之對稱目標引起如藉由度量衡設備所量測之對稱光瞳回應。 現在參看圖10B,關於圖10A中所描繪之單位胞元來描繪疊對之誤差之實例。在此狀況下,第一結構1000相對於第二結構1005在X方向上移位。詳言之,以第一結構1000之線為中心之軸線1010在圖10B中向右移位至軸線1045。因此,存在在X方向上之疊對1040之誤差;亦即,X方向疊對誤差。當然,第二結構1005可相對於第一結構1000移位,或第二結構1005與第一結構1000兩者可相對於彼此而移位。在任何情況下,結果皆為X方向疊對誤差。然而,如根據此單位胞元配置應瞭解,第一結構1000與第二結構1005之間的在Y方向上之純粹相對移位將不改變此單位胞元之幾何對稱性。但在運用適當幾何配置的情況下,在兩個方向上或在單位胞元之部分之不同組合之間的疊對可改變對稱性及亦可被判定,如下文進一步所論述。 由於單位胞元之實體組態自圖10A中之單位胞元之標稱實體組態改變且該改變由疊對1040之誤差表示,故結果為該單位胞元已變得幾何學上不對稱。此可藉由具有不同長度之箭頭1050及1055看到,其展示第二結構1005之橢圓型形狀相對於第一結構1000之線不均勻地定位。檢查相對於光瞳影像1030之對稱點或軸線之對稱性,亦即,在彼狀況下,軸線1032現在被展示為軸線1034。 可使用(例如)圖7之度量衡設備運用輻射來照明圖10B之單位胞元之實體例項。可(例如)藉由偵測器190記錄重新導向輻射之光瞳影像。此光瞳影像之實例被描繪為光瞳影像1060。光瞳影像1060實際上為強度之影像。雖然光瞳影像1060具有金剛石型形狀,但其無需具有此形狀;其可為圓形形狀或任何其他形狀。此外,光瞳影像1060具有與光瞳影像1030實質上相同之軸線或座標部位。亦即,在此實施例中,圖10A之單位胞元中之對稱軸線1010及圖10B之單位胞元中之相同軸線與光瞳影像1030、1060之對稱軸線1032對準。 關於對應於第一結構1000及第二結構1005之幾何學上不對稱單位胞元之光瞳影像1060,在視覺上似乎為該光瞳影像內之強度分佈基本上對稱。然而,在該光瞳影像內存在不對稱強度分佈部分。此不對稱強度分佈部分係歸因於單位胞元中之不對稱性。此外,不對稱強度分佈之量值顯著低於光瞳影像中之對稱強度分佈部分之量值。 因此,在一實施例中,為了更有效地隔離不對稱強度分佈部分,可自光瞳影像1060移除對稱強度分佈部分,此情形引起經導出之光瞳影像1065。與獲得經導出光瞳影像1035的情況類似,特定光瞳影像像素(例如,像素)可藉由自彼特定光瞳影像像素下之強度減去對稱定位之光瞳影像像素之強度而使對稱強度分佈部分被移除,且反之亦然,如上文所論述。因此,舉例而言,在考慮光瞳影像1060的情況下,可藉由(例如)自所展示之彼特定像素下之強度Ii 減去來自對稱定位像素(亦即,相對於軸線1032對稱地定位)之強度Ii '以得到Si 而移除對稱性強度分佈部分。可針對光瞳影像之複數個像素(例如,光瞳影像中之所有像素)重複此強度。在圖10A及圖10B中,出於解釋之目的而描繪Si 之完全導出之光瞳影像。如應瞭解,圖10A或圖10B之經導出光瞳影像的一半與其另一半相同。因此,在一實施例中,來自光瞳影像之僅一半的值可用於本文中所論述之進一步處理,且因此,用於本文中之進一步處理之經導出影像光瞳可為用於光瞳之Si 值的僅一半。 如在經導出光瞳影像1065中看到,使用不對稱單位胞元之實體例項量測之強度分佈並未對稱。如在區1075及1080中看到,一旦移除對稱強度分佈部分,就可看到不對稱強度分佈部分。如上文所提及,展示完全導出之光瞳影像1065,且因此,在在兩個半邊上展示不對稱強度分佈部分(儘管其依據在其各別半邊中之量值及分佈而彼此相等)。 因此,幾何域中之不對稱性對應於光瞳中之不對稱性。因此,在一實施例中,提供一種使用週期性目標之光學回應之方法,該週期性目標擁有或能夠具有在其單位胞元之實體例項中之固有幾何對稱性以判定對應於實體組態改變之參數,該實體組態改變造成該單位胞元之實體例項之幾何對稱性改變(例如,造成不對稱性,或造成進一步不對稱性,或致使不對稱單位胞元變得對稱)。詳言之,在一實施例中,如由度量衡設備量測之光瞳中之疊對誘發之不對稱性(或無疊對誘發之不對稱性)可用以判定疊對。亦即,光瞳不對稱性用以量測單位胞元之實體例項內且因此量測目標內之疊對。 為了考慮如何判定對應於造成單位胞元中之幾何不對稱性的實體組態改變之參數,可依據影響光瞳影像中之像素之目標之實體特性來考慮彼像素之強度。為了進行此操作,將考慮疊對實例,但可將技術及原理擴展至對應於造成單位胞元中之幾何不對稱性(例如,不對稱側壁角、不對稱底部壁傾角、接觸孔中之橢圓率等等)的實體組態改變之另一參數。 返回參看圖10A及圖10B之單位胞元,可將光瞳影像1060中之像素之強度分析地評估為可歸因於單位胞元之不同實體特性之強度分量之組合。詳言之,自對稱單位胞元至不對稱單位胞元之實體組態改變可經評估以判定強度分佈以何種方式改變且具體地在光瞳影像內以何種方式改變。 因此,在用以說明原理之極簡單實例中,可評估單位胞元剖面之實體組態之若干改變(但當然可發生更多或不同的實體組態改變)。將被考慮之實體組態改變中之一者為結構1000之高度在Z方向上之改變,其被指明為。但顯著地,此高度改變將橫越單位胞元之實體例項而大體上均勻。亦即,將引起在對稱軸線或點之一側處與在該對稱軸線或點之另一側處的單位胞元之實體組態的相同改變。相似地,諸如CD改變、側壁角改變等等之其他實體組態改變亦將橫越單位胞元之實體例項大體上均勻,且因此得到在對稱軸線或點之一側處與在該對稱軸線或點之另一側處的單位胞元之實體組態的相同改變。因此,為了方便起見,將僅考慮,但表示橫越單位胞元均勻之眾多其他實體組態改變。 所關注單位胞元之實體組態改變中的另一者為結構1000與結構1005之間的相對移位,即,疊對1040之改變。此疊對移位將被稱作。當然,疊對可視為在不同或另外方向上。顯著地,將引起在對稱軸線或點之一側處的單位胞元之實體組態與在該對稱軸線或點之另一側處的單位胞元之實體組態不同;每一對對稱像素具有關於疊對之資訊。顯著地,雖然大多數目標剖面參數(CD、高度等等)之改變誘發光瞳中之對稱改變(且因此可視為係對稱參數),但疊對之改變引起經量測光瞳中之不對稱改變。因此,疊對之改變給出不對稱光瞳回應。另外,大多數(若非全部)其他單位胞元剖面參數並不產生單位胞元或光瞳回應之不對稱性。然而,該等其他單位胞元剖面參數可對經量測疊對值有影響。如下文所論述,對於一階,其他單位胞元剖面參數可沒有影響。在一實施例中,對於二階或高階,其他單位胞元剖面參數對疊對值之判定有影響。因此,如下文更詳細地論述,藉由量測光瞳不對稱性,可自其判定疊對。 具體言之,為了評估可如何自經量測光瞳不對稱性判定疊對,可將光瞳影像1060中之像素i 之強度定義為:(1) 其中為可歸因於照明輻射之基礎強度且aef 為係數。因此,相似地,可將光瞳影像1060中之互補對稱像素之強度定義為:(2) 其中係數a ' b ' c ' d ' e 'f ' 對互補對稱像素之強度係特定的且可比得上用於光瞳影像1060中之像素之強度a b c d ef 。 可接著將光瞳影像1060中之對稱像素之間的強度差評估為:(3) 已發現,歸因於(例如)對稱性,可僅含有對稱參數之所有項(諸如)退出,如在方程式(3)中看到。另外,歸因於(例如)對稱性,已發現具有相等疊對冪之項針對經對稱定位像素相等,且因此,諸如之項同樣退出。此留下具有疊對與對稱參數之組合的項及僅具有對奇數冪(例如,對為1、3、5、7等等之冪)之疊對的項。 在以上之方程式(3)中,已發現強度之差主要取決於。亦即,強度之差在很大程度上線性地取決於疊對且更顯著地,疊對在很大程度上線性地取決於強度,具體言之,強度差。因此,像素之強度之組合可在與適當轉換因子線性組合時得到疊對之良好估計值。 因此,在一實施例中,已發現,可自經適當加權之像素之強度組合判定疊對(其中加權自身用作強度至疊對之轉換因子或可與自強度至疊對之轉換因子組合)。在一實施例中,可將疊對信號描述為:(4) 其中疊對信號M為經量測光瞳中之信號分量Si 之加權組合且為用於信號分量Si 中之每一者之各別權重(且該等權重用作信號分量與疊對之間的轉換因子;如上文所提及,取而代之,轉換因子可結合並不用以將信號分量轉換成疊對之權重而使用)。在一實施例中,權重為量值與疊對相關之向量。如上文所提及,可針對經量測光瞳的一半判定信號分量Si 。在一實施例中,若針對對稱像素(N)之所有對(N/2)之信號分量Si 具有實質上相同量值,則可根據以下公式平均化該等信號分量Si 且將其與自總信號分量Si 至疊對之轉換因子C組合以得到總疊對:。因此,在一實施例中,權重可具有兩個作用-一個作用係作為對每對像素關於其疊對量測之信任,且另一作用係將信號分量之光學特性(例如,強度位準,例如灰階)之值轉換成疊對值(依據(例如)奈米)。如上文所論述,第二作用可被委託給轉換因子。 但在(例如)針對對稱像素之所有對之信號分量Si 並不具有實質上相同量值的情況下,將經量測光瞳中之所有像素進行加權同樣可引起低信雜比(不良精度)。因此,需要將對疊對敏感以對疊對之計算有較大貢獻之彼等像素加權。因此,在一實施例中,對疊對敏感之像素得到與具有對疊對之低敏感度之彼等像素(實際上非作用中像素)不同的(例如,更高)權重。如上文所提及,經導出光瞳1065之區1075及1080中之像素具有對疊對之相對較高敏感度,而經導出光瞳1065中之剩餘像素(其相對於區1075及1080中之像素具有低強度至不具有強度)具有對疊對之低敏感度(且因此應被加權為對疊對判定有較低貢獻)。 在一實施例中,針對方程式(3)之項有效地判定權重。在一實施例中,權重可經延伸為針對項以及(及(通常)用於諸如CD、側壁角等等之其他參數之其他可比得上項)予以判定。然而,此計算相比於僅針對方程式(3)之項有效地判定權重可更複雜。此外,在對非線性製程之穩固性(針對對稱參數)與判定疊對之精度(亦即,依據針對同一實際疊對之每次判定之經判定值的密切程度)之間存在取捨。因此,使用此計算,為了增強之穩固性而可犧牲精度。因此,可執行最佳化以增強精度(例如,最大化線性項之影響且抑制非線性項)、增強穩固性(例如,最大化非線性項)或尋找精度與穩固性兩者之平衡。但在任何情況下,使用與關聯加權線性地組合之強度之組合可導致疊對之快速判定,此係因為其僅僅需要光瞳獲取及方程式(4)之簡單計算。 在高階項變得顯著之一實施例中,可採用非線性解技術以對具有及/或其他高階項之方程式(3)進行求解。如應瞭解,非線性解技術可比簡單地使經量測光瞳中之每一信號分量Si 乘以用於每一信號分量Si 之一各別權重且接著將其全部加在一起更複雜。此外,在對非線性製程之穩固性與判定疊對之精度(亦即,依據針對同一實際疊對之每次判定之經判定值的密切程度)之間再次存在取捨。因此,使用此計算,為了增強之穩固性而可犧牲精度。因此,可執行最佳化以增強精度及/或增強穩固性。 因此,在認識到起因於由疊對造成之單位胞元之幾何不對稱性的不對稱強度分佈的情況下,疊對中之誤差可經由集中於此不對稱強度分佈之分析而判定。因此,現在將論述用於自歸因於與疊對相關聯之目標之實體組態改變而出現的不對稱強度分佈判定疊對之技術。 參看圖11,示意性地描繪判定權重之方法。為了實現權重判定,可使用上文關於圖9所描述之重新建構技術以獲得優點。亦即,在一實施例中,使用CD重新建構以使疊對信號與不對稱單位胞元之實體例項之光瞳影像隔離。 圖11之方法涉及兩個製程。第一製程1100涉及將重新建構技術用於目標之CD及/或一或多個其他剖面參數以導出如作為圖案化製程之部分曝光於基板上的該目標之標稱剖面(且因此,導出該目標中之單位胞元之一或多個實體例項之標稱剖面)。在具有目標之標稱剖面的情況下,在製程1110中使用重新建構技術之基本引擎以導出加權。加權可接著用以自經量測光瞳導出疊對,如關於圖12進一步所描述。 因此,在製程1100處,獲得上方提供有作為目標的所關注單位胞元之一或多個實體例項之基板的量測1130。在一實施例中,量測係針對在蝕刻後的目標。在一實施例中,量測係針對在顯影後但在蝕刻前的目標。在一實施例中,目標為器件結構。在一實施例中,可使用度量衡設備(諸如圖7之度量衡設備)進行量測或已使用度量衡設備(諸如圖7之度量衡設備)進行量測。舉例而言,目標可包含圖10A或圖10B之單位胞元之實體例項,例如,單一例項或如圖10C中所展示之複數個鄰近例項。在一實施例中,獲得複數個目標(且因此獲得單位胞元之複數個實體例項)之量測。在一實施例中,量測係針對橫越基板而分佈之目標。在一實施例中,量測複數個基板,每一基板具有一或多個目標(每一目標具有單位胞元之一或多個實體例項)。因此,在一實施例中,針對每一經量測目標獲得輻射分佈108。 接著,在1100處之重新建構製程(諸如在圖9中及關於圖9所描述之重新建構製程)用以導出單位胞元之實體例項之標稱剖面,其比得上圖9之剖面206。該重新建構製程獲得單位胞元之實體例項之預期剖面1120,以起始及促進該重新建構製程。在一實施例中,自橫越一或多個基板之目標之剖面的平均值獲得經導出標稱剖面。舉例而言,用於每一目標之輻射分佈108可經處理以導出該目標之彼例項之特定剖面,且接著可將用於該目標之複數個例項之剖面一起平均化以導出標稱剖面。在一實施例中,標稱剖面包含目標之至少幾何剖面。在一實施例中,幾何剖面為3-D剖面。在一實施例中,標稱剖面包含關於構成實體目標之一或多個層之一或多種材料屬性的資訊。 因此,在一實施例中,標稱剖面可視為係針對自量測橫越基板及視情況多於一個基板上的目標之眾多例項而獲得的該目標(且因此單位胞元)之剖面之各種參數值的重心。但在一實施例中,標稱剖面可具有不同形式且係更特定的。舉例而言,可針對目標之一或多個特定例項(例如,藉由使用來自多個基板之相同目標部位之值)來界定標稱剖面。作為另一實例,可針對一特定基板(例如,藉由使用僅來自彼基板之值)來界定標稱剖面。在一實施例中,可針對特定目標及/或基板調諧標稱剖面,而作為圖12之製程之部分。舉例而言,當量測目標及/或基板作為圖12之製程之部分時,可將重新建構技術與經量測資料一起使用以微調用於彼目標及/或基板之標稱剖面,該微調標稱剖面可接著用作本文中之標稱剖面以判定權重,且該等權重可接著與該經量測資料一起使用,以得到一或多個疊對值。 接著將經重新建構標稱剖面1140提供至製程1110。因此,在一實施例中,製程1110使用目標之經導出標稱剖面,例如,自經量測資料導出的器件之單位胞元之蝕刻後幾何剖面。在一實施例中,標稱剖面可呈經參數化模型之形式,比如根據經量測單位胞元而參數化之模型206。因此,在一實施例中,製程1110使用單位胞元之經導出剖面模型,例如,自經量測資料導出的器件之單位胞元之實體例項之蝕刻後幾何輪廓的模型。 在製程1110中使用本文中所描述之重新建構技術之基本引擎,連同經導出剖面或經導出剖面模型,以導出加權。在一實施例中,經導出剖面模型或自經導出剖面導出的經導出剖面模型用以判定對單位胞元中之疊對敏感的光瞳像素。詳言之,在一實施例中,藉由使用模擬(例如,馬克士威求解程序)判定對光瞳回應之疊對之敏感度,以判定對針對標稱剖面之誘發之疊對改變的光瞳回應之改變。 此判定可藉由致使經導出剖面模型改變使得誘發模型中之一定量之疊對改變(例如,1奈米),而使經導出剖面模型之所有其他參數/變數不變來實現。此情形實際上致使對稱單位胞元變得不對稱或致使已經不對稱單位胞元彎頭可對稱以改變對稱性(包括變得進一步不對稱或變得自不對稱情形對稱)。 可接著基於具有誘發之疊對改變之經導出剖面模型導出(例如,使用馬克士威求解程序、庫搜尋或其他重新建構技術)如在度量衡設備中將預期之光瞳(例如,針對處於某一量測光束波長、量測光束偏振、量測光束強度等等之輻射)。在單位胞元之實體例項小於光束光點的情況下,重新建構可將光束光點視為填充有單位胞元之實體例項。在一實施例中,經導出光瞳可為經模擬光瞳影像1060及/或基於該經模擬光瞳影像之經導出光瞳影像1065。 經導出光瞳可接著用以判定複數個光瞳像素中之強度對疊對改變之敏感度,此例如藉由與針對不具有誘發之疊對之單位胞元之經導出光瞳(例如,用於不具有誘發之疊對之單位胞元之經導出光瞳可為經模擬光瞳影像1030及/或基於該經模擬光瞳影像之經導出光瞳影像1035)進行比較來進行。在一實施例中,此等敏感度形成加權之基礎。 在一實施例中,可將光瞳之像素(且因此像素強度、信號分量Si 等等)表達為向量。在一實施例中,可接著自模型化中所產生之亞可比(Jacobian)矩陣導出加權。在一實施例中,可自模型化中所產生之亞可比矩陣之莫耳-潘羅斯(Moore-Penrose)偽逆導出加權。因此,針對方程式(3)之項有效地判定權重。自亞可比矩陣或亞可比矩陣之莫耳-潘羅斯偽逆導出之加權看起來良好地適用於相對適當疊對變化(例如,在±3奈米內或在±4奈米內或在±5奈米內)。 在一實施例中,可將權重擴展至針對項以及(及通常用於諸如CD、側壁角等等之其他參數之其他可比得上項)予以判定。在此狀況下,加權為模型化中所產生之除了亞可比矩陣以外的赫賽(Hessian)矩陣,或可自該赫賽矩陣導出。赫賽展示對歸因於另一(對稱)參數(諸如CD)之一定量改變的疊對改變如何回應。因此,針對每一此類參數,在赫賽中存在一行。在一實施例中,為了(更)穩固,可變更權重使得其變得更大程度上正交於使單位胞元敏感之行(參數)。為了變得更大程度上正交,可將一或多個敏感行串接至亞可比,且接著可自此亞可比(其中一或多個行來自串接至其之赫賽)計算莫耳-潘羅斯偽逆。權重遵循此計算。然而,此計算可較複雜且因此可適合於如下彼等情形:其中實務上預期疊對值超過自亞可比矩陣(之莫耳-潘羅斯偽逆)導出之加權展示良好結果之疊對變化範圍。 在一實施例中,權重可經擴展為針對方程式(3)之其他項予以判定。在彼狀況下,加權為模型化中所產生之除了亞可比矩陣以外的三階導數,或可自該三階導數導出。 如上文所提及,標稱剖面可為每目標或基板之微調標稱剖面。舉例而言,當量測特定目標或基板作為圖12之製程之部分時,可將重新建構技術與經量測資料一起使用以微調用於彼目標或基板之標稱剖面。現在,取決於微調,可(重新)判定權重及/或在所進行之加權之類型之間作出選擇(例如,亞可比或亞可比及赫賽之組合)。舉例而言,基於未經微調之標稱剖面,可在先前已選擇權重以抑制之效應, 但若微調識別及更新用於目標及/或基板之,則可無需抑制之效應。因此,可選擇相對於穩固性更偏好精度之權重。 因此,自製程1110,可輸出權重之集合(例如,向量)。權重自身可用作強度至疊對之轉換因子,或其可與自強度至疊對之轉換因子組合(該轉換因子可經導出作為該模型化之部分)。如自光瞳影像1065應瞭解,區1075及1080中之像素相比於區1075及1080外部之像素具有對疊對之相對較高敏感度,且因此,其加權將明顯地不同於(例如,高於)區1075及1080外部之像素之加權(該等像素具有對疊對之相對低敏感度)。因此,當將權重與具有單位胞元之一或多個實體例項的目標之經量測強度值組合(諸如根據方程式(4))時,可針對特定目標(諸如具有單位胞元之實體例項之器件圖案)獲得疊對信號。 另外,可判定一或多個量測參數以形成用於獲得目標之經量測強度值之量測策略。一或多個量測參數可影響像素之疊對敏感度。舉例而言,疊對敏感度橫越不同量測光束波長而變化。因此,在一實施例中,可變化一或多個量測參數(諸如波長、偏振、劑量、由偵測器感測器獲得的目標之一個特定照明之數個光學特性讀取(該等讀取通常經平均化以提供用於目標之量測之平均化光學特性值))作為模型化製程1110之部分。舉例而言,可針對特定誘發之疊對改變檢查一或多個量測參數以判定該一或多個量測參數之值,使得將(例如)當加權係針對該一或多個參數之一個值時獲得之疊對相對於當加權係針對該一或多個參數之另一值時獲得之疊對之間的誤差殘差縮減至最小值或低於某一臨限值。因此,可接著獲得改良精度之一或多個量測參數之值。 另外,對製程變化之穩固性橫越一或多個量測參數之不同值而不同。舉例而言,詳言之,對製程變化之穩固性橫越量測光束波長及/或量測偏振之不同值而不同。因此,在一實施例中,加權方案應至少解決對缺乏對製程變化之穩固性的主要促成因素。因此,除了為了改良精度判定一或多個量測參數之值以外或替代判定一或多個量測參數之值,亦可針對不同的特定誘發之疊對改變值(及/或針對經導出剖面模型之一或多個其他參數之特定誘發之改變,諸如CD、側壁角等等之改變)檢查一或多個量測參數以獲得在使用具有對製程變化之增強之穩固性之加權的情況下實現結果的一或多個量測參數之值。舉例而言,針對誘發之疊對改變之不同量,可評估一或多個量測參數之各種值以判定該一或多個量測參數之值使得在使用與該一或多個量測參數之該值相關聯之加權的情況下造成經判定疊對之最小(或低於臨限值)變化。當然,可在選擇一或多個量測參數之值之選擇時使用精度與增強之穩固性之間的平衡。舉例而言,可將加權應用於針對精度而判定之一或多個量測參數之值(例如,應用於量測精度之效能度量之權重)與針對增強之穩固性而判定之一或多個量測參數之值(例如,應用於量測穩固性之效能度量之權重)之間,且接著可選擇最大、排名最高等等之組合。且當然,一或多個量測參數之複數個值可經判定為使得在總體量測策略中實際上存在複數個不同量測策略。可根據一或多個效能度量對該複數個值進行排名。因此,視情況可自製程1110輸出量測策略以用於獲得具有單位胞元之一或多個實體例項的目標之經量測強度值。 另外,諸如CD、側壁角等等之一或多個非疊對參數可影響用於將強度信號映射至疊對之權重。如上文所提及,在此內容背景中判定權重之實例方式應為使用赫賽矩陣及/或三階導數。因此,在一實施例中,為了考量一或多個非疊對參數以便仍維持良好疊對值,各種可能加權方案係可能的。在一實施例中,為了疊對判定精度而可最佳化疊對資訊性疊對像素及其加權。此最佳化可需要良好模型品質,亦即,非疊對參數之良好估計。在一實施例中,為了對諸如非疊對參數中之製程變化之穩固性增加而可最佳化疊對資訊性像素及其權重。此可以精度為代價。 在一實施例中,可使用(例如)關於圖9所描述之重新建構技術進行一或多個非疊對參數之估計,且將該一或多個非疊對參數之估計前饋以調諧經導出剖面或經導出剖面模型。舉例而言,CD重新建構可估計在基板處之特定部位處及/或針對圖案化製程設定(例如,曝光劑量、曝光焦點等等)之特定組合的目標之CD,且使用彼CD估計以調諧經導出剖面或經導出剖面模型之CD參數。在一實施例中,可執行確切經導出剖面或經導出剖面模型參數之反覆重新建構。 參看圖12,判定用於具有能夠幾何學上對稱的單位胞元之一或多個實體例項之目標的疊對值之方法。此方法涉及兩個製程1200及1210。製程1200涉及獲得具有單位胞元之一或多個實體例項之目標的量測。製程1210涉及基於來自製程1200的目標量測而判定用於經量測目標之疊對值。 製程1200將待量測之包括能夠在幾何學上對稱性的如本文中所描述之單位胞元之一或多個實體例項之目標1220視為輸入。在一實施例中,將具有目標之一或多個例項之基板提供至度量衡設備,諸如圖7之度量衡設備。 視情況,製程1200將經指定用於目標之特定量測策略1230視為輸入。在一實施例中,量測策略可指定一或多個量測參數之值,該等量測參數諸如選自以下各者中之一或多者:量測光束波長、量測光束偏振、量測光束劑量、及/或由度量衡設備之偵測器感測器獲得的目標之一個特定照明之數個光學特性讀取。在一實施例中,量測策略可包含複數個量測策略,每一量測策略指定一或多個量測參數之值。量測策略可用以量測目標。 製程1200接著根據選用量測策略使用度量衡設備來量測目標。在一實施例中,度量衡設備獲得重新導向輻射之光瞳表示。在一實施例中,度量衡設備可產生光瞳表示,諸如光瞳影像1030 (若(例如)目標不具有疊對之誤差)或光瞳影像1060 (若(例如)目標具有疊對之誤差)。因此,在一實施例中,製程1200輸出關於自目標之重新導向之輻射的光學資訊1240,諸如該輻射之光瞳表示。 製程1210接著接收光學資訊1240且處理該光學資訊以判定用於目標之疊對值1260。在一實施例中,製程1210接收自圖11之方法判定之加權1250作為輸入,接著將該等加權1250與自光學資訊1240獲得或導出之一或多個光學特性值(例如,強度)組合。 在一實施例中,製程1210 (或製程1200)可處理光學資訊以自該光學資訊導出原始疊對信號。在一實施例中,原始疊對信號包含光學資訊之微分,亦即,橫越對稱軸線或點之對稱像素之間的光學特性值之差。在一實施例中,可獲得經導出光瞳影像1035 (若(例如)目標不具有疊對之誤差)或經導出光瞳影像1065 (若(例如)目標具有疊對之誤差)。 在一實施例中,將加權與關於由目標重新導向之輻射之光學資訊(例如,來自製程1200之光學資訊,或來自製程1200之光學資訊之經處理版本,諸如原始疊對信號)組合以判定疊對值。在一實施例中,使用與關聯加權線性組合之重新導向量測光束強度之組合可導致疊對之快速判定。舉例而言,在一實施例中,可使用方程式(4)導出疊對值,其中疊對值M被計算為在使用用於來自原始疊對信號之信號分量Si 中之每一者之各別權重的情況下的該等信號分量Si 之加權組合。 在一實施例中,自製程1200收集之光學資訊可另外用以導出除疊對之外的一或多個目標相關參數。舉例而言,自製程1200收集之光學資訊可用於重新建構製程中以導出目標之任一或多個幾何剖面參數,諸如CD、側壁角、底部地板傾角等等。因此,在一實施例中,自目標(諸如晶粒內的蝕刻後目標)收集之光學資訊之同一集合可用以判定目標(諸如器件結構)之疊對、CD及/或一或多個其他幾何剖面參數。 雖然如上文所提及,已集中於強度,但在一實施例中,光學特性可為反射率,輻射可經偏振且量測可為跨偏振量測。舉例而言,曝光至某一線性偏振之目標可在具有彼偏振或在不同偏振下經量測。因此,針對對稱像素(其中單引號表示對稱部位),則用於彼等像素之反射率R可被量測如下:(4)(5) 其中s表示s偏振且p表示p偏振。因此,反射率對應於當使用s偏振來照明目標時所量測的s偏振輻射之反射率R,反射率對應於當使用p偏振來照明目標時所量測的s偏振輻射之反射率R,等等。此外,可在不同波長下採取此等量測。且已發現,在某些實施例中,可自全等項發現及判定用於回應於疊對改變而改變對稱性的對稱單位胞元之疊對。 另外,非線性可起因於疊對及/或起因於其他參數。如上文所論述,可經由(例如)藉由使用赫賽矩陣及/或三階導數導出加權而進行的加權之適當選擇來解決某些非線性。在一實施例中,可藉由使用非線性解以自來自目標之重新導向輻射之經量測光學資訊導出疊對來解決非線性。 在一實施例中,可經由使用如以上所描述之用以導出標稱剖面之重新建構引擎來判定疊對。舉例而言,基於經導出標稱剖面及/或經導出標稱剖面模型而自模型工作的非線性求解程序可用以導出自來自所關注目標之重新導向輻射所預期的光學資訊之經模擬版本,可將光學資訊之該經模擬版本與所關注目標之經量測光學資訊進行比較。如上文所提及,所關注目標包含可對稱且在經受疊對時改變對稱性之單位胞元之一或多個實體例項。接著,若在某一臨限值內不存在協議,則可變化幾何剖面參數(例如,疊對)且重新計算光學資訊之經模擬版本且將其與經量測光學資訊進行比較直至在臨限值內存在協議為止。相似地,可將所關注目標之經量測光學資訊與自來自該所關注目標之重新導向輻射所預期的光學資訊庫進行比較(該庫通常將使用非線性求解程序而導出)。接著,若在某一臨限值內不存在協議,則可變化幾何剖面參數(例如,疊對)且可再次諮詢庫之與經量測光學資訊相比較的光學資訊之經模擬版本直至在臨限值內存在協議為止。 在一實施例中,運用來自所關注目標之經量測光學資訊的重新建構引擎之使用會使用已供移除輻射之對稱分佈之經量測光學資訊,該移除如以上所描述例如藉由自每一像素處之光學特性值減去橫越對稱點或軸線而對稱地定位之像素處之光學特性值而進行。因此,光學資訊實質上僅關於輻射之不對稱分佈。相似地,光學資訊之經模擬或庫版本實質上僅關於輻射之不對稱分佈。因為將無需計算或評估光學資訊之相當大部分(此係由於其將經由差分化而消除),所以此情形將促進計算及/或比較速度。 在非線性解之另一實施例中,可運用非線性求解程序對方程式(3)之展開式進行求解以導出。詳言之,可判定方程式(3)中之等等之值(在適用時)而作為所關注單位胞元之經導出標稱剖面及/或經導出標稱剖面模型之判定之部分。舉例而言,一旦已判定經導出標稱剖面而作為非線性重新建構之部分,就可獲得用於對應於該經導出標稱剖面(例如,對應於針對疊對之特定改變(例如,)的經導出標稱剖面之擾動)之光瞳的經模擬或庫光學資訊,且接著可針對光瞳中之每一像素運用非線性求解程序判定a b c 等等之值(在適用時),該非線性求解程序(例如)經由解反覆 (例如,回應於疊對之一或多個擾動(例如,))以便最小化殘差。在適用時,結果為用於光瞳之a 值之向量(每一a 值對應於光瞳之一像素)、用於光瞳之b 值之向量(每一b 值對應於光瞳之一像素)、用於光瞳之c 值之向量(每一a 值對應於光瞳之一像素),等等。可接著將此等向量與自具有所關注單位胞元之目標之經量測光瞳而判定的值之向量組合。(例如)經由解反覆以便最小化殘差之非線性求解程序可採取此等輸入向量且接著對疊對進行求解。 雖然以上之論述已集中於使用模型化單位胞元之實體剖面之模型,但在一實施例中,可使用無需實體剖面模型化之資料驅動技術導出加權或可運用補充實體剖面模型化之資料驅動技術導出加權。因此,在一實施例中,有利地,資料驅動技術可無需實體剖面模型;此情形可有用於(例如)限制機密資訊之共用,此係因為實體剖面模型化起始於及判定在單位胞元為器件圖案結構的情況下可為敏感資訊的關於單位胞元(且因此關於目標)之細節。在一實施例中,資料驅動技術可使得能夠相對快速判定(例如)如上文所論述之權重,以將經量測光學資訊(例如,光瞳強度)轉譯成圖案化製程參數(例如,疊對)。在一實施例中,資料驅動技術使得能夠在初期判定圖案化製程參數,此係由於(如下文所論述)資料技術驅動可僅需要經量測資料及關聯參考。 因此,在一實施例中,資料驅動技術涉及在具有所關注圖案化製程參數(例如,疊對)之某一或多個設定值的情況下處理自具有所關注單位胞元之實體例項的一或多個基板量測之資料(「得到」資料),該等實體例項經圖案化於該一或多個基板上而作為一或多個目標。用以產生圖案之某一圖案化製程參數(例如,疊對)之「設定」故意值連同自彼等圖案量測之資料(「得到」資料)之此組合被稱作「設定-得到」製程。舉例而言,產生單位胞元之實體例項之特定量的疊對作為圖案化製程之部分,且接著量測具有該單位胞元之實體例項之目標以獲得(例如)其光瞳影像(亦即,「得到」資料)。在一實施例中,可以此方式圖案化及量測複數個基板。在一實施例中,產生疊對之複數個不同設定值,該等不同疊對值可針對一個基板,可橫越不同基板等等。在一實施例中,每一基板將具有經量測之複數個目標,從而得到(例如)複數個光瞳影像。在一實施例中,可藉由誘發自圖案化單位胞元之實體例項之不同部分之間的設計放大率之放大率改變而產生疊對。在一實施例中,可藉由提供自圖案化單位胞元之實體例項之不同部分之間的設計定位之故意平移而產生疊對。因此,結果為(例如)由微影設備誘發的目標中之有意施加之疊對。 在一實施例中,一般而言,獲得量測資料及關聯參考值。因此,在一實施例中,若存在不同疊對但彼等疊對係藉由另一構件(例如,來自掃描電子顯微鏡)判定,則無需提供有意疊對。在一實施例中,具有對應參考資料(例如,來自CD-SEM)之臨界尺寸均勻性基板可用作輸入資料。在具有經量測資料及參考值的情況下,如本文中所論述,資料驅動途徑可尋找權重使得推斷出類似於參考值之疊對值。因此,雖然資料驅動技術之論述將集中於在故意設定疊對值下獲得之經量測光學資訊及光瞳表示,但其通常可應用於更一般量測資料及關聯參考值(而不管是經量測抑或經有意設定)。 另外,雖然此處之技術係關於特定疊對(例如,在X方向上之疊對),但應瞭解,可針對不同疊對(例如,在Y方向上之疊對、不同層中之結構之間的疊對等等)使用對應量測資料及參考值來重複此處之技術。因此,可針對不同疊對判定不同權重集合。 因此,參看圖13,描繪資料驅動技術之實施例的高階流程。在1300處,執行計算以導出權重(如上文所論述)以將經量測光學資訊(例如,光瞳強度)轉譯成圖案化製程參數(例如,疊對)。詳言之,該計算使用若干輸入。輸入中之一者為用於具有所關注單位胞元之實體例項之目標的設定-得到製程之設定值1320。如上文所提及,可橫越一或多個基板量測目標之複數個例項,其中該目標之一或多個例項相比於該目標之一或多個其他例項具有圖案化製程參數之故意設定值的不同值。一另外輸入為用於處於不同設定值的目標之彼等例項之經量測光學資訊1310。在一實施例中,光學資訊1310為複數個光瞳表示,每一光瞳表示對應於目標之一例項。接著,在資料驅動技術中處理輸入1310及1320以獲得權重1330。下文中描述此資料驅動技術之實例。 在一實施例中,尋找權重之向量之資料驅動技術的實例應為最小化以下目標或優質化函數以獲得權重(6) 其中為為了判定圖案化製程參數(例如,疊對)而與經量測光學特性(例如,強度)之值結合之權重之向量,每一權重對應於光瞳之一像素值;為矩陣,其中每一行含有自目標之例項之經量測光瞳量測之光學特性的像素值,該目標係自經圖案化之基板i 獲得,以便獲得圖案化製程參數之特定設定值(該矩陣接著經轉置使得行變為光瞳之像素,列變為基板上之目標之一或多個例項,且該矩陣中之值為在各別像素下之經量測光學特性之值);為含有用於一或多個基板i 上之目標之一或多個例項之圖案化製程參數的對應設定值之向量,每一設定值對應於一圖案化製程參數值;1 為數個設定值之大小之單位向量;且為針對每一基板之圖案化製程參數之設定值與圖案化製程參數之推斷值之間的偏移差;且D為經量測基板之數目。矩陣可為針對目標之每一例項之不同結果的組合。舉例而言,可在不同波長、不同偏振等等的情況下量測目標。因此,此等結果可串接至每一行,因此(例如)單一行可具有用於在第一波長及第一偏振下量測之目標之光瞳之像素的值,接著是用於在第二不同波長下量測之目標之光瞳之像素的行中之值,或接著是用於在第二不同偏振下量測之目標之光瞳之像素的行中之值(且其可接著是在一或多個不同偏振及/或波長下之另外值)。 因此,實際上,此函數發現權重向量,使得針對每一基板i 之所推斷值看起來與除偏移之外的設定值儘可能相似(在L2正則化範數意義上)。原則上,可藉由矩陣求逆計算最佳權重及偏移。由於運用一或多個特定度量衡設備獲得經量測光學特性之像素值,故可藉由校準資料正規化所獲得權重以縮減該特定度量衡設備自身對結果之影響。 代替將目標或優質化函數用作資料驅動技術來尋找如以上所描述之權重或除了將目標或優質化函數用作資料驅動技術來尋找如以上所描述之權重以外,資料驅動技術亦可使用機器學習演算法(類似於神經網路)或非線性方法以在故意提供所關注圖案化製程參數(例如,疊對)差的情況下基於目標之經量測光瞳來判定權重。 在一實施例中,在訓練(亦即,使用目標或優質化函數或機器學習演算法)之後,可使用其他資料檢查權重。存在訓練引起過度擬合之機會;資料驅動途徑「恰好」將資料擬合至設定值。因此,完成交叉驗證。使用具有已知設定值之新資料以檢查權重。此新資料亦可為在考慮中的基板之子集。因此,在一實施例中,對基板之子集進行訓練,且對基板之另一(分離)子集進行驗證。 圖14描繪結合實體幾何模型之資料驅動技術之實施例的高階流程。在此實施例中,如關於圖13所描述之資料驅動技術可用以導出權重,該等權重用以調諧實體幾何模型(例如,藉由使用赫賽以獲得較佳模型標稱值、藉由改變模型標稱值等等)使得來自實體幾何模型(例如,實體幾何模型之亞可比(之莫耳-潘羅斯偽逆))之權重與藉由該資料驅動技術判定之權重相同或相似(例如,在值上、以統計方式等等)。因此,在一實施例中,(經縮放)權重向量可用以微調實體幾何模型使得實體幾何模型經調諧使得亞可比(之莫耳-潘羅斯偽逆)相似於該(經縮放)權重向量。 因此,在一實施例中,在1400處,執行資料驅動技術(其之實例係在上文描述)以導出如上文所論述之權重。該計算使用若干輸入。輸入中之一者為用於具有所關注單位胞元之實體例項之目標的設定-得到製程之設定值1420。如上文所提及,可橫越一或多個基板量測目標之複數個例項,其中該目標之一或多個例項相比於該目標之一或多個其他例項具有圖案化製程參數之故意設定值的不同值。一另外輸入為用於處於不同設定值的目標之彼等例項之經量測光學資訊1410。在一實施例中,光學資訊1410為複數個光瞳表示,每一光瞳表示對應於目標之一例項。接著,在資料驅動技術中處理輸入1410及1420以獲得權重1430。 將權重1430輸入至製程1440以使用該等權重1430微調實體幾何模型。製程1440獲得用於單位胞元之實體剖面1450 (製程1440使用該實體剖面以導出實體剖面模型)或獲得用於單位胞元之實體剖面模型1450 (製程1440使用該實體剖面模型)。在一實施例中,實體剖面為如上文所論述之單位胞元之經導出標稱剖面及/或經導出標稱剖面模型。 製程1440使用實體幾何模型以導出對應於權重1430之權重。接著將彼等權重與權重1430進行比較。該比較可涉及量值之匹配、統計分析、擬合評估等等。若存在顯著差(例如,藉由對照臨限值評估該比較),則可調諧實體剖面之一或多個參數。舉例而言,一或多個實體剖面參數(例如,CD、側壁角、材料高度等等)可經調諧使得該比較之結果較接近於或等於(例如)某一臨限值。在一實施例中,赫賽可用以進行此微調,或可使用非線性求解程序(包括一或多個前向呼叫(例如,馬克士威求解程序))來進行此微調。調諧及比較可反覆直至滿足或超越臨限值為止。接著,經調諧實體幾何模型可輸出經更新權重1460以結合所關注目標之經量測光學資訊來使用以導出圖案化製程參數值。 圖15描繪結合實體幾何模型之資料驅動技術之另外實施例的高階流程。當實體幾何模型與經量測資料相似地表現時,實體幾何模型可用以預測製程變化之影響。因此,在一實施例中,實體幾何模型之赫賽可用以調諧權重使得權重變得(更)正交於在用於資料驅動技術中之資料中不存在的製程變化,以獲得用以調諧該實體幾何模型之權重。 亦可在不運用資料驅動技術的情況下完成使用赫賽以調諧權重之此途徑。亦即,可運用關聯於圖11所描述之實體幾何模型途徑來完成使用赫賽以更新權重之此技術。在此狀況下,舉例而言,權重可經調諧使得權重變得(更)正交於在用以獲得如上文所論述之單位胞元之經導出標稱剖面及/或經導出標稱剖面模型的資料中不存在的製程變化。經由此調諧,權重對在用以產生實體幾何模型之經量測資料中未觀測到的製程變化變得更穩固。 因此,在一實施例中,在1500處,執行資料驅動技術(其之實例係在上文描述)以導出如上文所論述之權重。該計算使用若干輸入。輸入中之一者為用於具有所關注單位胞元之實體例項之目標的設定-得到製程之設定值1510。如上文所提及,可橫越一或多個基板量測目標之複數個例項,其中該目標之一或多個例項相比於該目標之一或多個其他例項具有圖案化製程參數之故意設定值的不同值。一另外輸入為用於處於不同設定值的目標之彼等例項之經量測光學資訊1505。在一實施例中,光學資訊1505為複數個光瞳表示,每一光瞳表示對應於目標之一例項。接著,在資料驅動技術中處理輸入1505及1510以獲得權重1515。 將權重1515輸入至製程1520以使用該等權重1515微調實體幾何模型。製程1520獲得用於單位胞元之實體剖面1525 (製程1520使用該實體剖面以導出實體剖面模型)或獲得用於單位胞元之實體剖面模型1525 (製程1520使用該實體剖面模型)。在一實施例中,實體剖面為如上文所論述之單位胞元之經導出標稱剖面及/或經導出標稱剖面模型。 製程1520使用實體幾何模型以導出對應於權重1515之權重。接著將彼等權重與權重1515進行比較。該比較可涉及量值之匹配、統計分析、擬合評估等等。若存在顯著差(例如,藉由對照臨限值評估該比較),則可調諧實體剖面之一或多個參數。舉例而言,一或多個實體剖面參數(例如,CD、側壁角、材料高度等等)可經調諧使得該比較之結果較接近於或等於(例如)某一臨限值。在一實施例中,赫賽可用以進行此微調,或可使用非線性求解程序(包括一或多個前向呼叫(例如,馬克士威求解程序))來進行此微調。調諧及比較可反覆直至滿足或超越臨限值為止。 但如應瞭解,圖案化製程可在執行期間變化且不同地用於圖案化製程之不同執行。因此,針對資料驅動技術獲得之資料並未考量所有可能的圖案化製程變化。但當實體幾何模型之調諧已使其與經量測資料相似地表現時,該實體幾何模型可用以預測製程變化之影響且相應地調整權重。 因此,在一實施例中,經調諧實體幾何模型1530用以在1535處計算該經調諧實體幾何模型之赫賽。赫賽1540接著用以在1545處調諧權重使得該等權重變得(更)正交於在用於資料驅動技術中之資料中不存在的製程變化(亦即,對該等製程變化穩固),以獲得用以調諧實體幾何模型之權重。換言之,權重經調諧為在與來自基板之量測資料組合時(即使在該基板經受製程變化時)更可能得到準確結果。 此處在疊對之內容背景中描述赫賽可如何用以微調權重之非限制性實例;可在適當時使用不同圖案化製程參數。在此實例中,假定僅評估一個疊對類型(例如,在X方向上之疊對)。在具有多個疊對類型的情況下之微調亦係可能的。 在使用赫賽以微調權重之此實施例中,自一或多個設定-得到基板量測之資料藉由將單值分解應用於該資料來估計疊對回應。假定本徵向量(其具有長度1)對應於疊對回應。接著對以下方程式進行求解以找到向量(7) 其中為相對於疊對參數之亞可比,且赫賽H 為矩陣,其中行含有相對於製程變化(例如,CD、材料高度等等之變化)及疊對參數之偏導數(亞可比及赫賽兩者係自如以上所描述之模型而獲得)。經判定向量則對應於為了獲得經更新(例如,較佳)模型而待應用於模型中之非疊對參數之差量參數。 為了使得權重對製程變化穩固(亦即,正交於製程變化),可使用以下技術。可藉由以下二階泰勒展開式定義光瞳(8) 其中為相對於疊對參數之亞可比,且H 為矩陣,其中行含有相對於製程變化(例如,CD、材料高度等等之變化)及疊對參數之偏導數。向量含有對應製程變化。因此,針對給定結構及針對具有疊對值ο之給定製程變化例項,光瞳等於(近似)。如應瞭解,亦可藉由將此等貢獻相加而將以上公式化擴展至更多疊對參數。此外,因為泰勒展開式中之高階被忽略,所以此公式化為近似值。 現在,若製程變化之影響小,則使用亞可比之潘羅斯-莫耳逆來計算權重。在僅一個疊對參數之狀況下,權重等於。且實際上,關於光瞳之加權平均值(內積)引起疊對值ο,亦即,(9) 然而,當製程變化具有大影響時,疊對回應改變:(10) 為了使權重對此等變化穩固,(11) 此可藉由使權重等於矩陣之偽逆之第一列來實現。或換言之,赫賽矩陣在求逆之前串接至亞可比。以此方式,權重變得正交於製程變化(但以損失某種精度為代價)。 因此,根據調諧1545,輸出經調諧權重1550以結合所關注目標之經量測光學資訊而使用以導出圖案化製程參數值。 圖16描繪結合實體幾何模型之資料驅動技術之另外實施例的高階流程。在此實施例中,藉由使包括含有用於圖案化製程之製程變化(例如,可自CD量測獲得圖案化製程變化)之合成光學資訊(例如,光瞳表示)來擴展輸入至資料驅動技術之資料。可使用資料驅動技術而單獨使用合成光學資訊或將合成光學資訊與經量測光學資訊組合以找到新權重。 因此,在一實施例中,在1500處,執行資料驅動技術(其之實例係在上文描述)以導出如上文所論述之權重。該計算使用若干輸入。輸入中之一者為用於具有所關注單位胞元之實體例項之目標的設定-得到製程之設定值1510。如上文所提及,可橫越一或多個基板量測目標之複數個例項,其中該目標之一或多個例項相比於該目標之一或多個其他例項具有圖案化製程參數之故意設定值的不同值。一另外輸入為用於處於不同設定值的目標之彼等例項之經量測光學資訊1505。在一實施例中,光學資訊1505為複數個光瞳表示,每一光瞳表示對應於目標之一例項。接著,在資料驅動技術中處理輸入1505及1510以獲得權重1515。 將權重1515輸入至製程1520以使用該等權重1515微調實體幾何模型。製程1520獲得用於單位胞元之實體剖面1525 (製程1520使用該實體剖面以導出實體剖面模型)或用於單位胞元之實體剖面模型1525 (製程1520使用該實體剖面模型)。在一實施例中,實體剖面為如上文所論述之單位胞元之經導出標稱剖面及/或經導出標稱剖面模型。 製程1520使用實體幾何模型以導出對應於權重1515之權重。接著將彼等權重與權重1515進行比較。該比較可涉及量值之匹配、統計分析、擬合評估等等。若存在顯著差(例如,藉由對照臨限值評估該比較),則可調諧實體剖面之一或多個參數。舉例而言,一或多個實體剖面參數(例如,CD、側壁角、材料高度等等)可經調諧使得該比較之結果較接近於或等於(例如)某一臨限值。調諧及比較可反覆直至滿足或超越臨限值為止。 因此,在一實施例中,經調諧實體幾何模型1530用以在1535處計算該經調諧實體幾何模型之赫賽。赫賽1600接著用以在1610處產生合成光學資訊(例如,一或多個光瞳表示)。合成光學資訊為經模擬光學資訊。合成光學資訊意欲模仿圖案化製程中之一或多個預期製程變化。在一實施例中,關於圖案化製程中之一或多個製程變化之資料1620可結合赫賽1600而使用以導出合成光學資訊。在一實施例中,可藉由替代以上方程式(8)中之不同疊對值ο及不同參數變化來產生合成光瞳,其中權重對應於。雖然上文所描述之方程式(8)係關於單一疊對參數,但技術亦可藉由將彼等貢獻相加而擴展至較多疊對參數。此外,因為泰勒展開式中之高階被忽略,故使用方程式(8)之技術為近似值。資料1620可包含(例如)描述製程變化之種類及範圍之資訊(例如,疊對、CD等等可變化某一百分比之指示)。可藉由圖案化製程中之量測(例如,疊對、CD等等之量測)獲得資料1620。因此,資料1620與赫賽1600一起使用以產生包括預期製程變化之經模擬光學資訊1630。合成光學資訊1630亦可包括與合成光學資訊1630相關聯之一或多個關聯所估計設定值。接著將合成光學資訊1630 (及任何關聯設定值)輸入至資料驅動技術1500以單獨或結合經量測光學資訊進行分析,以使用資料驅動技術尋找新權重。 圖17描繪結合實體幾何模型之資料驅動技術之另外實施例的高階流程。此實施例相似於圖16之實施例,惟以下情形除外:代替計算赫賽,對用於每一製程變化之非線性求解程序(例如,馬克士威求解程序)進行前向呼叫以獲得合成光學資訊。 因此,在一實施例中,在1500處,執行資料驅動技術(其之實例係在上文描述)以導出如上文所論述之權重。該計算使用若干輸入。輸入中之一者為用於具有所關注單位胞元之實體例項之目標的設定-得到製程之設定值1510。如上文所提及,可橫越一或多個基板量測目標之複數個例項,其中該目標之一或多個例項相比於該目標之一或多個其他例項具有圖案化製程參數之故意設定值的不同值。一另外輸入為用於處於不同設定值的目標之彼等例項之經量測光學資訊1505。在一實施例中,光學資訊1505為複數個光瞳表示,每一光瞳表示對應於目標之一例項。接著,在資料驅動技術中處理輸入1505及1510以獲得權重1515。 將權重1515輸入至製程1520以使用該等權重1515微調實體幾何模型。製程1520獲得用於單位胞元之實體剖面1525 (製程1520使用該實體剖面以導出實體剖面模型)或用於單位胞元之實體剖面模型1525 (製程1520使用該實體剖面模型)。在一實施例中,實體剖面為如上文所論述之單位胞元之經導出標稱剖面及/或經導出標稱剖面模型。 製程1520使用實體幾何模型以導出對應於權重1515之權重。接著將彼等權重與權重1515進行比較。該比較可涉及量值之匹配、統計分析、擬合評估等等。若存在顯著差(例如,藉由對照臨限值評估該比較),則可調諧實體剖面之一或多個參數。舉例而言,一或多個實體剖面參數(例如,疊對、CD、側壁角等等)可經調諧使得該比較之結果較接近於或等於(例如)某一臨限值。調諧及比較可反覆直至滿足或超越臨限值為止。 因此,在一實施例中,經調諧實體幾何模型1700用以在1720處計算類似於如上文所論述之合成光學資訊。如同上文所論述,關於圖案化製程中之一或多個製程變化之資料1710可結合經調諧實體幾何模型1700而使用以導出合成光學資訊。舉例而言,資料1710可包含描述製程變化之種類及範圍之資訊(例如,疊對、CD等等可變化某一百分比之指示)。可藉由圖案化製程中之量測(例如,疊對、CD等等之量測)獲得資料1710。如上文所提及,1720處之製程可使用對用於製程變化之非線性求解程序(例如,馬克士威求解程序)之前向呼叫以獲得合成光學資訊。因此,資料1710與經調諧實體幾何模型1700一起使用以產生包括預期製程變化之經模擬光學資訊1730。合成光學資訊1730亦可包括與合成光學資訊1730相關聯之一或多個關聯所估計設定值。接著將合成光學資訊1730 (及任何關聯設定值)輸入至資料驅動技術1500以單獨或結合經量測光學資訊進行分析,以使用資料驅動技術尋找新權重。 在圖10A至圖10C中,呈現單位胞元之相對簡單實例,其中在基本上僅一個方向上之疊對造成單位胞元之對稱性改變。詳言之,在圖10A至圖10C之單位胞元中,在X方向上之疊對改變引起該單位胞元之對稱性/不對稱性改變,而在Y方向上之疊對改變並不引起該單位胞元之對稱性改變。此係由於圖10A至圖10C之具有兩個結構1000、1005之單位胞元以一特定幾何方式而組態使得在基本上僅一個方向上之疊對造成該單位胞元之對稱性改變。當然,此單位胞元可以此方式藉由結構之適當選擇來設計。然而,可存在以下情況:可識別具有特定幾何形狀使得在基本上僅一個方向上之疊對造成單位胞元之對稱性改變之現有結構,諸如器件結構。因此,各種單位單元可經選擇或經設計為使得能夠判定在基本上僅一個方向上之疊對(其無需在X方向上)。 然而,有利地,可識別或設計如下單位胞元:經組態為使得針對兩個或多於兩個不同疊對而導致單位胞元之對稱性改變。在一實施例中,不同疊對可在不同方向上。具體言之,在一實施例中,第一疊對可在X方向上,而第二疊對可在Y方向上。在一實施例中,不同疊對可各自在單位胞元之結構或部分之不同組合之間。在一實施例中,彼等結構可處於目標之同一層中及/或不同層中。具體言之,在一實施例中,第一疊對可在單位胞元之第一結構與第二結構之間,且第二疊對可在單位胞元之第一結構(或第二結構)與第三結構之間或在單位胞元之第三結構與第四結構之間。在此狀況下,第一疊對及第二疊對可在同一方向上。天然地,可存在在不同方向上之不同疊對及來自單位胞元之結構之組合的不同疊對之組合。舉例而言,第一疊對可針對第一層中之第一結構及第二下部層中之第二結構而在X方向上,且第二疊對可針對第一層中之第一結構及低於第二層之第三層中之第三結構而在Y方向上。因此,可經由單位胞元(且因此目標)之適當識別或設計而判定疊對之眾多組合。 此外,如應瞭解,在X方向及Y方向上之疊對之判定可使得能夠經由適當組合判定總疊對(在X及Y上)。相似地,為了使得能夠判定用於多個不同結構(在該等不同結構之間可發生疊對)之總疊對,需要判定用於彼等結構中之每一者之疊對。因此,作為一實例,對於在4個層(在該等層之間可發生疊對) (其中該等層中之一者為參考層)中具有4個相異結構之單位胞元,則可判定6個疊對(針對每一層為X及Y)以使得能夠判定用於該單位胞元之總疊對。當然,可視需要判定子組合以獲得在4個層當中之一或多個不同所關注疊對。 圖18描繪目標之多重疊對單位胞元的實例實施例。類似於圖10A至圖10C之單位胞元,此單位胞元包含第一結構1000及第二結構1005。另外,此單位胞元具有第三結構1800,該第三結構在此實施例中在Z方向上在第一結構1000及第二結構1005上方的層中。在此實施例中,此單位胞元之不對稱性可藉由一或多個不同疊對產生。舉例而言,在X方向上在結構1005與結構1800之間的相對移位可得到在X方向上之造成不對稱性之疊對。作為另一實例,在Y方向上在結構1005與結構1000之間的相對移位可得到在Y方向上之造成不對稱性之疊對。作為另一實例,在Y方向上在結構1000與結構1800之間的相對移位可得到在Y方向上之造成不對稱性之另外疊對。 圖19描繪目標之多重疊對單位胞元的另一實例實施例。類似於圖10A至圖10C之單位胞元,此單位胞元包含第一結構1000及第二結構1005。另外,類似於圖18之單位胞元,此單位胞元具有第三結構1800,第三結構在此實施例中在Z方向上在第一結構1000及第二結構1005上方的層中。另外,此單位胞元具有第四結構1900,該第四結構在此實施例中在Z方向上在第一結構1000、第二結構1005及第三結構1800上方的層中。類似於圖18之單位胞元,在此實施例中,可藉由一或多個不同疊對產生此單位胞元之不對稱性。舉例而言,在X方向上在結構1005與結構1800之間的相對移位可得到在X方向上之造成不對稱性之疊對。作為另一實例,在X方向上在結構1005與結構1900之間的相對移位可得到在X方向上之造成不對稱性之疊對。作為另一實例,在Y方向上在結構1005與結構1000之間的相對移位可得到在Y方向上之造成不對稱性之疊對。作為另一實例,在Y方向上在結構1000與結構1800之間的相對移位可得到在Y方向上之造成不對稱性之另外疊對。 因此,在一實施例中,圖18或圖19之單位胞元之經照明實體例項的量測將得到在事實上存在多個不同疊對的情況下可能包括多個不同疊對之光學資訊。舉例而言,參看圖18,若圖18之單位胞元之對稱性表示零疊對且存在結構1005在X及Y上之自其零疊對位置相對於其上覆結構之移位(例如,在不為0度、90度、180度或270度之方向上之移位),則彼移位將造成歸因於在X方向上在結構1005與結構1800之間的相對移位及在Y方向上在結構1005與結構1000之間的相對移位之不對稱性。因此,將需要判定關於結構1005在X方向及Y方向上之疊對兩者(該組合將得到結構1005之總疊對)。 如下文中所論述,呈現可與判定用於與單位胞元之實體例項之第二疊對分離地自光學特性值判定單位胞元之實體例項之第一疊對之值之技術,該第二疊對亦可獲得自該等相同光學特性值,其中該第一疊對係在與第二疊對不同之方向上(例如,X方向疊對及Y方向疊對)或在與第二疊對不同的單位胞元之部分之組合之間(例如,結構1005與結構1800之間的第一疊對,及結構1005與結構1000之間的第二疊對或結構1000與結構1800之間的第二疊對,其中該第一疊對及該第二疊對有可能在同一方向上)。 亦即,在一實施例中,權重經判定為將在光學特性值中之第一疊對資訊與相同光學特性值中之第二(或更多)疊對資訊解耦。因此,在一實施例中,藉由應用專門選定權重,權重與光學特性值之組合將得到區別於相同光學特性值中之其他可能疊對資訊的特定所關注疊對。實際上,權重將以所關注疊對為特徵且減少一或多個其他疊對。當然,可針對每一所關注疊對建構權重之不同集合,使得光學特性值可經處理以,得到用於不同所關注疊對中之每一者之不同值。 此技術將關於圖20之曲線圖來描述。圖20之曲線圖呈現該技術之圖形演示,但實務上無需建構曲線圖,此係因為所有處理皆可在無需產生曲線圖的情況下數學地進行。另外,該技術係關於圖11之模型來描述。但可使用關於本文中之其他圖所描述之模型(及關聯其他技術)。 另外,呈現依據自模型導出權重之線性版本之此實例。亦即,在一實施例中,自亞可比(之莫耳-潘羅斯偽逆)導出權重。 因此,在此線性狀況下,為了重新建構諸如在某一方向上之疊對之特定參數,可將亞可比求逆。但,所關注參數行與剩餘行之相關程度判定重新建構此參數之容易程度。 因此,在具有(例如)用於所關注單位胞元(例如,圖18之單位胞元)之標稱剖面模型的情況下,可產生至少兩個向量。第一疊對向量表示單位胞元內之所關注第一疊對(例如,X方向疊對),且第二疊對向量表示所關注第二疊對(例如,Y方向疊對)。如應瞭解,為了額外所關注疊對而可產生另外向量。 另外,對於兩個疊對向量中之每一者,選擇對應於單位胞元之實體例項之預期量測的光瞳表示之一或多個像素。在此實施例中,針對每一疊對向量選擇一對像素,其中每一對像素包含如早先所描述之對稱定位之像素。理想地,該對像素係選自如上文所論述之光瞳表示之不對稱輻射分佈部分。 現在,第一疊對向量對應於一對像素中對針對第一疊對向量之所關注第一疊對之改變(而使所有其他參數不變,亦即,所關注第二疊對無改變)的回應(在此狀況下為產生該對之像素之間的不對稱信號)。可使用標稱剖面模型藉由誘發所關注第一疊對之改變(例如,1奈米改變)且接著計算該對像素對彼改變之光學回應(例如,強度)來產生此回應。 相似地,第二疊對向量對應於一對像素中對針對第二疊對向量之所關注第二疊對之改變(而使所有其他參數不變,亦即,所關注第一疊對無改變)的回應(在此狀況下為產生該對之像素之間的不對稱信號)。可使用標稱剖面模型藉由誘發所關注第二疊對之改變(例如,1奈米改變)且接著計算該對像素中之光學回應(例如,強度)來產生此回應。 圖20中用圖表示所得向量,其中水平軸線u 對應於第一像素對之經對稱定位像素之間的不對稱強度(Ii - Ii '),且垂直軸線v 對應於第二像素對之經對稱定位像素之間的不對稱強度(Ii - Ii ')。因此,圖20展示兩個高度相關向量。 因此,為了將所關注第一疊對與第二疊對對像素對之貢獻解耦及分離,將向量背向投影至向量上(該向量為正交於向量之向量),以形成向量且將經投影向量之長度除以向量之間的角度之餘弦。此向量接著有助於將所關注第一疊對與像素對(及(相關地)光瞳表示中之其他像素對)之強度隔離。 另外或替代地,向量經背向投影至向量上(該向量為正交於向量之向量),以形成向量且將經投影向量之長度除以向量之間的角度之餘弦。此向量接著有助於將所關注第二疊對與像素對(及(相關地)光瞳表示中之其他像素對)之強度隔離。 因此,返回參看方程式(3)及(4),Si 表示一像素對之經對稱定位像素之間的不對稱強度(Ii - Ii ')。因此,第一疊對向量可對應於具有為之Si 之第一像素對及具有為之Si 之第二像素對中對所關注第一疊對之改變的回應。相似地,第二疊對向量可對應於彼等第一像素對及第二像素對中對所關注第二疊對之改變的回應。因此,可建構向量及/或向量;此處出於解釋性目的而建構該等向量兩者。向量及向量係依據對應於與對應的第一像素對之強度u 及依據對應於與對應的第二像素對之強度v 來界定。因此,可將向量及向量指定為:(12)(13) 因此,現在在上文及參看方程式(4)所描述之線性內容背景中,可接著基於及向量來將所關注第一疊對之疊對值界定如下:(14) 另外或替代地,可接著基於及向量來將所關注第二疊對之疊對值界定如下:(15) 因此,根據方程式(14),用以判定所關注第一疊對之權重分別針對為以下各者:,(11) 另外,根據方程式(15),用以判定所關注第二疊對之權重分別針對為以下各者:,(16) 因此,如應瞭解,可針對光瞳表示中之全部或實質上全部像素對重複此界定以便獲得用於所關注第一疊對之權重集合及/或獲得用於所關注第二疊對之權重集合。可接著根據方程式(4)將此等權重中之一者或兩者應用於經量測光學特性值以獲得用於各別所關注疊對之疊對值。當然,可評估一或多個另外所關注疊對且針對其判定一或多個適當權重集合。如應瞭解,在一實施例中,使在針對特定所關注疊對之權重界定中包括對所有不同所關注疊對之敏感度(例如,亞可比)。 因此,例如對於具有4個層(其中該等層中之一者為參考層)之單位胞元,其中該等層中之每一者在X方向及Y方向上之移位可造成對稱性改變(例如,造成不對稱性、造成另外不對稱性,或致使不對稱單位胞元變得對稱),則可產生6個向量(每一向量與一不同像素對相關聯),該6個向量包含用於該等層中之每一者之X方向疊對向量及用於該等層中之每一者之Y方向疊對向量。因此可存在權重之6個集合以導出各別疊對。當然,若該等向量中之一者並未受關注,則無需導出全部權重集合(但在一實施例中,對所有不同所關注疊對之敏感度(例如,亞可比)包括於針對特定所關注疊對之權重界定中)。可接著藉由此等疊對中之兩者或多於兩者之適當數學組合判定任何其他疊對。 如應瞭解,單位胞元中之層之一些移位將不造成對稱性改變,且因此,無法自該單位胞元判定對應於彼移位之疊對。因此,顯然針對此移位並未界定向量。因此,將圖18視為實例,可針對彼單位胞元界定三個向量-一個向量針對X方向疊對且兩個向量針對不同Y方向疊對。因此,可判定在與經量測光學特性值組合時將給出在X方向上之疊對的一個權重集合。或,可判定在與經量測光學特性值組合時將給出在Y方向上之疊對的權重之集合,及/或可判定在與經量測光學特性值組合時將給出在Y方向上之疊對中之另一者的權重之集合。當然,可判定全部三個權重集合或可僅僅判定兩個權重集合。 以上之論述已集中於由對稱單位胞元之一或多個例項形成之目標,該對稱單位胞元係由器件之結構組成。此目標可使得能夠經由由產品上目標重新導向之輻射之產品上量測而判定圖案化製程參數之產品上值。然而,如以上所描述,目標無需僅由器件結構組成。換言之,可提供結構並未獨佔地包含器件結構之非產品目標。舉例而言,在一實施例中,可專門產生並不用以形成器件而是僅僅用於量測的結構之目標。此目標可提供於(例如)遠離器件之切割道中(且因此,提供於在遠離器件圖案之器件圖案化圖案之部分中)。在一實施例中,目標可提供於器件圖案當中(且因此,提供於圖案化器件圖案之器件圖案之特徵當中)。在適當時,非產品目標可包含一或多個器件結構及不用以形成器件而是僅僅用於量測之一或多個專門產生之結構。 若(例如)針對無法呈現對稱單位胞元例項之器件圖案判定圖案化製程參數,則非產品目標可有用。作為另一實例,若(例如)針對不具有如以上所描述之對稱單位胞元之器件圖案之一部分判定圖案化製程參數(該對稱單位胞元可給出彼圖案化製程參數之量度),則非產品目標可有用。舉例而言,可存在如下狀況:需要使用上文所描述之對稱單位胞元方法判定用於蝕刻後疊對之結構,但該結構不具有對稱性。舉例而言,邏輯電路或結構具有各自能夠引入可破壞該結構之對稱性之不同疊對分量之許多製程層/步驟。在(例如)邏輯電路之狀況下,通常歸因於不具有邏輯電路結構之對稱單位胞元而無法執行對器件圖案之量測。 作為一另外實例,非產品目標可與可呈現對稱單位胞元例項之器件圖案相關聯地使用(且即使單位胞元可給出所有所關注圖案化製程參數之量度亦如此)。此可為(例如)如下情況:器件圖案複雜,其可需要相當大計算時間。另外,器件圖案可呈現關於未受關注之圖案化製程參數之信號之潛在串擾。作為一實例,不同疊對分量之光瞳相關性可能大使得不可能將不同疊對誤差分離。 因此,非產品目標可與具有用於光束光點之對稱單位胞元之例項的器件圖案一起使用,或與無法呈現用於該光束光點之對稱單位之例項的器件圖案一起使用。 因此,在一實施例中,非產品目標可經設計為使得特定類型之所關注圖案化製程參數(例如,疊對)破壞非產品目標之某一類型之(光瞳)對稱性;此相似於上文所描述之技術。且雖然疊對將為論述之焦點,但如同上文所論述,可判定除疊對之外的一或多個不同圖案化製程參數。 當然,對於用以給出圖案化製程參數之量度之非產品目標,該非產品目標將遵循視為係在爭論中之圖案化製程參數之主促成因素的彼等製程步驟。因此,如上文所論述,若(例如)在分離圖案化製程中產生之兩個結構之間的疊對受關注,則非產品目標包含在該等分離圖案化製程中之每一者中且理想地藉由同一或可比得上製程產生之結構。 另外,破壞某一類型之幾何對稱性(例如,Y對稱性)會導致破壞光瞳域中之同一類型之對稱性。因此,非產品目標可針對特定類型之幾何對稱性而設計為使得對應特定圖案化製程參數值造成對稱性之破壞。舉例而言,Y對稱性由X疊對破壞。另外,在多於一個方向上存在對稱性的情況下,使用經設計為使得不同類型之圖案化製程參數(例如,不同疊對類型,諸如在X上之疊對及在Y上之疊對)破壞不同類型之對稱性之目標實現監視誘發之不對稱性(根據對稱性之相關類型)以每次判定一個圖案化製程參數(例如,疊對)。 非產品目標可具有一或多個優點。舉例而言,非產品目標設計相比於使用來自產品上目標之輻射之量測可具有縮減或最小化之光瞳相關性,且因此,較易於自經量測輻射判定所關注圖案化製程參數。在一實施例中,非產品目標設計可縮減或最小化不同類型之同一圖案化製程參數之間的串擾或不同種類之圖案化製程參數之間的串擾。因此,可獲得較清晰信號。非產品目標設計可具有量測用於不具有用於光束光點之對稱單位胞元之例項的器件圖案之圖案化製程參數之優點。因此,非產品目標設計可使得能夠將本文中所描述之量測及判定技術擴展至比如其中器件圖案可不具有有用對稱單位胞元之例項之邏輯及/或進階記憶體之應用。非產品目標設計可具有相對簡化結構,其可(例如)使得較容易進行如本文中所描述之模型化。此可使得較容易將多於一個圖案化製程參數類型自單一目標分離且自單一目標判定該等多於一個圖案化製程參數類型。另外,非產品目標設計可專門經組態以判定僅僅單一圖案化製程參數類型或判定圖案化製程參數類型之特定組合。 但非產品目標設計中之簡化過度可終止對圖案化製程參數(例如,疊對)之主要促成因素。為了減輕此風險非產品目標設計應假定與器件產品圖案實質上相同的製程步驟。另外,對所關注圖案化製程參數之主促成因素應經識別使得其可包括至非產品目標設計及/或關聯模型化中。 因此,類似於產品上目標設計,依據包含具有幾何對稱性之結構之單位胞元來界定非產品目標設計之實施例。在一實施例中,對稱性可在第一方向(例如,X方向)上、在第二正交方向(例如,Y方向)上,或在此兩個方向上。在一實施例中,單位胞元經產生為使得單位胞元中之結構之實體組態改變造成對稱性之破壞,該對稱性破壞引起一特定輻射分佈,該特定輻射分佈可經處理以判定如以上所描述之所關注圖案化製程參數之值。因此,單位胞元有效地作為度量衡目標,且在一實施例中含有用以提供信號以判定所關注圖案化製程參數之結構之最小區域。 在一實施例中,非產品目標設計包含在至少兩個圖案化製程(例如,同一類型之圖案化製程之至少兩個執行、不同類型之圖案化製程之至少兩個執行等等)中產生之結構。在其中在判定所關注圖案化製程參數之不同層中之結構中導致複數個圖案化製程執行之實施例中,非產品目標設計單位胞元包含來自所關注複數個層中之每一者之結構。在其中在判定所關注圖案化製程參數之同一層中之結構中導致圖案化製程執行之實施例中,非產品目標設計單位胞元包含來自所關注適用不同圖案化製程執行中之每一者之結構。在一實施例中,藉由第一圖案化製程產生之第一結構及/或藉由第二圖案化製程產生之第二結構並不用以產生器件圖案之功能態樣。 因此,在一實施例中且依據單位胞元,來自複數個圖案化製程之結構一起形成單位胞元之例項,且單位胞元在標稱實體組態下具有幾何對稱性,其中單位胞元在與標稱實體組態不同之實體組態下歸因於(例如)第一圖案化製程、第二圖案化製程及/或另一圖案化製程中之圖案置放之相對移位而造成單位胞元中之不對稱性。此特徵之實例為回應於一個層中之結構相對於另一層中之結構之偏移而造成單位胞元中之不對稱性。 在一實施例中,非產品目標設計包含單位胞元之重複。亦即,在一實施例中,非產品目標之實體例項上之光束光點將照明充滿該光束光點的單位胞元之複數個例項。在一實施例中,非產品目標設計包含單位胞元之至少4個例項、至少8個例項、至少10個例項、至少20個例項、至少40個例項、至少80個例項、至少100個例項、至少200個例項、至少400個例項或至少1000個例項。 在一實施例中,如產生於基板上之非產品目標具有小的大小。舉例而言,非產品目標可具有100平方微米或更小、50平方微米或更小或25平方微米或更小之面積。在一實施例中,非產品目標具有為10微米或更小或5微米或更小之橫向尺寸。在一實施例中,用於非產品目標之光束光點之橫向尺寸小於該目標之最大橫向尺寸。在一實施例中,用於至產品目標之光束光點具有為10微米或更小、5微米或更小或2微米或更小之橫向尺寸。在一實施例中,用於非產品目標之光束光點具有小於或等於100平方微米、50平方微米或更小或25平方微米或更小之橫截面積。在一實施例中,非產品目標之單位胞元具有為250,000平方奈米或更小、150,000平方奈米或更小、100,000平方奈米或更小或50,000平方奈米或更小之面積。在一實施例中,非產品目標之單位胞元具有為500奈米或更小、300奈米或更小、200奈米或更小或150奈米或更小之橫向尺寸。在一實施例中,非產品目標之單位胞元之大小比與該非產品目標相關聯的器件圖案之單位胞元之大小小。 在一實施例中,單位胞元包含對應於使用第一圖案化製程而製造之器件之特徵(例如,結構、空隙(例如間隙)等等)的特徵(例如,結構、空隙等等),及對應於使用第二圖案化製程而製造之器件之特徵(例如,結構、空隙等)的特徵(例如,結構、空隙等等)。舉例而言,單位胞元之一結構係藉由產生器件之對應器件特徵之第一圖案化製程而產生,且單位胞元之另一結構係藉由產生該器件之對應器件特徵之第二圖案化製程而產生。在一實施例中,單位胞元中所產生之一或多個特徵共用使單位胞元特徵正用以判定圖案化製程參數之器件中之特徵的關鍵製程步驟。在一實施例中,藉由各別對應圖案化製程而產生之單位胞元之特徵對應於(例如)器件之在基本上平行於該單位胞元之特徵(例如,線)之方向上延伸或伸長的一或多個特徵(例如,諸如線之結構)。因此,舉例而言,包含在Y方向上延伸之結構之單位胞元可用以判定器件中之在Y方向上延伸之對應結構之疊對。 在一實施例中,如在以下所呈現之實例中進一步所描述,單位胞元可使得能夠判定同一圖案化製程參數(例如,疊對)之多個不同類型。舉例而言,單位胞元使得能夠判定疊對之2個或多於2個類型、疊對之3個或多於3個類型等等。舉例而言,除了在不同方向上(例如,在X及Y上)之疊對之類型以外,單位胞元亦可使得能夠判定特徵之不同組合之間的疊對及/或層之不同組合之間的疊對。 在一實施例中,單位胞元具有具與器件之對應特徵可比得上之尺寸(例如,寬度及/或節距)的特徵。可比得上尺寸意謂相同或在器件特徵尺寸的±5%(亦即,器件特徵尺寸的95%至105%)內、在器件特徵尺寸的±10%內、在器件特徵尺寸的±15%內、在器件特徵尺寸的±20%內,或在器件特徵尺寸的±25%內。在一實施例中,一或多個單位胞元特徵之尺寸可經選擇為改良量測信號,且因此不與器件圖案之特徵之對應尺寸匹配。此選擇可(例如)藉由評估輸出之信號對目標特徵之尺寸改變之敏感度,且因此可在特定情況下將尺寸選擇為最大化信號或提供滿足或超越臨限值之信號來完成。 在一實施例中,非產品目標可結合產品上目標而使用。舉例而言,可使用非產品目標來判定疊對,且可使用產品上目標將結果前饋至疊對之判定。 參看圖21,描繪用於使用本文中所描述之量測技術(例如,權重及光瞳分佈)判定圖案化製程參數的非產品目標設計之單位胞元的非限制性實例。在此狀況下,單位胞元係用於判定疊對。在圖21A中,描繪單位胞元2000之實例。單位胞元2000包含在第一圖案化製程中產生之結構2010 (在此狀況下為複數個線2010)及在第二圖案化製程中產生之結構2020 (在此狀況下為第二複數個線2020)。錨點2030被描繪為用以展示單位胞元之對稱性。在此狀況下,單位胞元2000具有在Y方向上之對稱性。圖21A展示呈對稱形式之單位胞元,且將對應於某一標稱疊對值(例如,零疊對)。 在一實施例中,結構2010對應於使用第一圖案化製程而製造之器件之特徵。亦即,結構2010待藉由產生器件之對應器件特徵之第一圖案化製程而產生。舉例而言,結構2010之產生對應於器件中之可比得上結構產生。相似地,在一實施例中,結構2020對應於使用第二圖案化製程而製造之器件之特徵。亦即,結構2020待藉由產生器件之對應器件特徵之第二圖案化製程而產生。舉例而言,結構2020之產生對應於器件中之可比得上結構產生。因此,在一實施例中,結構2010對應於(例如)器件之在基本上平行於結構2010之特徵(例如線)之方向上延伸的一或多個特徵(例如,諸如線之結構)。相似地,結構2020對應於(例如)器件之在基本上平行於結構2020之特徵(例如,線)之方向上延伸的一或多個特徵(例如,諸如線之結構)。在一實施例中,結構2010在與結構2020不同之層中產生。因此,在一實施例中,在Y方向上延伸之結構2010及2020可用以判定器件中之在Y方向上延伸之對應結構之疊對。 如上文所提及,在一實施例中,結構2010及2020具有與器件之特徵可比得上的寬度及/或節距。舉例而言,結構2010具有與在第一圖案化製程中所產生之對應器件結構之特徵可比得上的寬度及/或節距。相似地,舉例而言,結構2020具有與在第二圖案化製程中所產生之對應器件結構之特徵可比得上的寬度及/或節距。 在單位胞元2000中,將針對單位胞元2000中之結構之不同實體組態造成對稱性破壞之特徵為結構2010與結構2020之間的實體差。在一實施例中,該差為結構2010與2020在X方向上之寬度之差,如圖21A中示意性地所描繪。在一實施例中,該差為結構2010與2020之材料成份之差,例如,結構2010係由與結構2020不同之材料製成。在一實施例中,可存在實體差(例如,寬度及實體成份之差)之組合。 在單位胞元2000之狀況下之實體差之結果為:結構2010與2020之間的在X-Y平面中在X方向上之相對移位2040造成單位胞元2000中之不對稱性。此情形在圖21B中被描繪。在圖21B中,結構2010當其在第二圖案化製程中被產生時自圖21A中所展示的其結構2010之標稱(例如,設計)位置移位。結果為自錨點2030之位移2050。因此,在假定單位胞元2000對應於無疊對情形的情況下,位移2050對應於理想地藉由處理由包含如以上所描述的單位胞元2000之目標重新導向之輻射(例如,權重及光瞳分佈)而判定之疊對。 由於單位胞元2000展示相對於Y軸之不對稱性,故結合該不對稱性之在X方向上之平移致使特徵(此處為結構2010與2020之間的實體差)得到可供判定X疊對值之輻射分佈。在一實施例中,彼X疊對值將對應於使用各別圖案化製程而製造的器件之特徵之X疊對。現在,當然,單位胞元2000可有效地圍繞錨點2030旋轉90度以得到用於結構2010與2020之間在Y方向上之相對移位之Y疊對值。在一實施例中,彼Y疊對值將對應於使用各別圖案化製程而製造的器件之特徵之Y疊對。在一實施例中,在彼狀況下,對應於結構2010及2020之器件特徵將在X方向上延伸。 因此,在一實施例中,單位胞元之結構2010及2020對應於器件之在同一方向上延伸之各別特徵。結果,單位胞元2000之結構可得到在正交於器件之特徵之延伸/伸長方向的方向上之疊對值。因此,藉由識別在同一方向上延伸的在正交方向上之疊對受關注之器件特徵,單位胞元2000可經設計為藉由適當選擇結構2010及2020且致使其隨著器件特徵產生而產生來模仿該疊對。 在圖21中,單位胞元2000經設計為主要判定結構(例如,線)自身之形成之間的疊對。在一些圖案化製程中,將特定圖案轉印至基板,該基板具有一結構使得當相對於彼圖案執行蝕刻時,該結構之一部分被移除。此製程及其結果將在本文中被稱作切口。舉例而言,可將器件結構(例如,線)切割成複數個片件及/或可切斷器件結構之末端部分。如應瞭解,可需要知曉是否已準確地製造切口。因此,可需要知曉切口之間的疊對及/或切口與結構之間的疊對。 此外,圖21之單位胞元使得能夠判定在正交於器件之特徵之延伸/伸長方向的方向上之疊對值。但,可需要判定在平行於器件之特徵之延伸/伸長方向的方向上之疊對。 現在參看圖22,描繪用於使用本文中所描述之量測技術(例如,權重及光瞳分佈)判定圖案化製程參數的非產品目標設計之單位胞元的非限制性實例。在此狀況下,單位胞元係用於判定疊對。在圖22A中,描繪單位胞元2100之實例。單位胞元2100包含結構2110 (在此狀況下為複數個線2110)及結構2120 (在此狀況下為第二複數個線2120)。如下文將更詳細地描述,在此實施例中,可自此非產品目標設計判定在X及Y上之疊對。 在此處之實施例中,單位胞元2100具有圖21之單位胞元2000之特徵,且因此,可使得能夠在第一圖案化製程中產生結構2110且在第二圖案化製程中產生結構2120且在結構2110與2120之間存在實體差的情況下判定X方向疊對,如以上所描述。然而,若(例如)並不需要X方向疊對,則可在同一圖案化製程中產生結構2110及2120及/或結構2110及2120可具有相同實體特性,亦即,不具有實體差。但即使不需要X方向疊對,結構2110及2120亦可具有不同實體特性以提供較佳量測信號。 因此,在使得能夠判定在X上及在Y上之疊對之此實施例中,單位胞元2100包含第一圖案化製程中產生之結構2110及在第二圖案化製程中產生之結構2120。錨點2130被描繪為用以展示單位胞元之對稱性。在此狀況下,單位胞元2100具有在Y方向上之對稱性及在X方向上之對稱性。圖22A展示呈對稱形式之單位胞元,且將對應於某一標稱疊對值(例如,零疊對)。 在一實施例中,結構2110對應於使用如以上所描述之第一圖案化製程而製造之器件之特徵,且結構2120對應於使用第二圖案化製程而製造之器件之特徵。且在單位胞元2100中,將針對單位胞元2100中之結構之不同實體組態造成對稱性破壞的特徵為結構2110與結構2120之間的實體差。在一實施例中,該差為結構2110與2120在X方向上之寬度之差,如圖22A中示意性地所描繪。在一實施例中,該差為結構2110與2120之材料成份之差,例如,結構2110係由與結構2120不同之材料製成。 如上文所論述,在單位胞元2100之狀況下之實體差之結果為:結構2110與2120之間的在X-Y平面中在X方向上之相對移位2180造成單位胞元2100中之不對稱性。此情形在圖22C中被描繪。在圖22C中,結構2110當其在第二圖案化製程中被產生時自圖22A中所展示的其結構2110之標稱(例如,設計)位置移位。結果為自錨點2130之位移2190。因此,在假定單位胞元2100對應於無疊對情形的情況下,位移2190對應於理想地藉由處理由包含如以上所描述的單位胞元2100之目標重新導向之輻射(例如,權重及光瞳分佈)而判定之疊對。 由於單位胞元2100展示相對於Y軸之不對稱性,故結合該不對稱性之在X方向上之平移致使特徵(此處為結構2110與2120之間的實體差)得到可供判定X疊對值之輻射分佈。在一實施例中,彼X疊對值將對應於使用各別圖案化製程而製造的器件之特徵之X疊對。現在,當然,單位胞元2100可有效地圍繞錨點2130旋轉90度以得到用於結構2110與2120之間在Y方向上之相對移位之Y疊對值。在一實施例中,彼Y疊對值將對應於使用各別圖案化製程而製造的器件之特徵之Y疊對。在一實施例中,在彼狀況下,對應於結構2110及2120之器件特徵將在X方向上延伸。 現在,單位胞元2100進一步使得能夠判定在Y方向上之疊對。與非產品目標之單位胞元中之結構可如何對應於器件中之特徵相似地,非產品目標設計中之切口可對應於器件中之特徵(例如,切口)。 參看圖22A,單位胞元2100包含在第一圖案化製程中產生之切口2150及在第二圖案化製程中產生之切口2140。該等切口2150及2140經配置為用以維持呈標稱實體組態的單位胞元中之對稱性。 在一實施例中,切口2150對應於使用第一圖案化製程而製造之器件之特徵。亦即,切口2150待藉由產生器件之對應器件特徵之第一圖案化製程而產生。舉例而言,切口2150之產生對應於器件中之可比得上切口產生。相似地,在一實施例中,切口2140對應於使用第二圖案化製程而製造之器件之特徵。亦即,切口2140待藉由產生器件之對應器件特徵之第二圖案化製程而產生。舉例而言,切口2140之產生對應於器件中之可比得上切口產生。因此,在一實施例中,切口2150對應於(例如)器件之在基本上平行於該切口2150之方向上延伸的一或多個特徵(例如,一或多個切口)。相似地,切口2140對應於(例如)器件之在基本上平行於該切口2140之方向上延伸的一或多個特徵(例如,一或多個切口)。在一實施例中,切口2150在與切口2140不同之層中產生。因此,在一實施例中,切口2150及2140可用以判定器件中之對應切口在Y方向上之疊對。 在一實施例中,切口2150及2140具有與器件之特徵可比得上的寬度及/或節距。舉例而言,切口2150具有與在第一圖案化製程中所產生之對應器件結構之特徵(例如,一或多個切口)可比得上的寬度及/或節距。相似地,舉例而言,切口2140具有與在第二圖案化製程中所產生之對應器件結構之特徵(例如,一或多個切口)可比得上的寬度及/或節距。 在單位胞元2100中,將針對單位胞元2100中之結構之不同實體組態造成對稱性破壞的特徵為切口2150及2140之配置,其將在切口2150與2140之間的相對移位之後即產生不對稱性。在一實施例中,在每一結構2120中製造切口2140,而並不在每一結構2110中製造切口2150。如應瞭解,可在每一結構2110中製造切口2150,而並不在每一結構2120中製造切口2140。如應瞭解,依據包括切口之不同部位及/或切口之不同大小的切口,許多不同變化係可能的。 切口2150及2140之配置之結果為:切口2150與2140之間的在X-Y平面中在Y方向上之相對移位2160造成單位胞元2100中之不對稱性。此情形在圖22B中被描繪。在圖22B中,切口2150當其在第一圖案化製程中被產生時自圖22A中所展示的其標稱(例如,設計)位置移位。結果為自錨點2130之位移2170。因此,在假定單位胞元2100對應於無疊對情形的情況下,位移2170對應於理想地藉由處理由包含如以上所描述的單位胞元2100之目標重新導向之輻射(例如,權重及光瞳分佈)而判定之疊對。 由於單位胞元2100展示相對於X軸之不對稱性,故結合該不對稱性之在Y方向上之平移致使特徵(此處為切口2140及2150之配置)得到可供判定Y疊對值之輻射分佈。在一實施例中,彼Y疊對值將對應於使用各別圖案化製程而製造的器件之特徵之Y疊對。現在,當然,單位胞元2100可有效地圍繞錨點2130旋轉90度以得到用於切口2140與2150之間在X方向上之相對移位之X疊對值。在一實施例中,X疊對值將對應於使用各別圖案化製程而製造的器件之特徵(例如,切口)之X疊對。在一實施例中,在彼狀況下,對應於切口2140及2150之器件特徵(例如,切口)將在X方向上延伸。 因此,在一實施例中,單位胞元之切口2140及2150對應於器件之在同一方向上延伸之各別特徵。結果,單位胞元2100之結構可得到在平行於器件之特徵之延伸/伸長方向的方向上之疊對值。因此,藉由識別在同一方向上延伸的在平行方向上之疊對受關注之器件特徵,單位胞元2100可經設計為藉由適當選擇切口2140及2150且致使其隨著器件特徵產生而產生來模仿該疊對。 如上文所提及,在一實施例中,可在結構2110及2120中以與在器件特徵中製造切口相似之方式來產生切口2140及2150。因此,切口2140及2150可給出在產生器件結構時所製造的切口之疊對之良好量度。但在一實施例中,切口2140及2150可代替地為當產生結構2110及2120時所產生之空隙,且可作為用以產生器件之結構之對應圖案化製程之部分而產生。因此,在此狀況下之空隙2140及2150可給出在產生器件時所製造的結構之疊對之良好量度。 且雖然圖22展示促進判定疊對之切口/空隙,但結構2110及2120可具有一或多個突起部或變形部分,例如,所描繪切口之部位處之突起部。因此,此等突起部或變形部分之間的相對位移可造成單位胞元中之不對稱性(其更類似於切口2140及2150)。可在產生結構2110及2120時產生突起部或變形部分或藉由切割製程產生該等突起部或變形部分。因此,突起部或變形部分可用以促進(例如)器件結構之間(例如,針對當產生結構2110及2120時所產生的突起部或變形部分)或器件切口之間(例如,針對藉由切割結構2110及2120而產生的突起部或變形部分)的疊對之判定。 圖22D示意性地描繪包含單位胞元之複數個例項之非產品目標。在此非限制性實例中,圖22D包含單位胞元之至少4個例項。圖22D展示呈對稱形式之單位胞元之例項,且將對應於某一標稱疊對值(例如,零疊對)。在一實施例中,結構2110之節距2192可比得上結構2120之節距2194。 在圖22D中,若(例如)第二圖案化製程在X方向上並未良好對準從而引起結構2110與2120之間的相對移位,則Y對稱性被破壞,且因此光瞳中之Y對稱性被破壞。因此,在彼條件下之目標之量測可轉譯成X疊對判定。有效地,結構2110及2120用以判定X疊對。相似地,若(例如)第二圖案化製程在Y方向上並未良好對準從而引起切口2140與2150之間的相對移位,則X對稱性被破壞,且因此光瞳中之X對稱性被破壞。因此,在彼條件下之目標之量測可轉譯成Y疊對判定。有效地,切口2140及2150用以判定Y疊對。另外,如圖22D中所看到,在Y方向上之切口之移位並不改變相對於Y軸之對稱性,且在X方向上之結構之移位並不改變相對於X軸之對稱性。因此,使X方向疊對及Y方向疊對解耦。因此,雖然在X及Y方向上之經不良對準圖案化製程之組合引起破壞之X及Y對稱性,但可使不同疊對與信號分離。 在一實施例中,結構之數目及其大小、節距等等可經組態為可緊密比得上器件圖案之圖案化製程。相似地,切口(或突起部/變形部分)之數目及其大小、節距等等可經組態為可緊密比得上器件圖案之圖案化製程。舉例而言,切口將可比得上在可能時如用於器件中之CD及節距。但在一實施例中,切口之部位及/或數目適應於製造對稱單位胞元。另外,可藉由調適結構及切口(或突起部/變形部分)之節距而修整非產品目標疊對敏感度。 參看圖23,描繪用於使用本文中所描述之量測技術(例如,權重及光瞳分佈)判定圖案化製程參數的非產品目標設計之單位胞元的非限制性實例。在此狀況下,單位胞元係用於判定疊對。在圖23A中,描繪單位胞元2300之實例。單位胞元2300包含結構2310 (在此狀況下為複數個線2310)及結構2320 (在此狀況下為第二複數個線2320)。不同於圖21及圖22,結構2310在實質上垂直於結構2320之方向上延伸。錨點2340被描繪為用以展示單位胞元之對稱性。在此狀況下,單位胞元2300具有在Y方向上之對稱性。圖23A展示呈對稱形式之單位胞元,且將對應於某一標稱疊對值(例如,零疊對)。 因此,在單位胞元2300之此實施例中,可自此單位胞元重新導向之輻射判定在第一方向上延伸之結構與在基本上正交於第一方向之第二方向上延伸之切口或結構之間的在X方向上之疊對。 詳言之,相似於上文所描述之原理,單位胞元2300包含在第一圖案化製程中所產生之結構2310,且包含在第二圖案化製程中所產生之切口2330及/或結構2320。在(例如)需要結構2310與切口2330之間的疊對的情況下,則在第一圖案化製程中產生結構2310且在第二圖案化製程中製造切口2330 (視情況,亦在第二圖案化製程中產生結構2320)。在(例如)需要結構2310與2320之間的疊對的情況下,則在第一圖案化製程中產生結構2310且在第二圖案化製程中產生結構2320,其中空隙可比得上(例如)切口2330。結構2310及2320以及切口2330經配置為用以維持呈標稱實體組態的單位胞元中之對稱性。 相似於上文所描述之實施例,結構2310對應於使用第一圖案化製程而製造之器件之特徵。亦即,結構2310待藉由產生器件之對應器件特徵之第一圖案化製程而產生。舉例而言,結構2310之產生對應於器件中之可比得上結構產生。相似地,在一實施例中,結構2320及/或切口2330對應於使用第二圖案化製程而製造之器件之特徵。亦即,結構2320及/或切口2330待藉由產生器件之對應器件特徵之第二圖案化製程而產生。舉例而言,切口2330之產生對應於器件中之可比得上切口產生。因此,在一實施例中,結構2310對應於(例如)器件之在基本上平行於該結構2310之第一方向上延伸的一或多個特徵(例如一或多個結構)。相似地,結構2320及/或切口2330對應於(例如)器件之在基本上垂直於第一方向之第二方向上延伸的一或多個特徵。在一實施例中,結構2320及/或切口2330在與結構2310不同的層中產生。因此,在一實施例中,切口2330 (或可比得上結構2320之切口之空隙)可用以判定器件中之對應特徵在X方向上之疊對。 在一實施例中,結構2310及結構2320及/或切口2330具有與器件之特徵可比得上的寬度及/或節距。舉例而言,結構2310具有與在第一圖案化製程中所產生之對應器件結構之特徵(例如,一或多個結構)可比得上的寬度及/或節距。相似地,舉例而言,結構2320及/或切口2330具有與在第二圖案化製程中所產生之對應器件結構之特徵可比得上的寬度及/或節距。 在單位胞元2300中,將針對單位胞元2300中之結構之不同實體組態造成對稱性破壞的特徵為切口2330 (或結構2320中之可比得上空隙)之配置,其將在結構2310與切口2330之間(或結構2310與2320之間)的相對移位之後即產生不對稱性。如應瞭解,依據包括切口/空隙之不同部位及/或切口/空隙之不同大小的切口/空隙,許多不同變化係可能的。 結合基本上垂直結構2310與2320之切口2330 (或空隙2330)之配置的結果為:結構2310與切口2330之間(或結構2310與2320之間(在存在空隙的情況下))的在X-Y平面中在X方向上之相對移位2350造成單位胞元2300中之不對稱性。此情形在圖23B中被描繪。在圖23B中,切口2330當其在第二圖案化製程中被產生時自圖23A中所展示的其標稱(例如,設計)位置移位。結果為自錨點2340之位移2360。因此,在假定單位胞元2300對應於無疊對情形的情況下,位移2360對應於理想地藉由處理由包含如以上所描述的單位胞元2300之目標重新導向之輻射(例如,權重及光瞳分佈)而判定之疊對。 由於單位胞元2300展示相對於Y軸之不對稱性,故結合該不對稱性之在X方向上之平移致使特徵(此處為結合基本上垂直結構2310與2320之切口2330(或空隙2330)之配置)得到可供判定X疊對值之輻射分佈。在一實施例中,彼X疊對值將對應於使用各別圖案化製程而製造的器件之特徵之X疊對。 因此,在一實施例中,單位胞元之結構2310及結構2320及/或切口2330對應於器件之在同一方向上延伸之各別特徵。結果,單位胞元2300之結構可得到用於在垂直方向上延伸或伸長之特徵之疊對值。因此,藉由識別在正交方向上延伸的在某一方向上之疊對受關注之器件特徵,單位胞元2300可經設計為藉由適當選擇相對於正交結構2310及2320之切口2330 (或空隙2330)且致使其隨著器件特徵產生而產生來模仿該疊對。 且雖然圖23展示促進疊對之判定之切口/空隙,但結構2310及2320可具有一或多個突起部或變形部分,例如,所描繪切口之部位處之突起部。因此,此等突起部或變形部分之間的相對位移可造成單位胞元中之不對稱性(其更類似於切口2330)。可在產生結構2310及2320時產生突起部或變形部分或藉由切割製程產生該等突起部或變形部分。因此,突起部或變形部分可用以促進(例如)器件結構之間(例如,針對當產生結構2310及2320時所產生的突起部或變形部分)或切口與結構之間的疊對之判定。 現在,當然,單位胞元2300可有效地圍繞錨點2340旋轉90度以得到用於結構2310與結構2320及/或切口2330之間在Y方向上之相對移位之Y疊對值。在一實施例中,彼Y疊對值將對應於使用各別圖案化製程而製造的器件之特徵之Y疊對。 參看圖24,描繪用於使用本文中所描述之量測技術(例如,權重及光瞳分佈)判定圖案化製程參數的非產品目標設計之單位胞元的非限制性實例。在此狀況下,單位胞元係用於判定疊對。在圖24A中,描繪單位胞元2400之實例。單位胞元2400包含結構2410 (在此狀況下為複數個線2410)及結構2420 (在此狀況下為第二複數個線2420)。結構2410在實質上垂直於結構2420之方向上延伸。錨點2440被描繪為用以展示單位胞元之對稱性。在此狀況下,單位胞元2400具有在X方向上之對稱性。圖24A展示呈對稱形式之單位胞元,且將對應於某一標稱疊對值(例如,零疊對)。 因此,在單位胞元2400之此實施例中,可自此單位胞元重新導向之輻射判定在第一方向上延伸之結構與在基本上正交於第一方向之第二方向上延伸之切口或結構之間的在Y方向上之疊對。 圖24實際上為圖23之反轉配置。雖然圖23經設計為用於判定X方向疊對,圖24經設計為用以判定Y方向疊對;然而,類似於圖23,可使圖24單位胞元旋轉90度以判定X方向疊對。但不同於圖23,在圖24之實施例中在第一圖案化製程(與第二圖案化製程形成對比)中產生切口2430 (或所產生結構2410之空隙2430)。 因此,在單位胞元2400中,將針對單位胞元2400中之結構之不同實體組態造成對稱性破壞的特徵為切口2430 (或結構2410中之可比得上空隙)之配置,其將在切口2430與結構2420之間(或結構2410與2420之間)的相對移位之後即產生不對稱性。如應瞭解,依據包括切口/空隙之不同部位及/或切口/空隙之不同大小的切口/空隙,許多不同變化係可能的。 結合基本上垂直結構2410與2420之切口2430 (或空隙2430)之配置的結果為:切口2430與結構2420之間(或結構2410與2420之間(在存在空隙的情況下))的在X-Y平面中在Y方向上之相對移位2450造成單位胞元2400中之不對稱性。此情形在圖24B中被描繪。在圖24B中,切口2430當其在第一圖案化製程中被產生時自圖24A中所展示的其標稱(例如,設計)位置移位。結果為自錨點2440之位移2460。因此,在假定單位胞元2300對應於無疊對情形的情況下,位移2460對應於理想地藉由處理由包含如以上所描述的單位胞元2400之目標重新導向之輻射(例如,權重及光瞳分佈)而判定之疊對。 由於單位胞元2400展示相對於X軸之不對稱性,故結合該不對稱性之在Y方向上之平移致使特徵(此處為結合基本上垂直結構2410與2420之切口2430(或空隙2430)之配置)得到可供判定Y疊對值之輻射分佈。在一實施例中,彼Y疊對值將對應於使用各別圖案化製程而製造的器件之特徵之Y疊對。 且雖然圖24展示促進疊對之判定之切口/空隙,但結構2410及2420可具有一或多個突起部或變形部分,例如,所描繪切口之部位處之突起部。因此,此等突起部或變形部分之間的相對位移可造成單位胞元中之不對稱性(其更類似於切口2430)。可在產生結構2410及2420時產生突起部或變形部分或藉由切割製程產生該等突起部或變形部分。因此,突起部或變形部分可用以促進(例如)器件結構之間(例如,針對當產生結構2410及2420時所產生的突起部或變形部分)或切口與結構之間的疊對之判定。 現在,當然,單位胞元2400可有效地圍繞錨點2440旋轉90度以得到用於結構2410及/或切口2430與結構2420之間在X方向上之相對移位之X疊對值。在一實施例中,彼X疊對值將對應於使用各別圖案化製程而製造的器件之特徵之X疊對。 參看圖25,描繪用於使用本文中所描述之量測技術(例如,權重及光瞳分佈)判定圖案化製程參數的非產品目標設計之單位胞元的非限制性實例。在此狀況下,單位胞元係用於判定疊對。在圖25A中,描繪單位胞元2500之實例。單位胞元2500包含在第一圖案化製程中產生之結構2510 (在此狀況下為複數個線2510)及在第二圖案化製程中產生之結構2520(在此狀況下為第二複數個線2520)。結構2510在實質上平行於結構2520之方向上延伸。錨點2530被描繪為用以展示單位胞元之對稱性。在此狀況下,單位胞元2500具有在Y方向上之對稱性。圖25A展示呈對稱形式之單位胞元,且將對應於某一標稱疊對值(例如,零疊對)。 圖25之非產品目標設計可比得上圖21之非產品目標設計。差異在於:與提供於圖20中之中心線2010形成對比,並未提供中心線2510。此意謂單位胞元2500及非產品目標涉及比圖20更少之結構,此可(例如)改良相關模型化。然而,此可涉及線中之與器件中之對應特徵不同的節距,例如,用於結構2520之線之節距可需要不同於器件中之可比得上線之節距。 在一實施例中,結構2510包含至少兩個子結構(例如,類線結構)。替代地或另外,結構2520包含至少兩個子結構(例如,類線結構)。此用以實現足夠信號。此原理可應用於本文中所描述之其他實施例。 類似於圖21,造成對稱性破壞之特徵為結構2510與2520之間的實體差,該實體差在所描繪實施例中為結構2510與2520之寬度差。且因此,類似於圖21且如圖25B中所展示,結構2510與2520之間的相對移位2540引起在Y方向上之對稱性破壞。對稱性之破壞引起使得能夠判定相對位移2550之特定輻射分佈。相對位移2550可對應於對應器件特徵之X方向疊對。 參看圖26,描繪用於使用本文中所描述之量測技術(例如,權重及光瞳分佈)判定圖案化製程參數的非產品目標設計之單位胞元的非限制性實例。在此狀況下,單位胞元係用於判定疊對。在圖26A中,描繪單位胞元2600之實例。單位胞元2600包含在第一圖案化製程中產生之結構2610 (在此狀況下為複數個線2610)及在第二圖案化製程中產生之結構2620(在此狀況下為第二複數個線2620)。結構2610在實質上平行於結構2620之方向上延伸。另外,結構2610包含藉由圖案化製程而產生之切口2630,且結構2620包含藉由圖案化製程而產生之切口2640。錨點2650被描繪為用以展示單位胞元之對稱性。在此狀況下,單位胞元2600具有在Y方向上之對稱性及在X方向上之對稱性。圖26A展示呈對稱形式之單位胞元,且將對應於某一標稱疊對值(例如,零疊對)。 圖26之非產品目標設計在佈局上及依據能夠用以判定在X方向及Y方向上之疊對而可比得上圖22之非產品目標設計。差異在於:與提供於圖20中之中心線2010形成對比,並未提供中心線2610。此意謂單位胞元2600及非產品目標涉及比圖20更少之結構,此可(例如)改良相關模型化。另外,切口2630及2640具有與在圖22中不同之配置。切口之配置應提供不對稱性,但接著亦使得能夠在存在涉及該等切口之相對移位時破壞對稱性。 由於圖26之此不同配置,設計可涉及線中之與器件中之對應特徵不同的節距,例如,用於結構2620之線之節距可需要不同於器件中之可比得上線之節距。 類似於圖22,造成對稱性破壞之特徵為結構2610與2620之間的實體差,該實體差在所描繪實施例中為結構2610與2620之寬度差。且因此,類似於圖22且如圖26C中所展示,結構2610與2620之間的相對移位2670引起在Y方向上之對稱性破壞。對稱性之破壞引起使得能夠判定相對位移2680之特定輻射分佈。相對位移2680可對應於對應器件特徵之X方向疊對。 另外,類似於圖22,造成對稱性破壞之特徵為切口2630及2640之配置。且因此,類似於圖22且如圖26B中所展示,切口2630與2640之間的相對移位2650引起在X方向上之對稱性破壞。對稱性之破壞引起使得能夠判定相對位移2660之特定輻射分佈。相對位移2660可對應於對應器件特徵之Y方向疊對。 參看圖27,描繪用於使用本文中所描述之量測技術(例如,權重及光瞳分佈)判定圖案化製程參數的非產品目標設計之單位胞元的非限制性實例。在此狀況下,單位胞元係用於判定疊對。在圖27A中,描繪單位胞元2700之實例。單位胞元2700包含在第一圖案化製程中產生之結構2710 (在此狀況下為複數個線2710)、在第二圖案化製程中產生之結構2720 (在此狀況下為第二複數個線2720),及在第三圖案化製程中產生之結構2730 (在此狀況下為第三複數個線2730)。結構2710在實質上平行於結構2720之方向上延伸。另外,結構2730在實質上垂直於結構2710及2720之方向上延伸。另外,結構2710包含藉由圖案化製程產生之切口2740及藉由圖案化製程產生之切口2750。錨點2750被描繪為用以展示單位胞元之對稱性。在此狀況下,單位胞元2700具有在Y方向上之對稱性及在X方向上之對稱性。圖27A展示呈對稱形式之單位胞元,且將對應於某一標稱疊對值(例如,零疊對)。 圖27之非產品目標設計在佈局上及依據能夠用以判定在X方向及Y方向上之疊對而可比得上圖22之非產品目標設計。差異在於:在第三圖案化製程中提供另外結構2730。 歸因於此配置,此非產品目標可使得能夠(例如)判定橫越器件之多於2個層之特徵之間的疊對;舉例而言,此非產品目標可使得能夠判定器件之第一層中之特徵與器件之第二層中之特徵之間的疊對及器件之第一層中之特徵與器件之第三層中之特徵之間的疊對。 舉例而言,如關於圖22所描述,在X方向上在結構2710與2720之間的移位可使得能夠判定對應於結構2710與2720之器件特徵之間的X方向疊對。 但除了圖22之配置以外,在Y方向上在切口2750與結構2730之間的移位亦可使得能夠判定對應於切口2750與結構2730之器件特徵之間的Y方向疊對。且在此實施例中,結構2730可處於與結構2710及2720不同之層中。 造成關於結構2730之對稱性破壞的特徵為切口2750相對於結構2730之配置。且因此,如圖27B中所展示,結構2730與切口2750之間的相對移位2760引起在X方向上之對稱性破壞。對稱性之破壞引起使得能夠判定相對位移2770之特定輻射分佈。相對位移2670可對應於對應器件特徵之Y方向疊對。 因此,圖27表示使得能夠量測3個不同製程步驟之間的疊對之經組合目標。該目標實現(例如)第1層特徵至第2層特徵疊對量測(在X方向上)及第1層特徵至第3層特徵疊對量測(在Y方向上)。當然,在一實施例中,可將圖27之目標分離成離散目標(例如,具有結構2710及2720以及切口2740以用於第1層特徵至第2層特徵疊對量測之一目標,及具有結構2710及2730以及切口2740以用於第1層特徵至第3層特徵疊對量測之另一目標)以提供每層對一個目標,而非如圖27中所展示之經組合目標。 參看圖28,描繪用於使用本文中所描述之量測技術(例如,權重及光瞳分佈)判定圖案化製程參數的非產品目標設計之單位胞元的非限制性實例。在此狀況下,單位胞元係用於判定疊對。在圖28A中,描繪單位胞元2800之實例。單位胞元2800包含在第一圖案化製程中產生之結構2810 (在此狀況下為複數個封閉曲線2810,例如基本上圓形或卵形),及在第二圖案化製程中產生之結構2820 (在此狀況下為第二複數個封閉曲線2820,例如基本上圓形或卵形)。結構2810在實質上平行於結構2820之方向上延伸。在此狀況下,單位胞元2800具有在Y方向上之對稱性及在X方向上之對稱性。圖28A展示呈對稱形式之單位胞元,且將對應於某一標稱疊對值(例如,零疊對)。 在此配置中,如圖28B中所展示,結構2810與2820之間的相對移位2830引起在Y方向上之對稱性破壞。對稱性之破壞引起使得能夠判定相對位移2840之特定輻射分佈。相對位移2840可對應於對應器件特徵之X方向疊對。 另外,在此配置中,如圖28C中所展示,結構2810與2820之間的相對移位2850引起在X方向上之對稱性破壞。對稱性之破壞引起使得能夠判定相對位移2860之特定輻射分佈。相對位移2860可對應於對應器件特徵之Y方向疊對。 造成對稱性破壞之特徵為結構2810相對於結構2820之交錯配置。雖然在此實施例中,結構2810被描繪為具有與結構2820不同之寬度,但在結構2810與2820呈如所展示之交錯配置的情況下無需具有此差。另外,若結構2810與2820不呈交錯配置,則實體差(例如,不同寬度、不同材料等等)可用以破壞對稱性。 來自圖21至圖28之特徵之不同組合可組合為一單位胞元以使得能夠判定一參數之多個類型(例如,X方向疊對及Y方向疊對、器件之特徵之不同組合之間的疊對等等)。在一實施例中,可針對參數之單一類型而產生分離的目標(例如,用於X方向疊對之目標及用於Y方向疊對之分離目標、用於特徵之第一組合之間的疊對之目標及用於特徵之第二組合之間的疊對之分離目標等等),或可產生多個目標以判定參數類型之組合。 現在參看圖29,圖29A示意性地描繪器件圖案特徵之實例。舉例而言,器件圖案特徵可用於記憶體器件(例如,SRAM)。如應瞭解,完整記憶體器件將在所描繪區域中很可能具有更多特徵。然而,可需要判定圖29A中所描繪之器件圖案特徵之某一組合之疊對。此疊對可用於圖案化製程控制、圖案化製程中之缺陷預測等等,如本文中更詳細地所論述。 在圖29A中,器件圖案包含實質上彼此平行而延伸之複數個線特徵2900。另外,器件圖案包含實質上彼此平行而延伸且與線特徵2900交錯之複數個線特徵2910。在如下文進一步所描述之多圖案化製程之實例實施例中,首先產生特徵2900且接著此後由於(例如)解析度限度而產生特徵2910。 另外,需要具有(例如)沿著線特徵2900之多個片段。因此,在多圖案化製程中,此等片段可由如以上所描述之切口產生。因此,器件圖案包含關於線特徵2900之複數個切口特徵2920。另外,器件圖案包含關於線特徵2910之複數個切口特徵2930。 可接著藉由複數個微影-蝕刻(LE)製程產生器件圖案特徵。圖29B、圖29C、圖29D及圖29E示意性地描繪器件多圖案化方法之步驟的實例。在圖29B中,產生複數個線特徵2900。接著,在圖29C中,將切口2920施加至特徵2900,以得到如圖29A中所展示之經分段線特徵2900。 在圖29D中,產生複數個線特徵2910,其中在線特徵2900之間以交錯方式產生該複數個線特徵2910。接著在圖29E中,將切口2930施加至特徵2910,以得到如圖29A中所展示之經分段線特徵2910。 因此,可需要判定所產生之切口2920與切口2930之間的疊對。或可需要判定結構2900與2910之間的疊對。因此,如應瞭解,可存在可理想地經判定且接著經監視、經控制等等之多種不同疊對。 因此,識別所關注層且識別應經判定之疊對(例如,在X方向上之疊對、在Y方向上之疊對,或在X方向及Y方向上之疊對兩者)。在此實例中,可需要判定結構2900與2910之間的X方向疊對且判定切口2920與2930之間的Y方向疊對。 因此,在器件內具有一或多個特定所關注疊對的情況下,非產品目標可經設計為幫助判定彼疊對。在圖29A之器件特徵之狀況下,可以與所關注層可比得上的節距及CD產生結構之線空間圖案。圖29F中示意性地描繪非產品目標設計之此等結構之實例。在此狀況下,舉例而言,將在與產生結構2900同一個圖案化製程中產生結構2940,且將在與結構2910同一個圖案化製程中產生結構2950。如上文關於圖21至圖28所論述,可在產生結構2940及2950時將實體差提供於結構2940與2950之間,以造成對稱性破壞以實現X方向疊對判定。由於結構2940及2950有效地用作結構2900及2910之代理,故在彼條件下自由非產品目標重新導向之輻射進行的在X方向上在結構2940與2950之間的相對位移之判定可對應於用於結構2900及2910之X方向疊對。 另外,參看圖29G,將一或多個切口引入至非產品目標設計中之圖29F之結構,以使得能夠判定Y方向疊對。為了實現此判定,界定單位胞元2960。如所看到,該單位胞元具有結構2940及2950且具有由結構2940與2950在X方向上之相對位移破壞的Y對稱性。因此,為了實現Y方向疊對判定,當在Y方向上存在相對位移時,引入特徵以產生在X方向上之不對稱性。如上文所提及,需要判定在切口2920與2930之間在Y方向上之疊對。因此,分別將可比得上切口引入至結構2940及2950,此係由於切口2920及2930分別移除結構2900及2910之部分。在此實施例中,彼等切口為切口2970及2980。切口2970及2980產生為判定歸因於在其產生期間在切口2970與2980之間的相對移位之Y方向疊對之參考。切口2970及2980有效地用作切口2920及2930之代理,且因此,在彼條件下自由非產品目標重新導向之輻射進行的在Y方向上在切口2970與2980之間的相對位移之判定可對應於用於切口2920及2930之Y方向疊對。 在一實施例中,切口2970及2980係使得單位胞元在標稱組態下在X方向上對稱。另外,在一實施例中,該等切口係使得其並不影響單位胞元關於如以上所描述之X疊對判定之對稱性。在一實施例中,切口2970及2980具有與在可能時之器件圖案化製程中之切口可比得上的CD及節距。然而,切口之大小、數目及部位可經調適以製造對稱單位胞元。在一實施例中,如圖29G中所展示,將單位胞元作為複數個例項而重複以形成供產生於基板上之非產品目標。 因此,在此實施例中,在標稱組態下,單位胞元2960具有X對稱性及Y對稱性兩者。另外,特徵之間的在Y方向上之相對移位引起單位胞元中之X對稱性破壞(而保留Y對稱性)使得可判定Y方向疊對。又,特徵之間的在X方向上之相對移位引起單位胞元中之Y對稱性破壞(而保留X對稱性)使得可判定X方向疊對。 現在參看圖30,圖30A示意性地描繪器件圖案特徵之一另外實例。舉例而言,器件圖案特徵可用於記憶體器件(例如,SRAM)。如應瞭解,完整記憶體器件將在所描繪區域中很可能具有更多特徵。然而,可需要判定圖30A中所描繪之器件圖案特徵之某一組合之疊對。此疊對可用於圖案化製程控制、圖案化製程中之缺陷預測等等,如本文中更詳細地所論述。 在圖30A中,器件圖案包含實質上彼此平行而延伸之複數個線特徵3000。另外,器件圖案包含實質上彼此平行而延伸且基本上垂直於線特徵3000之複數個線特徵3010。在如下文進一步所描述之多圖案化製程之實例實施例中,首先產生特徵3010且接著此後產生特徵3000。 另外,需要具有(例如)沿著線特徵3000之多個片段。因此,在多圖案化製程中,此等片段可由如以上所描述之切口產生。因此,器件圖案包含關於線特徵3000之複數個切口特徵3020。可接著藉由不同於但相似於關於圖29B至圖29E所描述之微影-蝕刻(LE)製程的複數個微影-蝕刻(LE)製程來產生器件圖案特徵。 因此,可需要判定結構3000與3010之間的疊對。或可需要判定所產生之切口3020與結構3010之間的疊對。因此,如應瞭解,可存在可理想地經判定且接著經監視、經控制等等之多種不同疊對。 因此,識別所關注層且識別應經判定之疊對(例如,在X方向上之疊對、在Y方向上之疊對,或在X方向及Y方向上之疊對兩者)。在此實例中,可需要判定結構3010與切口3020之間的Y方向疊對。 因此,在器件內具有一或多個特定所關注疊對的情況下,非產品目標可經設計為幫助判定彼疊對。在圖30A之器件特徵之狀況下,可以與所關注層可比得上的節距及CD產生結構之線空間圖案。圖30C中示意性地描繪非產品目標設計之此等結構之實例。在此狀況下,舉例而言,將在與產生結構3010同一個圖案化製程中產生結構3040,且將在與結構3000同一個圖案化製程中產生結構3030。如上文關於圖24所論述,可提供切口以判定切口與基本上垂直結構之間的Y方向疊對。亦即,切口可在產生切口與結構時實現該切口與該結構之間的在Y方向上之相對移位,而造成對稱性破壞以實現Y方向疊對判定。 因此,參看圖30C,將一或多個切口引入至非產品目標設計中之圖30B之結構,以使得能夠判定Y方向疊對。為了實現此判定,界定單位胞元3050。如所看到,單位胞元具有結構3030及3040。另外,單位胞元在結構3030中具有切口3060。該切口係使得X對稱性由在Y方向上之產生之切口3060與結構3040之間的相對位移破壞。因此,切口3060使得能夠在切口3060與結構3040之間在Y方向上存在相對位移時產生在X方向上之不對稱性。切口3060產生為判定歸因於在切口3060與結構3040之產生期間在該切口3060與該結構3040之間的相對移位之Y方向疊對之參考。由於切口3060及結構3040用作結構3010及切口3020之代理,故在相對位移條件下自由非產品目標重新導向之輻射進行的在Y方向上在切口3060與結構3040之間的相對位移之判定可對應於結構3010與切口3020之間的Y方向疊對。 在一實施例中,切口3060係使得單位胞元在標稱組態下在X方向上對稱。另外,在一實施例中,切口3060係使得其並不影響單位胞元在Y方向上之對稱性。在一實施例中,切口3060具有與在可能時之器件圖案化製程中之切口3020可比得上的CD及節距。然而,切口之大小、數目及部位可經調適以製造對稱單位胞元。在一實施例中,如圖30C中所展示,將單位胞元作為複數個例項而重複以形成供產生於基板上之非產品目標。 因此,在此實施例中,在標稱組態下,單位胞元3060具有X對稱性及Y對稱性兩者。另外,特徵之間的在Y方向上之相對移位引起單位胞元中之X對稱性破壞(而保留Y對稱性)使得可判定Y方向疊對。 參看圖31,示意性地描繪用以設計非產品目標之方法的實施例。雖然描述若干步驟,但並非需要全部該等步驟。因此,在一實施例中,可選擇該等步驟之子組合。另外,可再配置該等步驟(或步驟之子組合)之次序。另外,依據產生非產品目標設計以用於判定疊對(或自此目標之結果導出之任何其他參數)來描述設計方法。然而,該方法可擴展至一或多個其他參數。 在3100處,在非產品目標佈局設計製程中設計一或多個非產品目標。該一或多個非產品目標設計可為本文中所描述之非產品目標設計中之任何一或多者。在一實施例中,可使用設計如本文中所描述之非產品目標設計之一或多種技術。在一實施例中,非產品目標佈局設計製程主要地判定非產品目標之單位胞元之幾何形狀(且因此判定非產品目標之幾何形狀)。 在一實施例中,非產品目標佈局設計製程涉及評估器件圖案以識別所關注疊對。常常存在特徵及/或層之多個組合(尤其在運用LELE處理的情況下),以用於疊對之評估。因此,可需要判定特徵及/或層之一或多個疊對臨界組合。 在依據經評估之特徵/層及一或多個方向(例如,X、Y或X及Y)來識別一或多個疊對的情況下,可產生重複圖案(例如,諸如圖28中之線空間圖案、封閉曲線陣列)。在一實施例中,重複圖案具有與來自器件圖案之所關注特徵/層可比得上的節距及/或CD。 接著,取決於器件圖案及進行量測之疊對,可使用本文中所描述之技術中的一或多者來產生非產品目標設計之單位胞元之幾何形狀。舉例而言,在所關注特徵平行(例如,Y方向)且需要在X方向上之疊對的情況下,則可產生諸如圖21中之目標,或目標可併有來自圖21之設計特徵以使得能夠判定此疊對。在(例如)所關注特徵平行(例如,Y方向)且需要在Y方向上之疊對的情況下,則可產生圖22中之併有切口/突起部配置之目標,或目標可併有來自圖22之設計特徵以使得能夠判定此疊對。在(例如)所關注特徵垂直且需要在X方向上之疊對的情況下,則可產生諸如圖23中之目標,或目標可併有來自圖23之設計特徵以使得能夠判定此疊對。在(例如)所關注特徵垂直且應量測在Y方向上之疊對的情況下,則可產生諸如圖24中之目標,或目標可併有來自圖24之設計特徵以使得能夠判定此疊對。 在適當時且在許多狀況下,線空間圖案之線上之切口/突起部可用作用以破壞在X及/或Y方向上之對稱性以使得能夠判定各別疊對之構件。在一實施例中,切口/突起部在CD及/或節距方面可比得上器件圖案中之關聯特徵。但在一實施例中,切口/突起部之部位應使得單位胞元在標稱組態下對稱。在一實施例中,切口/突起部及/或單位胞元之結構經選擇為使得單位胞元儘可能得小。 在一實施例中,目標無需需要確切遵循器件之所有製程步驟(舉例而言,若(例如)器件之一或多個製程步驟難以模型化,則可在形成目標時略過彼等步驟)。然而,器件與目標之間的製程差不應影響用於在考慮中的特徵/層之疊對。 當需要來自同一目標之在X方向上之疊對及在Y方向上之疊對兩者時,切口之垂直移位不應改變相對於Y軸之對稱性,且結構之水平移位不應改變相對於X軸之對稱性。此幫助確保X方向疊對及Y方向疊對在其自來自目標之重新導向輻射進行判定時予以解耦。 在一實施例中,若運用LELE處理該等層中之一者,則可使用不同目標以將來自微影步驟中之每一者之疊對解耦。若運用LELE處理兩個層,則可使用(例如)四個目標。 在一實施例(其中目標之疊對敏感度允許其)中,可在同一目標(例如,類似於圖27中之目標的目標)中組合多於兩個層之間的疊對。此將為較大空間有效的,但可存在歸因於(例如)串擾之準確度損失或歸因於目標之較高複雜度的模型化之不準確度。 在一實施例中,目標應具有間隙區域及經圖案化區域,其中圖案具有與器件相似之密度。在一實施例中,圍繞目標之間隙區域及經圖案化區域可為(例如)間隙區域之至少0.2微米及/或經圖案化區域之至少2微米。 在運用標稱目標設計的情況下,可執行各種評估步驟以調諧該標稱目標設計及/或判定該標稱目標設計將是否合適。因此,舉例而言,除滿足器件特徵之疊對行為之目標之設計以外,亦可鑒於可印刷性(例如,作為圖案化製程之部分的待產生目標之能力)、可偵測性(例如,信號由目標產生之良好程度)、穩固性(例如,目標對圖案化製程中出現之變化之穩定程度)及/或器件匹配(例如,器件之疊對為自目標進行疊對之判定的代表程度)來分析目標之設計。 因此,在3110處,可執行器件匹配以判定出自目標量測之疊對表示器件之疊對。可藉由使用模擬器或數學模型以判定器件之經模擬或經模型化所關注疊對是否與目標設計之對應經模擬或經模型化所關注疊對匹配(例如,在一臨限值內)來執行此操作。在一實施例中,可針對圖案化製程之微影步驟執行匹配(例如,場內匹配)。在一實施例中,可針對圖案化製程之蝕刻步驟執行匹配(例如,場間匹配)。若不存在足夠匹配,則可(例如)丟棄或修改目標設計(其中修改可包含目標之特徵之節距改變、目標之特徵之CD改變、目標之結構之材料改變等等)。 在3120處,可執行可偵測性評估以判定藉由目標設計產生信號之良好程度。可藉由使用模擬器或數學模型以自目標設計判定預期信號且判定其是否滿足臨限值來執行此操作。在一實施例中,此操作可涉及評估目標對疊對之敏感度,諸如如本文中所論述之敏感度中的任一者(例如,亞可比)。在一實施例中,評估可考慮目標設計之光瞳強度(例如,光瞳強度之均方根)、堆疊敏感度及/或繞射效率且對照臨限值評估其。若不存在足夠匹配,則可(例如)丟棄或修改目標設計(其中修改可包含目標之特徵之節距改變、目標之特徵之CD改變、目標之結構之材料改變等等)。在一實施例中,針對步驟3110及3120執行反覆直至滿足各別臨限值為止。 在3130處,可執行可印刷性評估以判定產生目標之可行性,而作為圖案化製程之部分。可藉由使用模擬器或數學模型以判定目標設計是否將充分產生於基板上(例如,超越或滿足臨限值)來執行此操作。若不存在足夠可印刷性,則可(例如)丟棄或修改目標設計(其中修改可包含目標之特徵之節距改變、目標之特徵之CD改變、目標之結構之材料改變等等)。 在3140處,可執行穩固性評估以判定目標對於圖案化製程中出現之變化之穩定程度。可藉由使用模擬器或數學模型以判定目標設計是否將對圖案化製程中出現之變化敏感(例如,超越或滿足臨限值)且因此產生不準確結果來執行此操作。舉例而言,評估可判定目標結果對藉由(例如)將擾動引入於模擬器或模型中之製程擾動之正交性。若不存在足夠穩固性,則可(例如)丟棄或修改目標設計(其中修改可包含目標之特徵之節距改變、目標之特徵之CD改變、目標之結構之材料改變等等)。 在3150處,可藉由圖案化製程產生目標以用於目標之驗證。印刷目標之圖案化製程可經設定為誘發對目標之各種已知疊對,且接著可使用本文中之技術量測目標以判定疊對。可接著將經設定疊對與所獲得疊對進行比較。若不存在足夠匹配(例如,超越或滿足臨限值),則可(例如)丟棄或修改目標設計(其中修改可包含目標之特徵之節距改變、目標之特徵之CD改變、目標之結構之材料改變等等)。 可出於多個目的使用本文中之經判定圖案化製程參數值(例如,疊對值)及技術。舉例而言,用以啟用圖案化製程之顯著態樣包括:使製程自身顯影、將其設置為進行監視及控制且接著實際上監視及控制該製程自身(例如,基於圖案化製程參數值預測缺陷之機會)。可在此等態樣中之任一者中使用本文中之圖案化製程參數值及技術。另外,在假定圖案化製程之基本原理之組態(諸如圖案化器件圖案、抗蝕劑類型、微影後製程步驟(諸如顯影、蝕刻等等)等等)的情況下,需要設置圖案化製程中之設備以用於將圖案轉印至基板上、顯影一或多個度量衡目標以監視製程、設置度量衡製程以量測度量衡目標,且實施基於量測而監視及控制該製程之製程。可在彼等製程中之任一者中使用本文中之圖案化製程參數值及技術。 雖然本申請案中之論述考慮經設計為量測形成於基板上之器件之疊對之度量衡製程及度量衡目標的實施例,但本文中之實施例同樣適用於其他度量衡製程及目標,諸如用以量測對稱結構中之各種其他不對稱性(諸如側壁角不對稱性、底部地板傾斜角之不對稱性、CD之不對稱性等等)之製程及目標。因此,本文中對疊對度量衡目標、疊對資料等等之參考應視為經合適修改以啟用其他種類之度量衡製程及目標。 在一實施例中,提供一種判定一圖案化製程之一參數之方法,該方法包含:運用一輻射光束照明一基板使得該基板上之一光束光點填充有一單位胞元之一或多個實體例項,該單位胞元在疊對之一標稱值下具有幾何對稱性;使用一偵測器主要偵測由該單位胞元之該一或多個實體例項重新導向之零階輻射;及藉由一硬體電腦系統自該偵測到輻射之一光學特性之值判定該單位胞元之疊對之一非標稱值。 在一實施例中,該參數包含疊對。在一實施例中,該方法包含基於該參數判定一邊緣置放誤差。在一實施例中,相比於來自該偵測到輻射之具有對由該參數量測之一物理效應之較低敏感度的其他像素之光學特性值,來自該偵測到輻射之具有對由該參數量測之該物理效應之較大敏感度的像素之光學特性值提供對判定該參數之該非標稱值的一較大貢獻。在一實施例中,該光學特性之該等值形成一光瞳表示。在一實施例中,處理該光學特性之該等值以減去橫越一對稱軸線之光學特性值,以便縮減或消除該偵測到輻射之一對稱光學特性分佈之該等光學特性值。在一實施例中,使用針對該偵測到輻射之複數個像素的用於每一像素之一光學特性值乘以用於彼像素之一關聯加權之一求和來判定該參數之該非標稱值。在一實施例中,該光學特性為強度及/或相位。在一實施例中,該單位胞元之該一或多個實體例項為一器件結構。在一實施例中,該單位胞元之該一或多個實體例項為包含一器件結構的一基板晶粒內之一非器件結構。在一實施例中,在用以產生該單位胞元之該一或多個實體例項之一蝕刻製程之後偵測該輻射。在一實施例中,該參數包含疊對,且該方法進一步包含與判定一第二疊對分離地自該等光學特性值判定一第一疊對之一值,該第二疊對亦可獲得自該等相同光學特性值,其中該第一疊對在與該第二疊對不同之一方向上或在與該第二疊對不同的該單位胞元之部分之一組合之間。 在一實施例中,提供一種判定一圖案化製程之一參數之方法,該方法包含:獲得由一結構重新導向之輻射之一偵測到光瞳表示,該結構在一標稱實體組態下具有幾何對稱性,其中該結構之不同於該標稱實體組態的一實體組態造成該光瞳表示中之一不對稱光學特性分佈;處理該光瞳表示以減去橫越一對稱軸線之光學特性值,以便縮減或消除該光瞳表示中之一對稱光學特性分佈之該等光學特性值;及藉由一硬體電腦系統基於來自該經處理光瞳表示之光學特性值判定該圖案化製程參數之一值。 在一實施例中,該圖案化製程參數為疊對,且該不同實體組態為該結構之至少部分相對於該結構之另一部分之一移位。在一實施例中,該光瞳表示主要具有零階輻射。在一實施例中,相比於來自該偵測到輻射之具有對該不同實體組態之較低敏感度的其他像素之光學特性值,來自該經處理光瞳表示之具有對該不同實體組態之較大敏感度的像素之光學特性值提供對判定該圖案化製程參數之該值的一較大貢獻。在一實施例中,使用針對該光瞳表示之複數個像素的用於每一像素之一光學特性值乘以用於彼像素之一關聯加權之一求和來判定該圖案化製程參數之該值。在一實施例中,該光學特性為強度及/或相位。在一實施例中,該結構為一器件結構。在一實施例中,該結構為包含一器件結構的一基板晶粒內之一非器件結構。在一實施例中,在用以產生該結構之一蝕刻製程之後偵測該輻射。在一實施例中,該判定包含與判定用於該結構之一第二圖案化製程參數之一值分離地自該等光學特性值判定該結構一第一圖案化製程參數之一值,該第二圖案化製程參數之該值亦可獲得自該等相同光學特性值,其中該第一圖案化製程參數在與該第二圖案化製程參數不同之一方向上或在與該第二圖案化製程參數不同的該結構之部分之一組合之間。 在一實施例中,提供一種判定一圖案化製程之一參數之方法,該方法包含:獲得由一結構重新導向之輻射之一偵測到之表示,該結構在一標稱實體組態下具有幾何對稱性,其中該輻射之該偵測到之表示係藉由運用一輻射光束照明一基板使得該基板上之一光束光點填充有該結構而獲得;及藉由一硬體電腦系統基於來自該偵測到輻射表示之一不對稱光學特性分佈部分之光學特性值而判定該圖案化製程參數之一值,該不對稱光學特性分佈部分相比於該偵測到輻射表示之另一部分具有更高權重,該不對稱光學特性分佈起因於該結構之不同於該標稱實體組態的一實體組態。 在一實施例中,該圖案化製程參數為疊對,且該不同實體組態為該結構之至少部分相對於該結構之另一部分之一移位。在一實施例中,該偵測到輻射表示為一光瞳表示。在一實施例中,該偵測到輻射主要為零階輻射。在一實施例中,處理該偵測到輻射表示以減去橫越一對稱軸線之光學特性值,以便縮減或消除該偵測到輻射表示之一對稱光學特性分佈之該等光學特性值。在一實施例中,使用針對該偵測到輻射表示之複數個像素的用於每一像素之一光學特性值乘以用於彼像素之一關聯加權之一求和來判定該圖案化製程參數之該值。在一實施例中,該光學特性為強度及/或相位。在一實施例中,該結構為一器件結構。在一實施例中,該結構為包含一器件結構的一基板晶粒內之一非器件結構。在一實施例中,該加權經組態以致使待針對該不同實體組態而與判定該圖案化製程參數之一第二類型分離地判定該圖案化製程參數之一第一類型,該圖案化製程參數之該第二類型亦可獲得自該等相同光學特性值,其中圖案化製程參數之該第一類型在與該圖案化製程參數之該第二類型不同之一方向上或在與該圖案化製程參數之該第二類型不同的單位胞元之部分之一組合之間。在一實施例中,該方法進一步包含一加權,該加權經組態以致使待針對該不同實體組態判定該圖案化製程參數之該第二類型。 在一實施例中,提供一種判定一圖案化製程之一參數之方法,該方法包含:獲得由一結構重新導向之輻射之一偵測到之表示,該結構在該參數之一標稱值下具有幾何對稱性,其中該輻射之該偵測到之表示係藉由運用一輻射光束照明一基板使得該基板上之一光束光點填充有該結構而獲得,且其中在該疊對之一非標稱值下,該結構之實體組態造成該偵測到輻射表示中之一不對稱光學特性分佈;及藉由一硬體電腦系統基於針對該偵測到輻射表示之複數個像素的用於每一像素之一光學特性值乘以用於彼像素之一關聯加權之一求和來判定該結構之該參數之一非標稱值,其中該不對稱光學特性分佈中的用於像素之該加權不同於該偵測到輻射表示之一對稱光學特性分佈部分中的用於像素之該加權。 在一實施例中,該參數包含疊對。在一實施例中,該偵測到輻射表示為一光瞳表示。在一實施例中,該偵測到輻射主要為零階輻射。在一實施例中,處理該偵測到輻射表示以減去橫越一對稱軸線之光學特性值,以便縮減或消除該偵測到輻射表示之該對稱光學特性分佈之該等光學特性值。在一實施例中,該光學特性為強度及/或相位。在一實施例中,該結構為一器件結構。在一實施例中,該結構為包含一器件結構的一基板晶粒內之一非器件結構。在一實施例中,該參數包含疊對且該加權經組態以與判定用於該結構之疊對之一第二類型分離地判定用於該結構之疊對之一第一類型,該疊對之該第二類型亦可獲得自該等相同光學特性值。在一實施例中,該方法進一步包含一加權,該加權經組態以與判定用於該結構之疊對之該第一類型分離地自該等相同光學特性值判定用於該結構之疊對之該第二類型。 在一實施例中,提供一種方法,其包含:獲得由一結構重新導向之輻射之一偵測到之表示,該結構在一標稱實體組態下具有幾何對稱性,其中該結構之不同於該標稱實體組態的一實體組態造成該偵測到之表示中之一不對稱光學特性分佈,且一圖案化製程參數量測該實體組態之改變;及藉由一硬體電腦系統使用一重新建構製程來判定在該不同實體組態下之該圖案化製程參數之一值,該重新建構製程處理自該偵測到之表示導出之光學特性值。 在一實施例中,該方法進一步包含處理該表示以減去橫越一對稱軸線之光學特性值,以便縮減或消除該表示中之一對稱光學特性分佈之該等光學特性值,且該判定包含使用一重新建構製程判定該圖案化製程參數之該值,該重新建構製程處理自該經處理偵測到之表示導出之光學特性值。在一實施例中,該重新建構製程涉及使用該結構之一數學模型以產生由該結構重新導向之輻射之一經模擬表示,以與自該偵測到之表示導出之該等光學特性值進行比較。在一實施例中,該數學模型係基於自該結構之例項之量測導出的該結構之一剖面。在一實施例中,該重新建構製程涉及將自該偵測到之表示導出的該等光學特性值相對於由該結構重新導向之輻射之經模擬表示之一庫進行比較。 在一實施例中,提供一種方法,其包含:獲得由一結構重新導向之輻射之一偵測到之表示,該結構在一標稱實體組態下具有幾何對稱性,其中該結構之不同於該標稱實體組態的一實體組態造成該偵測到之表示中之一不對稱光學特性分佈,且一圖案化製程參數量測該實體組態之改變;及藉由一硬體電腦系統使用一非線性求解程序來判定在該不同實體組態下之該圖案化製程參數之一值,該非線性求解程序處理自該偵測到之表示導出之光學特性值。 在一實施例中,該非線性求解程序對一函數進行求解,其中該函數之一或多個變數項僅由使該圖案化製程參數作為至一奇數冪之變數的一或多個變數項及/或使該圖案化製程參數作為變數而與作為一變數之該結構之另一參數結合的一或多個變數項組成。在一實施例中,該方法進一步包含處理該表示以減去橫越一對稱軸線之光學特性值,以便縮減或消除該表示中之一對稱光學特性分佈之該等光學特性值,且該判定該圖案化製程參數之該值係使用一非線性求解程序而進行,該非線性求解程序處理自該經處理偵測到之表示導出之光學特性值。 在一實施例中,提供一種組態一參數判定製程之方法,該方法包含:獲得一結構之一數學模型,該數學模型經組態以在運用一輻射光束照明該結構時預測一光學回應,且該結構在一標稱實體組態下具有幾何對稱性;藉由一硬體電腦系統使用該數學模型以模擬該結構之該實體組態中的為某一量之一擾動,以判定複數個像素中之每一者中的該光學回應之一對應改變以獲得複數個像素敏感度;及基於該等像素敏感度,判定為了得到與該實體組態之改變相關聯的一參數之一值而與一基板上之該結構之經量測像素光學特性值結合之複數個權重,每一權重對應於一像素。 在一實施例中,該參數為疊對,且該不同實體組態為該結構之至少部分相對於該結構之另一部分之一移位。在一實施例中,該光學回應包含呈一光瞳影像之形式的光學特性。在一實施例中,該光學回應主要為零階輻射。在一實施例中,該判定該等權重包含使用一亞可比矩陣。在一實施例中,該判定該等權重包含使用一赫賽矩陣。在一實施例中,該判定該等權重包含使用一莫耳-潘羅斯偽逆。在一實施例中,該等權重經組態為使得可使用針對偵測到輻射表示之複數個像素的用於每一像素之一光學特性值乘以該複數個權重之與彼像素相關聯的權重之一求和來判定該參數之該值。在一實施例中,該光學特性為強度及/或相位。在一實施例中,該結構為一器件結構。在一實施例中,該結構為包含一器件結構的一基板晶粒內之一非器件結構。在一實施例中,該方法進一步包含為了獲得該等經量測像素光學特性值而判定量測設定之一集合,量測設定之該集合對應於該複數個權重。在一實施例中,量測設定之該集合包含選自以下各者中之一或多者:一量測光束之一波長、該量測光束之一偏振、該量測光束之一劑量,及/或由一偵測器感測器獲得的該結構之一個特定照明之數個光學特性讀取。在一實施例中,該獲得該數學模型包含對包含該結構之一或多個基板執行CD量測且對照該等CD量測校準該數學模型,以獲得用於該結構之該實體組態之擾動的該結構之一標稱剖面。在一實施例中,該方法進一步包含:量測由複數個結構重新導向之輻射之光學特性值,該複數個結構具有已知不同實體組態及該參數之關聯預期值;組合該等權重及該等經量測光學特性值以判定用於該等已知不同實體組態中之每一者的該參數之一值;及相對於該參數之該等預期值而評估該參數之該等經判定值;及回應於該評估,調整該數學模型之一參數及/或調整該等權重中之一或多者。 在一實施例中,提供一種方法,其包含:藉由一硬體電腦系統使用一結構之一數學模型以在運用一輻射光束照明該結構時預測一光學回應,該結構在一標稱實體組態下具有幾何對稱性且一圖案化製程參數量測該實體組態之改變;及藉由該硬體電腦系統使用一非線性求解程序以基於該光學回應而判定該圖案化製程參數之一數學函數之係數作為其一變數,在不同於該標稱實體組態的一實體組態下,該等經判定係數及該函數與自一基板上之該結構偵測到之輻射的一經量測表示一起使用以判定用於該經量測結構之該圖案化製程參數之一值,該不同實體組態造成該偵測到之表示中之一不對稱光學特性分佈。在一實施例中,該方法包含使用該數學模型以模擬該結構之該實體組態中的為某一量之一擾動以判定該光學回應之一對應改變,且其中判定該等係數使用該改變之光學回應。在一實施例中,該方法進一步包含:獲得由該基板上之具有該不同實體組態的該結構重新導向之輻射之一偵測到之表示,及使用一非線性求解程序判定該圖案化製程參數之一值,該非線性求解程序處理自該偵測到之表示導出之光學特性值且使用該等經判定係數。在一實施例中,該非線性求解程序對一函數進行求解,其中該函數之一或多個變數項僅由使該圖案化製程參數作為至一奇數冪之變數的一或多個變數項及/或使該圖案化製程參數作為變數而與作為一變數之該結構之另一參數結合的一或多個變數項組成。在一實施例中,該方法進一步包含處理該光學回應以減去橫越一對稱軸線之光學特性值以便縮減或消除該光學回應中之一對稱光學特性分佈之該等光學特性值,且該判定該等係數係基於自該經處理光學回應導出之光學特性值。在一實施例中,該數學模型使用該結構之一標稱剖面,該標稱剖面係為了獲得該結構之該標稱剖面而自對照CD量測進行之該數學模型之校準導出。在一實施例中,該等係數包含用於該光學回應中之複數個像素中之每一者的係數之一集合。 在一實施例中,提供一種方法,其包含:獲得藉由一圖案化製程而產生之一結構之不同例項之量測結果,其中在一圖案化製程參數之複數個不同設定值中之每一者下獲得量測結果,該圖案化製程參數量測該結構之實體組態之一改變,且該圖案化製程參數之每一不同設定值對應於該結構之造成一輻射表示中之一不對稱光學特性分佈之一實體組態;及藉由一硬體電腦系統判定複數個資料驅動值,該複數個資料驅動值對應於為了得到該圖案化製程參數之一值而與該結構之一另外例項之經量測光學特性值結合之權重,其中將該等設定值及該等量測結果用於一目標或優質化函數或一機器學習演算法中,以判定該等資料驅動值。 在一實施例中,該方法進一步包含使用該等經判定資料驅動值以修改該結構之一數學模型,及使用該數學模型以導出與該結構之該另外例項之經量測光學特性值結合之該等權重。在一實施例中,該方法進一步包含使用該數學模型之一赫賽矩陣以更新體現於該數學模型中的該結構之一標稱剖面之值。在一實施例中,該方法進一步包含使用該經修改數學模型之一赫賽矩陣以計算與該結構之該另外例項之經量測光學特性值結合之該等權重。在一實施例中,該等量測結果為由該結構之該等不同例項重新導向之輻射的複數個偵測到之表示。在一實施例中,藉由運用一輻射光束照明一基板使得該基板上之一光束光點填充有該結構而獲得該輻射之該等偵測到之表示。在一實施例中,該方法進一步包含產生預期待由該結構之一例項重新導向且針對該圖案化製程中之一變化而預期的輻射之一或多個合成表示,且其中該判定該複數個資料驅動值係基於該等設定值、該等量測結果及該一或多個合成表示。在一實施例中,藉由使用該數學模型之一赫賽矩陣來產生輻射之該一或多個合成表示。在一實施例中,使用一非線性模擬來產生輻射之該一或多個合成表示。在一實施例中,該圖案化製程參數為疊對。在一實施例中,該方法進一步包含基於結合該結構之該另外例項之經量測光學特性值之該複數個權重而判定用於該結構之該另外例項的該圖案化製程參數之該值。在一實施例中,該等經量測光學特性值中之每一者對應於一光瞳表示中之一像素,且包含基於針對該光瞳表示之複數個像素的用於每一像素之一經量測光學特性值乘以用於彼像素之一關聯加權之一求和來判定用於該另外例項之該圖案化製程參數之該值,其中用於該光瞳表示之一不對稱光學特性分佈部分中之像素之該加權不同於用於該光瞳表示之一對稱光學特性分佈部分中之像素之該加權。 在一實施例中,提供一種判定一圖案化製程之一參數之方法,該方法包含:獲得由一單位胞元之一或多個實體例項重新導向之輻射的一偵測到之表示,其中該單位胞元在疊對之一標稱值下具有幾何對稱性,且其中該輻射之該偵測到之表示係藉由運用一輻射光束照明一基板使得該基板上之一光束光點填充有該單位胞元之該一或多個實體例項而獲得;及藉由一硬體電腦系統及與判定用於該單位胞元之該參數之一第二類型分離地自來自該偵測到輻射表示之光學特性值判定用於該單位胞元之該參數之一第一類型之一值,該參數之該第二類型亦可獲得自該等相同光學特性值,其中該參數之該第一類型係針對與該參數之該第二類型不同的一方向或針對與該參數之該第二類型不同的該單位胞元之部分之一組合之間。 在一實施例中,該參數包含疊對。在一實施例中,參數之該第一類型及該第二類型係針對不同方向且針對該單位胞元之相同的第一部分及第二部分。在一實施例中,參數之該第一類型係針對與參數之該第二類型不同的該單位胞元之部分之一組合之間。在一實施例中,該方法進一步包含:在判定出參數之該第一類型之該值時自該等相同光學特性值判定參數之該第二類型之一值。在一實施例中,該判定參數之第一類型之該值使用用於像素光學特性值之權重之一集合。在一實施例中,使用針對該偵測到輻射表示之複數個像素的用於每一像素之一光學特性值乘以用於彼像素之一關聯加權之一求和來判定參數之該第一類型之該值。在一實施例中,相比於來自該偵測到輻射之具有對由該參數量測之一物理效應之較低敏感度的其他像素之光學特性值,來自該偵測到輻射表示之具有對由該參數量測之該物理效應之較大敏感度的像素之光學特性值提供對判定參數之該第一類型之該值的一較大貢獻。在一實施例中,該偵測到輻射主要為零階輻射。在一實施例中,該偵測到輻射表示為一光瞳表示。在一實施例中,處理該偵測到輻射表示以減去橫越一對稱軸線之光學特性值,以便縮減或消除該偵測到輻射表示之一對稱光學特性分佈之該等光學特性值。在一實施例中,該光學特性為強度及/或相位。在一實施例中,該結構為一器件結構。在一實施例中,該結構為包含一器件結構的一基板晶粒內之一非器件結構。在一實施例中,在用以產生該結構之一蝕刻製程之後偵測該偵測到輻射表示。 在一實施例中,提供一種判定一圖案化製程之一參數之方法,該方法包含:獲得由一單位胞元之一或多個實體例項重新導向之輻射的一偵測到之表示,其中該單位胞元在該參數之一標稱值下具有幾何對稱性,且其中該輻射之該偵測到之表示係藉由運用一輻射光束照明一基板使得該基板上之一光束光點填充有該單位胞元之該一或多個實體例項而獲得;及藉由一硬體電腦系統及自來自該偵測到輻射表示之光學特性值判定該單位胞元之一第一部分與該單位胞元之一第二部分之間的該參數之一值,其與判定該單位胞元之該第二部分與該單位胞元之一第三部分之間或該單位胞元之該第三部分與該單位胞元之一第四部分之間的該參數之一值分離,該參數之該值亦可獲得自該等相同光學特性值。 在一實施例中,該參數包含疊對。在一實施例中,該方法進一步包含自該等光學特性值判定該或每一單位胞元之該第二部分與該第三部分之間或該或每一單位胞元之該第三部分與該第四部分之間的該參數之一值,其與判定該或每一單位胞元之該第一部分與該第二部分之間的該參數之一值分離。在一實施例中,該判定該參數值使用用於像素光學特性值之權重之一集合。在一實施例中,使用針對該偵測到輻射表示之複數個像素的用於每一像素之一光學特性值乘以用於彼像素之一關聯加權之一求和來判定該參數值。在一實施例中,相比於來自該偵測到輻射表示之具有對由該參數量測之一物理效應之較低敏感度的其他像素之光學特性值,來自該偵測到輻射表示之具有對由該參數量測之該物理效應之較大敏感度的像素之光學特性值提供對判定該參數值的一較大貢獻。在一實施例中,該偵測到輻射主要為零階輻射。在一實施例中,該偵測到輻射表示為一光瞳表示。在一實施例中,處理該偵測到輻射表示以減去橫越一對稱軸線之光學特性值,以便縮減或消除該偵測到輻射表示之一對稱光學特性分佈之該等光學特性值。在一實施例中,該光學特性為強度及/或相位。在一實施例中,該結構為一器件結構。在一實施例中,該結構為包含一器件結構的一基板晶粒內之一非器件結構。在一實施例中,在用以產生該結構之一蝕刻製程之後偵測該輻射。 在一實施例中,提供一種組態一參數判定製程之方法,該方法包含:獲得一基板上之一結構之一數學模型,該模型經組態以在運用一輻射光束照明該結構時預測一光學回應,且該結構在一標稱參數值下具有幾何對稱性;藉由一硬體電腦系統使用該模型以模擬該結構之該參數之一第一類型的一改變以判定複數個像素中之每一者中的該光學回應之一對應第一改變,且模擬該參數之一第二類型之一改變以判定該複數個像素中之每一者中的該光學回應之一對應第二改變,其中參數之該第一類型係針對與參數之該第二類型不同的一方向上或在與參數之該第二類型不同的該結構之部分之一組合之間;及基於該光學回應中之該第一改變及該第二改變,判定與經量測像素光學特性值結合之複數個權重,以與得到參數之該第二類型分離地自相同經量測光學特性值得到參數之第一類型之一值。 在一實施例中,該參數包含疊對。在一實施例中,使用對應於依據該複數個像素之光學回應之該第一改變的參數之該第一類型之該改變之一向量相對於對應於依據該複數個像素之光學回應之該第二改變的參數之該第二類型之該改變之一正交之向量的一背向投影之結果來判定用於參數之該第一類型之該複數個權重。在一實施例中,該方法進一步包含基於該光學回應之該第一改變及該第二改變,判定與經量測像素光學特性值結合之複數個權重,以與得到參數之該第一類型分離地自該等經量測光學特性值得到參數之第二類型之一值。在一實施例中,使用對應於依據該複數個該等像素之光學回應之該第二改變的參數之該第二類型之該改變之一向量相對於對應於依據該複數個像素之光學回應之該第一改變的參數之該第一類型之該改變之一正交之向量的一背向投影之結果來判定用於參數之該第二類型之該複數個權重。在一實施例中,該等權重經組態為使得使用針對偵測到輻射表示之複數個像素的用於每一像素之一光學特性值乘以用於彼像素之一關聯權重之一求和來判定參數之該第一類型及/或該第二類型。在一實施例中,該光學回應包含呈一光瞳影像之形式的光學特性。在一實施例中,該光學回應主要為零階輻射。在一實施例中,該光學特性為強度及/或相位。在一實施例中,該結構為一器件結構。在一實施例中,該結構為包含一器件結構的一基板晶粒內之一非器件結構。 在一實施例中,提供一種度量衡目標,其包含:一第一結構,其經配置以藉由一第一圖案化製程而產生;及一第二結構,其經配置以藉由一第二圖案化製程而產生,其中該第一結構及/或該第二結構並不用以產生一器件圖案之一功能態樣,且其中該第一結構及該第二結構一起形成一單位胞元之一或多個例項,該單位胞元在一標稱實體組態下具有幾何對稱性,且其中該單位胞元具有一特徵,該特徵在不同於該標稱實體組態之一實體組態下歸因於該第一圖案化製程、該第二圖案化製程及/或另一圖案化製程中之圖案置放之一相對移位而造成該單位胞元中之一不對稱性。 在一實施例中,該第一結構包含具有一第一尺寸及/或材料之一結構,且該第二結構包含具有一第二尺寸或材料之一結構,其中該特徵包含不同於該第二尺寸及/或材料之該第一尺寸及/或材料。在一實施例中,該第一結構包含在一第一方向上以一陣列形式而配置之結構,且至少一個此類結構包含沿著實質上垂直於該第一方向之一第二方向而配置的由空隙分離之複數個子結構,及/或該第二結構包含在一第一方向上以一陣列形式而配置之結構,且至少一個此類結構包含沿著實質上垂直於該第一方向之一第二方向而配置的由空隙分離之複數個子結構,其中該特徵包含該第一結構及/或該第二結構之該等空隙。在一實施例中,該第一結構及/或該第二結構之該等空隙係使用不同於該第一圖案化製程及該第二圖案化製程的一圖案化製程而產生。在一實施例中,該第一結構包含該等空隙且該第二結構包含該等空隙。在一實施例中,該第一結構之該等空隙具有不同於該第二結構之該等孔隙之一節距。在一實施例中,在該標稱實體組態下,該第一結構之至少一個空隙與該第二結構之至少一個空隙排成一行。在一實施例中,該第一結構包含封閉曲線結構且該第二結構包含封閉曲線結構。在一實施例中,該等結構在一方向上以該第一陣列之形式而配置,該方向實質上垂直於該等結構以該第二陣列之形式而配置或該等結構以結構之一第三陣列之形式而配置之一方向。 在一實施例中,提供一種電腦程式產品,其包含經記錄有一資料結構之一電腦非暫時性可讀媒體,該資料結構對應於如本文中所描述之一度量衡目標。在一實施例中,提供一種倍縮光罩,其包含對應於如本文中所描述之一度量衡目標之一圖案。 在一實施例中,提供一種方法,其包含:產生用於一度量衡目標之一第一結構,該第一結構待藉由產生一器件之一對應器件特徵的一第一圖案化製程而產生;產生用於該度量衡目標之一第二結構,該第二結構待藉由產生器件之一另外對應器件特徵的一第二圖案化製程而產生,其中該第一結構及該第二結構一起形成一單位胞元之一或多個例項,該單位胞元在一標稱實體組態下具有幾何對稱性;及將一特徵引入該度量衡目標中,該特徵在不同於該標稱實體組態之一實體組態下歸因於該器件中之器件特徵之部位自該器件中之該等器件特徵之一預期部位之一相對移位而造成該單位胞元中之一不對稱性。 在一實施例中,該第一結構之一特徵具有與該器件之該對應特徵實質上相同之一尺寸及/或節距,及/或該第二結構之一特徵具有與該器件之該對應特徵實質上相同之一尺寸及/或節距。在一實施例中,該度量衡目標中之該特徵針對在一第一方向上之一相對移位而造成該單位胞元中的一第一類型之不對稱性且針對在一第二不同方向上之一相對移位而造成該單位胞元中的一第二不同類型之不對稱性。在一實施例中,該方法進一步包含評估選自以下各者中之一或多者:該度量衡目標之可印刷性、該度量衡目標之可偵測性、該度量衡目標對製程變化之穩固性,及/或該度量衡目標與一器件圖案之匹配。在一實施例中,該方法包含反覆地評估該度量衡目標與一器件圖案之匹配及該度量衡目標之可偵測性。 在一實施例中,提供一種方法,其包含:量測由如本文中所描述之一度量衡重新導向之輻射,該輻射係使用一圖案化製程而轉印至一基板,以判定該圖案化製程之一參數之一值。在一實施例中,該參數包含疊對及/或邊緣置放誤差。 參看圖32,展示電腦系統3200。電腦系統3200包括用於傳達資訊之匯流排3202或其他通信機構,及與匯流排3202耦接以用於處理資訊之處理器3204 (或多個處理器3204及3205)。電腦系統3200亦包括耦接至匯流排3202以用於儲存待由處理器3204執行之資訊及指令的主記憶體3206,諸如隨機存取記憶體(RAM)或其他動態儲存器件。主記憶體3206亦可用於在待由處理器3204執行之指令之執行期間儲存暫時性變數或其他中間資訊。電腦系統3200進一步包括耦接至匯流排3202以用於儲存用於處理器3204之靜態資訊及指令的唯讀記憶體(ROM) 3208或其他靜態儲存器件。提供諸如磁碟或光碟之儲存器件3210,且該儲存器件耦接至匯流排3202以用於儲存資訊及指令。 電腦系統3200可經由匯流排3202耦接至用於向電腦使用者顯示資訊之顯示器3212,諸如陰極射線管(CRT)或平板顯示器或觸控面板顯示器。包括文數字按鍵及其他按鍵之輸入器件3214耦接至匯流排3202以用於將資訊及命令選擇傳達至處理器3204。另一類型之使用者輸入器件為用於將方向資訊及命令選擇傳達至處理器3204且用於控制顯示器3212上之游標移動的游標控制件3216,諸如滑鼠、軌跡球或游標方向鍵。此輸入器件通常具有在兩個軸線(第一軸線(例如,x)及第二軸線(例如,y))上之兩個自由度,其允許該器件指定在平面中之位置。觸控面板(螢幕)顯示器亦可用作輸入器件。 電腦系統3200可適合於回應於處理器3204執行主記憶體3206中含有之一或多個指令之一或多個序列而充當本文中之處理單元。可將此等指令自另一電腦可讀媒體(諸如儲存器件3210)讀取至主記憶體3206中。主記憶體3206中含有之指令序列之執行致使處理器3204執行本文中所描述之製程。呈多處理配置之一或多個處理器亦可用以執行主記憶體3206中含有之指令序列。在替代實施例中,可代替或結合軟體指令而使用硬連線電路系統。因此,實施例不限於硬體電路系統與軟體之任何特定組合。 如本文中所使用術語「電腦可讀媒體」係指參與將指令提供至處理器3204以供執行之任何媒體。此媒體可採取許多形式,包括但不限於非揮發性媒體、揮發性媒體及傳輸媒體。非揮發性媒體包括(例如)光碟或磁碟,諸如儲存器件3210。揮發性媒體包括動態記憶體,諸如主記憶體3206。傳輸媒體包括同軸纜線、銅線及光纖,包括包含匯流排3202之電線。傳輸媒體亦可採取聲波或光波之形式,諸如在射頻(RF)及紅外線(IR)資料通信期間產生之聲波或光波。電腦可讀媒體之常見形式包括(例如)軟碟、可撓性碟、硬碟、磁帶、任何其他磁性媒體、CD-ROM、DVD、任何其他光學媒體、打孔卡、紙帶、具有孔圖案之任何其他實體媒體、RAM、PROM及EPROM、FLASH-EPROM、任何其他記憶體晶片或卡匣、如下文所描述之載波,或可供電腦讀取之任何其他媒體。 可在將一或多個指令之一或多個序列攜載至處理器3204以供執行時涉及各種形式之電腦可讀媒體。舉例而言,最初可將該等指令承載於遠端電腦之磁碟上。遠端電腦可將指令載入至其動態記憶體中,且使用數據機經由電話線而發送指令。在電腦系統3200本端之數據機可接收電話線上之資料,且使用紅外線傳輸器將資料轉換成紅外線信號。耦接至匯流排3202之紅外線偵測器可接收紅外線信號中所攜載之資料且將資料置放於匯流排3202上。匯流排3202將資料攜載至主記憶體3206,處理器3204自該主記憶體3206擷取及執行指令。由主記憶體3206接收之指令可視情況在供處理器3204執行之前或之後儲存於儲存器件3210上。 電腦系統3200亦可包括耦接至匯流排3202之通信介面3218。通信介面3218提供對網路鏈路3220之雙向資料通信耦合,網路鏈路3220連接至區域網路3222。舉例而言,通信介面3218可為整合式服務數位網路(ISDN)卡或數據機以提供對對應類型之電話線之資料通信連接。作為另一實例,通信介面3218可為區域網路(LAN)卡以提供對相容LAN之資料通信連接。亦可實施無線鏈路。在任何此實施中,通信介面3218發送且接收攜載表示各種類型之資訊之數位資料流的電信號、電磁信號或光學信號。 網路鏈路3220通常經由一或多個網路將資料通信提供至其他資料器件。舉例而言,網路鏈路3220可經由區域網路3222而向主機電腦3224或向由網際網路服務業者(ISP) 3226操作之資料設備提供連接。ISP 3226又經由全球封包資料通信網路(現在通常被稱作「網際網路」) 3228而提供資料通信服務。區域網路3222及網際網路3228兩者皆使用攜載數位資料串流之電信號、電磁信號或光學信號。經由各種網路之信號及在網路鏈路3220上且經由通信介面3218之信號(該等信號將數位資料攜載至電腦系統3200及自電腦系統3200攜載數位資料)為輸送資訊的例示性載波形式。 電腦系統3200可經由網路、網路鏈路3220及通信介面3218發送訊息及接收資料(包括程式碼)。在網際網路實例中,伺服器3230可能經由網際網路3228、ISP 3226、區域網路3222及通信介面3218而傳輸用於應用程式之經請求程式碼。根據一或多個實施例,一個此類經下載應用程式提供如(例如)本文中所揭示之方法。所接收程式碼可在其被接收時由處理器3204執行,及/或儲存於儲存器件3210或其他非揮發性儲存器中以供稍後執行。以此方式,電腦系統3200可獲得呈載波之形式之應用程式碼。 本發明之一實施例可採取如下形式:電腦程式,其含有描述如本文中所揭示之方法的機器可讀指令之一或多個序列;或資料儲存媒體(例如,半導體記憶體、磁碟或光碟),其中儲存有此電腦程式。另外,可以兩個或多於兩個電腦程式來體現機器可讀指令。該兩個或多於兩個電腦程式可儲存於一或多個不同記憶體及/或資料儲存媒體上。 本文中所描述之任何控制器可在一或多個電腦程式由位於微影設備之至少一個組件內之一或多個電腦處理器讀取時各自或組合地可操作。該等控制器可各自或組合地具有用於接收、處理及發送信號之任何合適組態。一或多個處理器經組態以與該等控制器中之至少一者通信。舉例而言,每一控制器可包括用於執行包括用於上文所描述之方法之機器可讀指令的電腦程式之一或多個處理器。控制器可包括用於儲存此等電腦程式之資料儲存媒體,及/或用以收納此媒體之硬體。因此,該(等)控制器可根據一或多個電腦程式之機器可讀指令而操作。 儘管在本文中可特定地參考度量衡設備在IC製造中之使用,但應理解,本文中所描述之度量衡設備及製程可具有其他應用,諸如製造整合式光學系統、用於磁疇記憶體之導引及偵測圖案、平板顯示器、液晶顯示器(LCD)、薄膜磁頭等等。熟習此項技術者將瞭解,在此等替代應用之內容背景中,可認為本文中對術語「晶圓」或「晶粒」之任何使用分別與更一般之術語「基板」或「目標部分」同義。可在曝光之前或之後在(例如)塗佈顯影系統(通常將抗蝕劑層施加至基板且顯影經曝光抗蝕劑之工具)、度量衡工具及/或一或多個各種其他工具中處理本文中所提及之基板。在適用情況下,可將本文中之揭示內容應用於此等及其他基板處理工具。此外,可將基板處理多於一次,例如,以便產生多層IC,使得本文中所使用之術語基板亦可指已經含有多個經處理層之基板。 儘管在上文可已特定地參考在光學微影之內容背景中之本發明之實施例的使用,但應理解,本發明可用於其他應用(例如,奈米壓印微影)中,且在內容背景允許的情況下不限於光學微影。在奈米壓印微影之狀況下,圖案化器件為壓印模板或模具。 本文中所使用之術語「輻射」及「光束」涵蓋所有類型之電磁輻射,包括紫外線(UV)輻射(例如,具有為或為約365奈米、355奈米、248奈米、193奈米、157奈米或126奈米之波長)及極紫外線(EUV)輻射(例如,具有在5奈米至20奈米之範圍內之波長);以及粒子束(諸如離子束或電子束)。 術語「透鏡」在內容背景允許時可指各種類型之光學組件中之任一者或其組合,包括折射、反射、磁性、電磁及靜電光學組件。 本文中對超越或超過臨限值之參考可包括具有低於特定值或低於或等於特定值之某物、具有高於特定值或高於或等於特定值之某物、基於(例如)參數而排名高於或低於其他某物(通過(例如)分類)的某物,等等。 本文中對校正誤差或誤差之校正之參考包括消除誤差或將誤差縮減至容許範圍內。 如本文中所使用之術語「最佳化」係指或意謂調整微影設備、圖案化製程等等使得微影或圖案化處理之結果及/或製程具有較理想特性,諸如設計佈局在基板上的投影之較高準確度、較大製程窗等等。因此,如本文中所使用之術語「最佳化」係指或意謂識別用於一或多個變數之一或多個值的製程,該一或多個值相比於用於彼等一或多個變數之一或多個值之初始集合提供在至少一個相關度量方面的改良,例如局部最佳。應相應地解釋「最佳」及其他相關術語。在一實施例中,可反覆地應用最佳化步驟,以提供一或多個度量之進一步改良。 在一系統之最佳化製程中,可將該系統或製程之優值(figure of merit)表示為成本函數。最佳化製程歸結為尋找最佳化(例如,最小化或最大化)成本函數之系統或製程之參數(設計變數)之集合之製程。成本函數可取決於最佳化之目標而具有任何合適形式。舉例而言,成本函數可為系統或製程之某些特性(評估點)相對於此等特性之預期值(例如,理想值)之偏差的加權均方根(RMS);成本函數亦可為此等偏差之最大值(亦即,最差偏差)。本文中之術語「評估點」應被廣泛地解譯為包括系統或製程之任何特性。歸因於系統或製程之實施的實務性,系統之設計變數可限於有限範圍及/或可相互相依。在微影設備或圖案化製程之狀況下,約束常常與硬體之物理屬性及特性(諸如可調諧範圍及/或圖案化器件可製造性設計規則)相關聯,且評估點可包括基板上之抗蝕劑影像上之實體點,以及諸如劑量及焦點之非物理特性。 雖然上文已描述本發明之特定實施例,但應瞭解,可以與所描述之方式不同的其他方式來實踐本發明。舉例而言,本發明可採取如下形式:電腦程式,其含有描述如上文所揭示之方法的機器可讀指令之一或多個序列;或資料儲存媒體(例如,半導體記憶體、磁碟或光碟),其中儲存有此電腦程式。 在方塊圖中,所說明之組件被描繪為離散功能區塊,但實施例不限於本文中所描述之功能性如所說明來組織的系統。由組件中之每一者提供的功能性可由軟體或硬體模組提供,該等模組以與目前所描繪之方式不同之方式組織,例如,可摻和、結合、複寫、分解、分配(例如,在資料中心內或地理上),或以另外不同方式組織此軟體或硬體。本文中所描述之功能性可由執行儲存於有形的非暫時性機器可讀媒體上之程式碼之一或多個電腦的一或多個處理器提供。在一些狀況下,第三方內容遞送網路可主控經由網路傳達之資訊中的一些或全部,在此狀況下,在據稱供應或以另外方式提供資訊(例如,內容)之情況下,可藉由發送指令以自內容遞送網路擷取彼資訊提供該資訊。 除非另有具體陳述,否則如自論述顯而易見,應瞭解,貫穿本說明書,利用諸如「處理」、「計算(computing/calculating)」、「判定」或其類似者之術語的論述係指諸如專用電腦或相似專用電子處理/計算器件之特定設備的動作或製程。 讀者應瞭解,本申請案描述若干發明。申請人已將此等發明分組成單一文件,而非將彼等發明分離成多個經隔離專利申請案,此係因為該等發明之相關主題可在應用製程中有助於經濟發展。但不應合併此等發明之相異優點及態樣。在一些狀況下,實施例解決本文中所提及之所有缺陷,但應理解,該等發明係獨立地有用,且一些實施例僅解決此等問題之子集或提供其他未提及之益處,該等益處對於檢閱本發明之熟習此項技術者將顯而易見。歸因於成本約束,目前可不主張本文中所揭示之一些發明,且可在稍後申請案(諸如接續申請案或藉由修正本技術方案)中主張該等發明。相似地,歸因於空間約束,本發明文件之[發明摘要]及[發明內容]章節皆不應被視為含有所有此等發明之全面清單或此等發明之所有態樣。 應理解,描述及圖式並不意欲將本發明限於所揭示之特定形式,而正相反,本發明意欲涵蓋屬於如由所附申請專利範圍界定之本發明之精神及範疇的所有修改、等效者及替代方案。 鑒於本說明書,對於熟習此項技術者而言,本發明之各種態樣之修改及替代實施例將為顯而易見的。因此,本說明書及圖式應被理解為僅為說明性的且係出於教示熟習此項技術者進行本發明之一般方式之目的。應理解,本文中所展示及描述之本發明之形式應被視為實施例之實例。元件及材料可替代本文中所說明及描述之元件及材料,部分及製程可被反轉或被省略,可獨立利用某些特徵,且可組合實施例或實施例之特徵,此皆如對熟習此項技術者在獲得本發明之本說明書之益處之後將顯而易見的。可在不脫離如在以下申請專利範圍中所描述之本發明之精神及範疇的情況下對本文中所描述之元件作出改變。本文中所使用之標題僅為達成組織性目的,且不意謂用以限制本說明書之範疇。 如遍及本申請案所使用,詞「可」係在許可之意義(亦即,意謂有可能)而非強制性之意義(亦即,意謂必須)予以使用。詞語「包括(include/including/includes)」及其類似者意謂包括(但不限於)。如貫穿本申請案所使用,單數形式「一(a/an)」及「該(the)」包括複數個參照物,除非上下文另有明確地指示。因此,舉例而言,對「元件(an element/a element)」之參考包括兩個或多於兩個元件之組合,儘管會針對一或多個元件使用其他術語及短語,諸如「一或多個」。除非另有指示,否則術語「或」係非獨占式的,亦即,涵蓋「及」與「或」兩者。描述條件關係之術語,例如,「回應於X,而Y」、「在X後,即Y」、「若X,則Y」、「當X時,Y」及其類似者涵蓋因果關係,其中前提為必要的因果條件,前提為充分的因果條件,或前提為結果的貢獻因果條件,例如,「在條件Y獲得後,即出現狀態X」對於「僅在Y後,才出現X」及「在Y及Z後,即出現X」為通用的。此等條件關係不限於即刻遵循前提而獲得之結果,此係由於可延遲一些結果,且在條件陳述中,前提連接至其結果,例如,前提係與出現結果之可能性相關。除非另外指示,否則複數個特質或功能經映射至複數個物件(例如,執行步驟A、B、C及D之一或多個處理器)之陳述涵蓋所有此等特質或功能經映射至所有此等物件及特質或功能之子集經映射至特質或功能之子集兩者(例如,所有處理器各自執行步驟A至D,及其中處理器1執行步驟A,處理器2執行步驟B及步驟C之一部分,且處理器3執行步驟C之一部分及步驟D之狀況)。另外,除非另有指示,否則一個值或動作係「基於」另一條件或值之陳述涵蓋條件或值為單獨因子之情況及條件或值為複數個因子當中之一個因子之情況兩者。除非另外規定,否則某集合之「每一」例項具有某種屬性之陳述不應被理解為排除較大集合之一些另外相同或相似部件並不具有該屬性之狀況,亦即,各自未必意謂每個。 在某些美國專利、美國專利申請案或其他材料(例如論文)已以引用方式併入之範圍內,此等美國專利、美國專利申請案及其他材料之文字僅在此材料與本文中所闡述之陳述及圖式之間不存在衝突之範圍內併入。在存在此類衝突之情況下,在此類以引用方式併入的美國專利、美國專利申請案及其他材料中之任何此類衝突並不具體地以引用方式併入本文中。 以上之描述意欲為說明性,而非限制性的。因此,對於熟習此項技術者將顯而易見,可在不脫離下文所闡明之申請專利範圍之範疇的情況下對所描述之本發明進行修改。
0‧‧‧零階射線/繞射射線
+1‧‧‧一階射線/繞射射線
+1(N)‧‧‧+1繞射射線
-1‧‧‧一階射線/繞射射線
-1(S)‧‧‧-1繞射射線
2‧‧‧寬頻帶輻射投影儀
4‧‧‧光譜儀偵測器
10‧‧‧光譜
11‧‧‧輸出
12‧‧‧透鏡
13‧‧‧孔徑板
13E‧‧‧孔徑板
13N‧‧‧孔徑板
13NW‧‧‧孔徑板
13S‧‧‧孔徑板
13SE‧‧‧孔徑板
13W‧‧‧孔徑板
14‧‧‧透鏡
15‧‧‧稜鏡
16‧‧‧物鏡/透鏡
17‧‧‧光束分裂器
18‧‧‧光學系統
19‧‧‧第一感測器
20‧‧‧光學系統
21‧‧‧孔徑光闌
22‧‧‧光學系統
23‧‧‧感測器
30'‧‧‧目標圖案/目標
31‧‧‧量測光點/經照明光點
32‧‧‧週期性結構
33‧‧‧週期性結構
34‧‧‧週期性結構
35‧‧‧週期性結構
41‧‧‧圓形區域
42‧‧‧矩形區域/影像
43‧‧‧矩形區域/影像
44‧‧‧矩形區域/影像
45‧‧‧矩形區域/影像
100‧‧‧度量衡設備
108‧‧‧經量測輻射分佈
110‧‧‧輻射源
120‧‧‧透鏡系統/透鏡
130‧‧‧孔徑板/干涉濾光器
140‧‧‧透鏡系統/透鏡
150‧‧‧部分反射表面
160‧‧‧物鏡/透鏡系統/接物鏡
170‧‧‧偏振器(圖9)/照明射線/入射射線(圖7)
172‧‧‧照明射線/入射射線
174‧‧‧繞射射線
176‧‧‧繞射射線
180‧‧‧光學元件
182‧‧‧光學系統
186‧‧‧孔徑
190‧‧‧感測器
200‧‧‧量測分支
206‧‧‧經參數化數學模型/剖面
208‧‧‧輻射分佈
210‧‧‧數值馬克士威求解程序
212‧‧‧比較經量測輻射分佈108與所計算輻射分佈208
230‧‧‧感測器
300‧‧‧可調整場光闌/孔徑板
302‧‧‧孔徑
1000‧‧‧第一結構
1005‧‧‧第二結構
1010‧‧‧對稱軸線
1015‧‧‧點
1020‧‧‧箭頭
1025‧‧‧箭頭
1030‧‧‧光瞳影像
1032‧‧‧對稱軸線
1034‧‧‧軸線
1035‧‧‧光瞳影像
1040‧‧‧疊對
1045‧‧‧軸線
1050‧‧‧箭頭
1055‧‧‧箭頭
1060‧‧‧光瞳影像
1065‧‧‧光瞳影像/光瞳
1075‧‧‧區
1080‧‧‧區
1100‧‧‧第一製程
1110‧‧‧模型化製程
1120‧‧‧預期剖面
1130‧‧‧基板之量測
1140‧‧‧經重新建構標稱剖面
1200‧‧‧製程
1210‧‧‧製程
1220‧‧‧目標
1230‧‧‧量測策略
1240‧‧‧光學資訊
1250‧‧‧加權
1260‧‧‧疊對值
1300‧‧‧製程
1310‧‧‧光學資訊/輸入
1320‧‧‧設定值/輸入
1330‧‧‧權重
1400‧‧‧製程
1410‧‧‧光學資訊/輸入
1420‧‧‧設定值/輸入
1430‧‧‧權重
1440‧‧‧製程
1450‧‧‧實體剖面/實體剖面模型
1460‧‧‧權重
1500‧‧‧製程/資料驅動技術
1505‧‧‧光學資訊/輸入
1510‧‧‧設定值/輸入
1515‧‧‧權重
1520‧‧‧製程
1525‧‧‧實體剖面/實體剖面模型
1530‧‧‧實體幾何模型
1535‧‧‧製程
1540‧‧‧赫賽
1545‧‧‧製程/調諧
1550‧‧‧經調諧權重
1600‧‧‧赫賽
1610‧‧‧製程
1620‧‧‧資料
1630‧‧‧經模擬光學資訊
1700‧‧‧經調諧實體幾何模型
1710‧‧‧資料
1720‧‧‧製程
1730‧‧‧經模擬光學資訊
1800‧‧‧第三結構
1900‧‧‧第四結構
2000‧‧‧單位胞元
2010‧‧‧結構/線
2020‧‧‧結構/線
2030‧‧‧錨點
2040‧‧‧相對移位
2050‧‧‧位移
2100‧‧‧單位胞元
2110‧‧‧結構/線
2120‧‧‧結構/線
2130‧‧‧錨點
2140‧‧‧切口/空隙
2150‧‧‧切口/空隙
2160‧‧‧相對移位
2170‧‧‧位移
2180‧‧‧相對移位
2190‧‧‧位移
2192‧‧‧節距
2194‧‧‧節距
2300‧‧‧單位胞元
2310‧‧‧結構/線
2320‧‧‧結構/線
2330‧‧‧切口/空隙
2340‧‧‧錨點
2350‧‧‧相對移位
2360‧‧‧位移
2400‧‧‧單位胞元
2410‧‧‧結構/線
2420‧‧‧結構/線
2430‧‧‧切口/空隙
2440‧‧‧錨點
2450‧‧‧相對移位
2460‧‧‧位移
2500‧‧‧單位胞元
2510‧‧‧結構
2520‧‧‧結構
2530‧‧‧錨點
2540‧‧‧相對移位
2550‧‧‧相對位移
2600‧‧‧單位胞元
2610‧‧‧結構/線
2620‧‧‧結構/線
2630‧‧‧切口
2640‧‧‧切口
2650‧‧‧錨點
2660‧‧‧相對位移
2670‧‧‧相對移位
2680‧‧‧相對位移
2700‧‧‧單位胞元
2710‧‧‧結構/線
2720‧‧‧結構/線
2730‧‧‧結構/線
2740‧‧‧切口
2750‧‧‧切口/錨點
2760‧‧‧相對移位
2770‧‧‧相對位移
2800‧‧‧單位胞元
2810‧‧‧結構/封閉曲線
2820‧‧‧結構/封閉曲線
2830‧‧‧相對移位
2840‧‧‧相對位移
2850‧‧‧相對移位
2860‧‧‧相對位移
2900‧‧‧線特徵/結構
2910‧‧‧線特徵/結構
2920‧‧‧切口特徵/切口
2930‧‧‧切口特徵/切口
2940‧‧‧結構
2950‧‧‧結構
2960‧‧‧單位胞元
2970‧‧‧切口
2980‧‧‧切口
3000‧‧‧線特徵/結構
3010‧‧‧線特徵/結構
3020‧‧‧切口
3030‧‧‧結構
3040‧‧‧結構
3050‧‧‧單位胞元
3060‧‧‧切口
3100‧‧‧步驟
3110‧‧‧步驟
3120‧‧‧步驟
3130‧‧‧步驟
3140‧‧‧步驟
3150‧‧‧步驟
3200‧‧‧電腦系統
3202‧‧‧匯流排
3204‧‧‧處理器
3205‧‧‧處理器
3206‧‧‧主記憶體
3208‧‧‧唯讀記憶體(ROM)
3210‧‧‧儲存器件
3212‧‧‧顯示器
3214‧‧‧輸入器件
3216‧‧‧游標控制件
3218‧‧‧通信介面
3220‧‧‧網路鏈路
3222‧‧‧區域網路
3224‧‧‧主機電腦
3226‧‧‧網際網路服務業者(ISP)
3228‧‧‧網際網路
3230‧‧‧伺服器
AD‧‧‧調整器
AM‧‧‧調整機構
AS‧‧‧對準感測器
B‧‧‧輻射光束
BD‧‧‧光束遞送系統
BK‧‧‧烘烤板
C‧‧‧目標部分
CH‧‧‧冷卻板
CO‧‧‧聚光器
DE‧‧‧顯影器
I‧‧‧照明射線/入射射線
Ii‧‧‧強度
Ii'‧‧‧強度
IF‧‧‧位置感測器
IL‧‧‧照明系統/照明器
IN‧‧‧積光器
I/O1‧‧‧輸入/輸出通口
I/O2‧‧‧輸入/輸出通口
LA‧‧‧微影設備
LACU‧‧‧微影控制單元
LB‧‧‧裝載匣
LC‧‧‧微影製造單元
LS‧‧‧位階感測器
M1‧‧‧圖案化器件對準標記
M2‧‧‧圖案化器件對準標記
MA‧‧‧圖案化器件
MET‧‧‧度量衡系統
MT‧‧‧支撐結構
O‧‧‧光軸/軸線
P1‧‧‧基板對準標記
P2‧‧‧基板對準標記
PM‧‧‧第一定位器
PS‧‧‧投影系統
PU‧‧‧影像處理器及控制器
PW‧‧‧第二定位器
RF‧‧‧參考框架
RO‧‧‧基板處置器或機器人
S‧‧‧照明光點
SC‧‧‧旋塗器
SCS‧‧‧監督控制系統
SO‧‧‧輻射源
T‧‧‧複合度量衡目標/基板目標
TCU‧‧‧塗佈顯影系統控制單元
W‧‧‧基板
WTa‧‧‧基板台
WTb‧‧‧基板台
現在將參考隨附圖式而僅作為實例來描述實施例,在該等圖式中: 圖1示意性地描繪微影設備之實施例; 圖2示意性地描繪微影製造單元或叢集之實施例; 圖3A為用於使用提供某些照明模式之第一對照明孔徑來量測根據一實施例之目標的量測設備之示意圖; 圖3B為用於給定照明方向之目標之繞射光譜的示意性細節; 圖3C為在使用量測設備以用於以繞射為基礎之疊對量測時提供另外照明模式之第二對照明孔徑的示意性說明; 圖3D為在使用量測設備以用於以繞射為基礎之疊對量測時提供另外照明模式的組合第一對孔徑與第二對孔徑之第三對照明孔徑的示意性說明; 圖4示意性地描繪基板上的多重週期性結構(例如,多重光柵)目標之形式及量測光點之輪廓; 圖5示意性地描繪圖3之設備中獲得的圖4之目標之影像; 圖6示意性地描繪實例度量衡設備及度量衡技術; 圖7示意性地描繪實例度量衡設備; 圖8說明度量衡設備之照明光點與度量衡目標之間的關係; 圖9示意性地描繪基於量測資料導出一或多個所關注變數之製程; 圖10A示意性地描繪實例單位胞元、關聯光瞳表示及關聯所導出之光瞳表示; 圖10B示意性地描繪實例單位胞元、關聯光瞳表示及關聯所導出之光瞳表示; 圖10C示意性地描繪包含單位胞元之一或多個實體例項的實例目標; 圖11描繪獲得加權以自經量測輻射判定圖案化製程參數之高階流程; 圖12描繪自經量測輻射判定圖案化製程參數之高階流程; 圖13描繪資料驅動技術之實施例的高階流程; 圖14描繪結合實體幾何模型之資料驅動技術之實施例的高階流程; 圖15描繪結合實體幾何模型之資料驅動技術之實施例的高階流程; 圖16描繪結合實體幾何模型之資料驅動技術之實施例的高階流程; 圖17描繪結合實體幾何模型之資料驅動技術之實施例的高階流程; 圖18示意性地描繪目標之多重疊對單位胞元的實施例; 圖19示意性地描繪目標之多重疊對單位胞元的實施例; 圖20描繪對應於兩個不同疊對之兩個向量的實例曲線圖; 圖21A及圖21B示意性地描繪非產品目標設計之實例; 圖22A、圖22B、圖22C及圖22D示意性地描繪非產品目標設計之實例; 圖23A及圖23B示意性地描繪非產品目標設計之實例; 圖24A及圖24B示意性地描繪非產品目標設計之實例; 圖25A及圖25B示意性地描繪非產品目標設計之實例; 圖26A、圖26B及圖26C示意性地描繪非產品目標設計之實例; 圖27A及圖27B示意性地描繪非產品目標設計之實例; 圖28A、圖28B及圖28C示意性地描繪非產品目標設計之實例; 圖29A示意性地描繪器件圖案特徵之實例; 圖29B、圖29C、圖29D及圖29E示意性地描繪器件圖案化製程之步驟的實例; 圖29F示意性地描繪對應於圖29B及圖29D之步驟的非產品目標設計之結構之實例; 圖29G示意性地描繪自圖29F之結構產生之非產品目標設計的實例; 圖30A示意性地描繪器件圖案特徵之實例; 圖30B示意性地描繪非產品目標設計之結構的實例; 圖30C示意性地描繪自圖30B之結構產生之非產品目標設計的實例; 圖31對應於設計非產品目標設計之方法的實施例;及 圖32示意性地描繪可實施本發明之實施例之電腦系統。
0‧‧‧零階射線/繞射射線
+1(N)‧‧‧+1繞射射線
-1(S)‧‧‧-1繞射射線
11‧‧‧輸出
12‧‧‧透鏡
13‧‧‧孔徑板
13N‧‧‧孔徑板
13S‧‧‧孔徑板
14‧‧‧透鏡
15‧‧‧稜鏡
16‧‧‧物鏡/透鏡
17‧‧‧光束分裂器
18‧‧‧光學系統
19‧‧‧第一感測器
20‧‧‧光學系統
21‧‧‧孔徑光闌
22‧‧‧光學系統
23‧‧‧感測器
O‧‧‧光軸/軸線
PU‧‧‧影像處理器及控制器
T‧‧‧複合度量衡目標/基板目標
W‧‧‧基板

Claims (25)

  1. 一種判定一圖案化製程之疊對之方法,該方法包含: 運用一輻射光束照明一基板使得該基板上之一光束光點填充有一單位胞元之一或多個實體例項,該單位胞元在疊對之一標稱值下具有幾何對稱性; 使用一偵測器主要偵測由該單位胞元之該一或多個實體例項重新導向之零階輻射;及 藉由一硬體電腦系統自該偵測到輻射之一光學特性之值判定該單位胞元之疊對之一非標稱值。
  2. 如請求項1之方法,其中相比於來自該偵測到輻射之具有對疊對之較低敏感度的其他像素之光學特性值,來自該偵測到輻射之具有對疊對之較大敏感度的像素之光學特性值提供對判定疊對之該非標稱值的一較大貢獻。
  3. 如請求項1或請求項2之方法,其中該光學特性之該等值形成一光瞳表示。
  4. 如請求項1或請求項2之方法,其中處理該光學特性之該等值以減去橫越一對稱軸線之光學特性值,以便縮減或消除該偵測到輻射之一對稱光學特性分佈之該等光學特性值。
  5. 如請求項1或請求項2之方法,其中使用針對該偵測到輻射之複數個像素的用於每一像素之一光學特性值乘以用於彼像素之一關聯加權之一求和來判定疊對之該非標稱值。
  6. 如請求項1或請求項2之方法,其中該光學特性為強度及/或相位。
  7. 如請求項1或請求項2之方法,其中該單位胞元之該一或多個實體例項為一器件結構。
  8. 如請求項1或請求項2之方法,其中該單位胞元之該一或多個實體例項為包含一器件結構的一基板晶粒內之一非器件結構。
  9. 如請求項1或請求項2之方法,其中在用以產生該單位胞元之該一或多個實體例項之一蝕刻製程之後偵測該輻射。
  10. 如請求項1或請求項2之方法,其進一步包含與判定一第二疊對分離地自該等光學特性值判定一第一疊對之一值,該第二疊對亦可獲得自該等相同光學特性值,其中該第一疊對在與該第二疊對不同之一方向上或在與該第二疊對不同的該單位胞元之部分之一組合之間。
  11. 一種判定一圖案化製程之一參數之方法,該方法包含: 獲得由一結構重新導向之輻射之一偵測到光瞳表示,該結構在一標稱實體組態下具有幾何對稱性,其中該結構之不同於該標稱實體組態的一實體組態造成該光瞳表示中之一不對稱光學特性分佈; 處理該光瞳表示以減去橫越一對稱軸線之光學特性值,以便縮減或消除該光瞳表示中之一對稱光學特性分佈之該等光學特性值;及 藉由一硬體電腦系統基於來自該經處理光瞳表示之光學特性值判定該圖案化製程參數之一值。
  12. 如請求項11之方法,其中該圖案化製程參數為疊對,且該不同實體組態為該結構之至少部分相對於該結構之另一部分之一移位。
  13. 如請求項11或請求項12之方法,其中該光瞳表示主要具有零階輻射。
  14. 如請求項11或請求項12之方法,其中相比於來自該偵測到輻射之具有對該不同實體組態之較低敏感度的其他像素之光學特性值,來自該經處理光瞳表示之具有對該不同實體組態之較大敏感度的像素之光學特性值提供對判定該圖案化製程參數之該值的一較大貢獻。
  15. 如請求項11或請求項12之方法,其中使用針對該光瞳表示之複數個像素的用於每一像素之一光學特性值乘以用於彼像素之一關聯加權之一求和來判定該圖案化製程參數之該值。
  16. 如請求項11或請求項12之方法,其中該光學特性為強度及/或相位。
  17. 如請求項11或請求項12之方法,其中該結構為一器件結構。
  18. 如請求項11或請求項12之方法,其中該結構為包含一器件結構的一基板晶粒內之一非器件結構。
  19. 如請求項11或請求項12之方法,其中在用以產生該結構之一蝕刻製程之後偵測該輻射。
  20. 如請求項11或請求項12之方法,其中該判定包含與判定用於該結構之一第二圖案化製程參數之一值分離地自該等光學特性值判定該結構一第一圖案化製程參數之一值,該第二圖案化製程參數之該值亦可獲得自該等相同光學特性值,其中該第一圖案化製程參數在與該第二圖案化製程參數不同之一方向上或在與該第二圖案化製程參數不同的該結構之部分之一組合之間。
  21. 一種用於量測一圖案化製程之一物件之度量衡設備,該度量衡設備經組態以執行如請求項1至20中任一項之方法。
  22. 一種電腦程式產品,其包含經記錄有指令之一電腦非暫時性可讀媒體,該等指令在藉由一電腦執行時實施如請求項1至20中任一項之方法。
  23. 一種系統,其包含: 一硬體處理器系統;及 一非暫時性電腦可讀儲存媒體,其經組態以儲存機器可讀指令,其中該等機器可讀指令在經執行時致使該硬體處理器系統執行如請求項1至20中任一項之方法。
  24. 一種系統,其包含: 一度量衡設備,其經組態以將一輻射光束提供至一物件表面上且偵測由該物件表面上之結構重新導向之輻射;及 如請求項22之電腦程式產品。
  25. 如請求項24之系統,其進一步包含一微影設備,該微影設備包含:一支撐結構,其經組態以固持用以調變一輻射光束之一圖案化器件;及一投影光學系統,其經配置以將該經調變輻射光束投影至一輻射敏感基板上,其中該物件為該基板。
TW106106740A 2016-03-01 2017-03-01 用於判定圖案化製程之疊對之方法、用於判定圖案化製程參數的方法和系統、用於量測圖案化製程之物件之度量衡設備、及電腦程式產品 TWI727003B (zh)

Applications Claiming Priority (12)

Application Number Priority Date Filing Date Title
US201662301880P 2016-03-01 2016-03-01
US62/301,880 2016-03-01
US201662435649P 2016-12-16 2016-12-16
US201662435670P 2016-12-16 2016-12-16
US201662435662P 2016-12-16 2016-12-16
US201662435630P 2016-12-16 2016-12-16
US62/435,630 2016-12-16
US62/435,649 2016-12-16
US62/435,670 2016-12-16
US62/435,662 2016-12-16
US201762458932P 2017-02-14 2017-02-14
US62/458,932 2017-02-14

Publications (2)

Publication Number Publication Date
TW201743143A true TW201743143A (zh) 2017-12-16
TWI727003B TWI727003B (zh) 2021-05-11

Family

ID=58192291

Family Applications (5)

Application Number Title Priority Date Filing Date
TW106106741A TWI707198B (zh) 2016-03-01 2017-03-01 用於判定疊對之方法、檢測裝置與系統及電腦程式產品
TW106106738A TWI662381B (zh) 2016-03-01 2017-03-01 用於組態參數判定製程之方法和系統、用於量測圖案化製程之物件之度量衡設備、及電腦程式產品
TW106106739A TWI659277B (zh) 2016-03-01 2017-03-01 判定圖案化製程參數的方法和系統、判定圖案化製程之疊對之方法、量測圖案化製程之物件之度量衡設備及電腦程式產品
TW106106742A TWI651598B (zh) 2016-03-01 2017-03-01 判定圖案化製程參數之方法與裝置
TW106106740A TWI727003B (zh) 2016-03-01 2017-03-01 用於判定圖案化製程之疊對之方法、用於判定圖案化製程參數的方法和系統、用於量測圖案化製程之物件之度量衡設備、及電腦程式產品

Family Applications Before (4)

Application Number Title Priority Date Filing Date
TW106106741A TWI707198B (zh) 2016-03-01 2017-03-01 用於判定疊對之方法、檢測裝置與系統及電腦程式產品
TW106106738A TWI662381B (zh) 2016-03-01 2017-03-01 用於組態參數判定製程之方法和系統、用於量測圖案化製程之物件之度量衡設備、及電腦程式產品
TW106106739A TWI659277B (zh) 2016-03-01 2017-03-01 判定圖案化製程參數的方法和系統、判定圖案化製程之疊對之方法、量測圖案化製程之物件之度量衡設備及電腦程式產品
TW106106742A TWI651598B (zh) 2016-03-01 2017-03-01 判定圖案化製程參數之方法與裝置

Country Status (7)

Country Link
US (12) US10811323B2 (zh)
JP (5) JP6782784B2 (zh)
KR (5) KR102184584B1 (zh)
CN (5) CN109073997B (zh)
IL (5) IL261427B (zh)
TW (5) TWI707198B (zh)
WO (5) WO2017148986A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI788889B (zh) * 2018-12-31 2023-01-01 荷蘭商Asml荷蘭公司 用於判定圖案化製程之光學特性之分量的方法及相關聯電腦程式產品
US11756182B2 (en) 2018-07-13 2023-09-12 Asml Netherlands B.V. Pattern grouping method based on machine learning

Families Citing this family (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170146465A1 (en) * 2014-06-19 2017-05-25 Nova Measuring Instruments Ltd. Test structure design for metrology measurements in patterned samples
NL2017300A (en) 2015-08-27 2017-03-01 Asml Netherlands Bv Method and apparatus for measuring a parameter of a lithographic process, substrate and patterning devices for use in the method
US10811323B2 (en) * 2016-03-01 2020-10-20 Asml Netherlands B.V. Method and apparatus to determine a patterning process parameter
US10068323B2 (en) * 2016-04-10 2018-09-04 Kla-Tencor Corporation Aware system, method and computer program product for detecting overlay-related defects in multi-patterned fabricated devices
US11016396B2 (en) * 2017-05-04 2021-05-25 Asml Holding N.V Method, substrate and apparatus to measure performance of optical metrology
US10539770B2 (en) * 2017-06-19 2020-01-21 Suss Microtec Photonic Systems Inc. Magnification compensation and/or beam steering in optical systems
US10547394B2 (en) * 2017-07-13 2020-01-28 Benjamin J. Egg Quad band relay common data link system and method
WO2019015995A1 (en) * 2017-07-18 2019-01-24 Asml Netherlands B.V. METHODS AND APPARATUS FOR MEASURING A PARAMETER OF A CHARACTERISTIC MANUFACTURED ON A SEMICONDUCTOR SUBSTRATE
EP3435161A1 (en) * 2017-07-24 2019-01-30 ASML Netherlands B.V. Determining an edge roughness parameter of a periodic structure
KR102352673B1 (ko) * 2017-08-07 2022-01-17 에이에스엠엘 네델란즈 비.브이. 컴퓨테이션 계측법
EP3444674A1 (en) 2017-08-14 2019-02-20 ASML Netherlands B.V. Method and apparatus to determine a patterning process parameter
US11248905B2 (en) * 2017-08-16 2022-02-15 Kla-Tencor Corporation Machine learning in metrology measurements
EP3454124A1 (en) 2017-09-07 2019-03-13 ASML Netherlands B.V. Method to determine a patterning process parameter
EP3457212A1 (en) * 2017-09-18 2019-03-20 ASML Netherlands B.V. Method of controlling a patterning process, device manufacturing method
EP3477391A1 (en) * 2017-10-26 2019-05-01 ASML Netherlands B.V. Method of determining a value of a parameter of interest, method of cleaning a signal containing information about a parameter of interest
WO2019081211A1 (en) * 2017-10-26 2019-05-02 Asml Netherlands B.V. METHOD FOR DETERMINING A VALUE OF A PARAMETER OF INTEREST, METHOD FOR CLEANING A SIGNAL CONTAINING INFORMATION REGARDING THIS PARAMETER OF INTEREST
WO2019086221A1 (en) 2017-10-31 2019-05-09 Asml Netherlands B.V. Metrology apparatus, method of measuring a structure, device manufacturing method
KR20240037383A (ko) * 2017-11-07 2024-03-21 에이에스엠엘 네델란즈 비.브이. 관심 특성을 결정하는 계측 장치 및 방법
EP3489756A1 (en) * 2017-11-23 2019-05-29 ASML Netherlands B.V. Method and apparatus to determine a patterning process parameter
US10962888B2 (en) 2017-11-29 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd Structures for acoustic wave overlay error determination using periodic structures
EP3492985A1 (en) 2017-12-04 2019-06-05 ASML Netherlands B.V. Method of determining information about a patterning process, method of reducing error in measurement data, method of calibrating a metrology process, method of selecting metrology targets
US11085754B2 (en) 2017-12-12 2021-08-10 Kla Corporation Enhancing metrology target information content
US11422472B2 (en) * 2017-12-22 2022-08-23 Asml Netherlands B.V. Patterning process improvement involving optical aberration
JP7186230B2 (ja) 2017-12-28 2022-12-08 エーエスエムエル ネザーランズ ビー.ブイ. 装置の構成要素から汚染粒子を除去する装置および方法
WO2019129468A1 (en) 2017-12-29 2019-07-04 Asml Netherlands B.V. Method of processing data, method of obtaining calibration data
WO2019129485A1 (en) 2017-12-29 2019-07-04 Asml Netherlands B.V. Method and device for determining adjustments to sensitivity parameters
EP3518040A1 (en) 2018-01-30 2019-07-31 ASML Netherlands B.V. A measurement apparatus and a method for determining a substrate grid
EP3521929A1 (en) 2018-02-02 2019-08-07 ASML Netherlands B.V. Method of determining an optimal focus height for a metrology apparatus
EP3521930A1 (en) * 2018-02-02 2019-08-07 ASML Netherlands B.V. Method of optimizing a metrology process
KR102658587B1 (ko) * 2018-03-19 2024-04-17 케이엘에이 코포레이션 다수의 파장들을 사용한 오버레이 측정
US11232249B2 (en) 2018-03-19 2022-01-25 Asml Netherlands B.V. Method for determining curvilinear patterns for patterning device
JP6964031B2 (ja) * 2018-03-27 2021-11-10 Tasmit株式会社 パターンエッジ検出方法
EP3557327A1 (en) * 2018-04-18 2019-10-23 ASML Netherlands B.V. Method of determining a value of a parameter of interest of a target formed by a patterning process
CN108829079B (zh) * 2018-04-26 2020-09-01 安徽江淮汽车集团股份有限公司 一种tcu自动刷写系统及方法
US10866508B2 (en) * 2018-05-18 2020-12-15 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing photomask and semiconductor manufacturing method thereof
DE102018207880A1 (de) * 2018-05-18 2019-11-21 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zum Bewerten einer unbekannten Auswirkung von Defekten eines Elements eines Photolithographieprozesses
US10579764B2 (en) 2018-06-06 2020-03-03 International Business Machines Corporation Co-modeling post-lithography critical dimensions and post-etch critical dimensions with multi-task neural networks
US10359706B1 (en) * 2018-06-11 2019-07-23 Kla-Tencor Corporation Integrated scanning electron microscopy and optical analysis techniques for advanced process control
KR20210013605A (ko) 2018-06-19 2021-02-04 에이에스엠엘 네델란즈 비.브이. 제조 장치 및 연계된 장치를 제어하는 방법
TWI791860B (zh) * 2018-06-29 2023-02-11 日商荏原製作所股份有限公司 凸塊高度量測裝置、基板處理裝置、凸塊高度量測方法、及已儲存用於使電腦執行控制凸塊高度量測裝置之方法的程式的不揮發性記憶媒體
KR20210036962A (ko) * 2018-08-28 2021-04-05 에이에스엠엘 네델란즈 비.브이. 최적의 계측 안내 시스템들 및 방법들
CN112840432A (zh) * 2018-09-13 2021-05-25 Asml荷兰有限公司 用于监测束轮廓和功率的方法和装置
EP3640735A1 (en) 2018-10-18 2020-04-22 ASML Netherlands B.V. Methods and apparatus for inspection of a structure and associated apparatuses
EP3647871A1 (en) 2018-10-31 2020-05-06 ASML Netherlands B.V. Method of determing a value of a parameter of interest of a patterning process, device manufacturing method
EP3650940A1 (en) * 2018-11-09 2020-05-13 ASML Netherlands B.V. A method in the manufacturing process of a device, a non-transitory computer-readable medium and a system configured to perform the method
EP3654103A1 (en) * 2018-11-14 2020-05-20 ASML Netherlands B.V. Method for obtaining training data for training a model of a semicondcutor manufacturing process
EP3870935A4 (en) * 2018-11-21 2022-08-31 Kla-Tencor Corporation GRAY SCATTEROMETRIC OVERLAY TARGETS FOR SINGLE CELLS AND THEIR MEASUREMENT USING DIFFERENT ILLUMINATION PARAMETERS
JP7431824B2 (ja) 2018-11-21 2024-02-15 ケーエルエー コーポレイション スキャトロメトリオーバーレイ(scol)測定方法及びscol測定システム
EP3657257A1 (en) * 2018-11-26 2020-05-27 ASML Netherlands B.V. Method for of measuring a focus parameter relating to a structure formed using a lithographic process
WO2020114686A1 (en) * 2018-12-03 2020-06-11 Asml Netherlands B.V. Method to predict yield of a semiconductor manufacturing process
EP3671347A1 (en) * 2018-12-19 2020-06-24 ASML Netherlands B.V. Method for controling a manufacturing process and associated apparatuses
US11635699B2 (en) * 2018-12-28 2023-04-25 Asml Netherlands B.V. Determining pattern ranking based on measurement feedback from printed substrate
KR20210096659A (ko) * 2018-12-31 2021-08-05 에이에스엠엘 네델란즈 비.브이. 계측 방법
WO2020141071A1 (en) * 2018-12-31 2020-07-09 Asml Netherlands B.V. Method for calibrating a scanning charged particle microscope
CN111611764B (zh) * 2019-02-22 2024-02-27 深圳晶源信息技术有限公司 一种光瞳评价方法及其系统、电子装置
KR102199314B1 (ko) * 2019-03-07 2021-01-06 (주) 인텍플러스 디스플레이 패널 검사장치
WO2021001102A1 (en) * 2019-07-02 2021-01-07 Asml Netherlands B.V. Metrology method and associated metrology and lithographic apparatuses
CN110398768B (zh) * 2019-07-15 2020-11-17 华中科技大学 一种基于像素电离室的束斑动态监测方法及系统
US11308606B2 (en) 2019-08-16 2022-04-19 Kla Corporation Design-assisted inspection for DRAM and 3D NAND devices
US11003164B2 (en) * 2019-08-30 2021-05-11 Micron Technology, Inc. Methods for aligning a physical layer to a pattern formed via multi-patterning, and associated systems
JP7310466B2 (ja) * 2019-09-10 2023-07-19 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム評価方法
US11182892B2 (en) 2019-09-16 2021-11-23 Kla Corporation Periodic semiconductor device misregistration metrology system and method
JP7267882B2 (ja) * 2019-09-17 2023-05-02 キオクシア株式会社 基板、パターン、及び計測装置の較正方法
FI20195790A1 (en) * 2019-09-20 2021-03-21 Maillefer Extrusion Oy QUALITY FORECAST BASED ON MACHINE LEARNING OF MANUFACTURED FIBER OPTIC CABLE
WO2021083704A1 (en) * 2019-11-01 2021-05-06 Asml Netherlands B.V. Metrology method and lithographic apparatuses
US11360398B2 (en) * 2019-11-14 2022-06-14 Kla Corporation System and method for tilt calculation based on overlay metrology measurements
US11152270B2 (en) 2019-12-01 2021-10-19 Winbond Electronics Corp. Monitoring structure for critical dimension of lithography process
IL279727A (en) 2019-12-24 2021-06-30 Asml Netherlands Bv Method of determining information about pattern procedure, method of error reduction in measurement data, metrology process calibration method, method of selecting metrology targets
US11475303B2 (en) * 2020-04-14 2022-10-18 Microsoft Technology Licensing, Llc Spread neural networks
CN111538213B (zh) * 2020-04-27 2021-04-27 湖南大学 一种基于神经网络的电子束邻近效应矫正方法
US11436579B2 (en) 2020-05-04 2022-09-06 Bank Of America Corporation Performing enhanced deposit item processing using cognitive automation tools
CN111697088B (zh) * 2020-05-19 2022-03-01 苏州大学 一种图案化硅结构的制备方法及硅基光伏电池
KR102659861B1 (ko) * 2020-06-22 2024-04-24 주식회사 히타치하이테크 치수 계측 장치, 반도체 제조 장치 및 반도체 장치 제조 시스템
US11967058B2 (en) 2020-06-24 2024-04-23 Kla Corporation Semiconductor overlay measurements using machine learning
US11164307B1 (en) * 2020-07-21 2021-11-02 Kla Corporation Misregistration metrology by using fringe Moiré and optical Moiré effects
CN112132732B (zh) * 2020-09-10 2023-06-02 宁波大学科学技术学院 立体图像的像素预测方法、可逆信息隐藏提取方法、终端及存储介质
CN114911139A (zh) * 2021-02-09 2022-08-16 普思半导体股份有限公司 微影图案叠对校正方法及系统与光罩图案产生方法
KR20230152742A (ko) * 2021-03-10 2023-11-03 에이에스엠엘 네델란즈 비.브이. 정렬 방법 및 연관된 정렬 및 리소그래피 장치
IL308126A (en) 2021-05-06 2023-12-01 Asml Netherlands Bv A method for determining a stochastic index related to a lithographic process
EP4086703A1 (en) 2021-05-06 2022-11-09 ASML Netherlands B.V. Method for determining a stochastic metric relating to a lithographic process
IL310738A (en) * 2021-08-26 2024-04-01 Asml Netherlands Bv A method for determining a measuring recipe and related devices
US20230175835A1 (en) * 2021-12-02 2023-06-08 Micron Technology, Inc. Apparatuses and methods for diffraction base overlay measurements

Family Cites Families (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5617340A (en) * 1994-04-28 1997-04-01 The United States Of America As Represented By The Secretary Of Commerce Method and reference standards for measuring overlay in multilayer structures, and for calibrating imaging equipment as used in semiconductor manufacturing
US5699282A (en) 1994-04-28 1997-12-16 The United States Of America As Represented By The Secretary Of Commerce Methods and test structures for measuring overlay in multilayer devices
EP0721608B1 (en) * 1994-08-02 2003-10-01 Koninklijke Philips Electronics N.V. Method of repetitively imaging a mask pattern on a substrate
US5701013A (en) * 1996-06-07 1997-12-23 Mosel Viltelic, Inc. Wafer metrology pattern integrating both overlay and critical dimension features for SEM or AFM measurements
US6622059B1 (en) * 2000-04-13 2003-09-16 Advanced Micro Devices, Inc. Automated process monitoring and analysis system for semiconductor processing
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
WO2002019415A1 (en) * 2000-08-30 2002-03-07 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
DE10043315C1 (de) * 2000-09-02 2002-06-20 Zeiss Carl Projektionsbelichtungsanlage
US6555274B1 (en) 2001-02-01 2003-04-29 Jongwook Kye Pupil filtering for a lithographic tool
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US6673638B1 (en) * 2001-11-14 2004-01-06 Kla-Tencor Corporation Method and apparatus for the production of process sensitive lithographic features
TW569368B (en) 2001-11-14 2004-01-01 Tokyo Electron Ltd Substrate inspecting apparatus, coating and developing apparatus, and substrate inspecting method
US6571485B1 (en) 2001-11-30 2003-06-03 United Microelectronics Corp. Structure of an overlay mark and its dosimetry application
DE10224164B4 (de) * 2002-05-31 2007-05-10 Advanced Micro Devices, Inc., Sunnyvale Eine zweidimensionale Struktur zum Bestimmen einer Überlagerungsgenauigkeit mittels Streuungsmessung
US7440105B2 (en) * 2002-12-05 2008-10-21 Kla-Tencor Technologies Corporation Continuously varying offset mark and methods of determining overlay
US7030966B2 (en) 2003-02-11 2006-04-18 Asml Netherlands B.V. Lithographic apparatus and method for optimizing an illumination source using photolithographic simulations
WO2004090978A2 (en) * 2003-04-08 2004-10-21 Aoti Operating Company, Inc. Overlay metrology mark
US7425396B2 (en) * 2003-09-30 2008-09-16 Infineon Technologies Ag Method for reducing an overlay error and measurement mark for carrying out the same
US7180593B2 (en) * 2003-11-05 2007-02-20 Macronix International Co., Ltd. Overlay mark for aligning different layers on a semiconductor wafer
KR101244103B1 (ko) 2004-01-16 2013-03-25 칼 짜이스 에스엠테 게엠베하 광 영상화 시스템의 파면 측정 장치 및 방법 그리고마이크로리소그래피 투사 노출기
JP4734261B2 (ja) * 2004-02-18 2011-07-27 ケーエルエー−テンカー コーポレイション 連続変化するオフセットマークと、オーバレイ決定方法
US20050185174A1 (en) 2004-02-23 2005-08-25 Asml Netherlands B.V. Method to determine the value of process parameters based on scatterometry data
US7313769B1 (en) * 2004-03-01 2007-12-25 Advanced Micro Devices, Inc. Optimizing an integrated circuit layout by taking into consideration layout interactions as well as extra manufacturability margin
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7534552B2 (en) 2004-12-23 2009-05-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7403265B2 (en) 2005-03-30 2008-07-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing data filtering
WO2007036055A1 (en) 2005-09-30 2007-04-05 Simon Fraser University Methods and apparatus for detecting defects in imaging arrays by image analysis
US7525642B2 (en) 2006-02-23 2009-04-28 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7532305B2 (en) 2006-03-28 2009-05-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using overlay measurement
US7391513B2 (en) 2006-03-29 2008-06-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using overlay measurement quality indication
JP2007324371A (ja) 2006-06-01 2007-12-13 Ebara Corp オーバーレイ検査用オーバーレイマーク及びレンズ収差調査用マーク
WO2008020646A1 (fr) 2006-08-18 2008-02-21 Nippon Telegraph And Telephone Corporation Commutateur optique, procédé de commande de commutateur optique et système de communication
US7913196B2 (en) * 2007-05-23 2011-03-22 United Microelectronics Corp. Method of verifying a layout pattern
US7911612B2 (en) 2007-06-13 2011-03-22 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US7940386B1 (en) 2007-07-13 2011-05-10 Kla-Tencor Corporation Scatterometry target employing non-periodic defect features to enhance or optimize target sensitivity to a parameter of interest
US7460237B1 (en) 2007-08-02 2008-12-02 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
DE102007046850B4 (de) 2007-09-29 2014-05-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zum Bestimmen einer Überlagerungsgenauigkeit
NL1036032A1 (nl) * 2007-10-10 2009-04-15 Asml Netherlands Bv Overlay measurement on double patterning substrate.
NL1036123A1 (nl) 2007-11-13 2009-05-14 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
CN101679985B (zh) 2007-12-17 2012-11-14 三得利控股株式会社 突变ilv5基因及其用途
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036459A1 (nl) 2008-02-13 2009-08-14 Asml Netherlands Bv Method and apparatus for angular-resolved spectroscopic lithography characterization.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
DE102008042356A1 (de) 2008-09-25 2010-04-08 Carl Zeiss Smt Ag Projektionsbelichtungsanlage mit optimierter Justagemöglichkeit
US20110295555A1 (en) 2008-09-30 2011-12-01 Asml Netherlands B.V. Method and System for Determining a Lithographic Process Parameter
NL2003654A (en) 2008-11-06 2010-05-10 Brion Tech Inc Methods and system for lithography calibration.
NL2004094A (en) 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
CN102422226B (zh) 2009-05-11 2014-04-09 Asml荷兰有限公司 确定重叠误差的方法
US8356261B1 (en) 2009-07-02 2013-01-15 Asml Netherlands B.V. Determining the gradient and hessian of the image log slope for design rule optimization for accelerating source mask optimization (SMO)
KR101461457B1 (ko) 2009-07-31 2014-11-13 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치, 리소그래피 시스템, 및 리소그래피 처리 셀
US8189202B2 (en) 2009-08-04 2012-05-29 Zygo Corporation Interferometer for determining overlay errors
CN102483582B (zh) 2009-08-24 2016-01-20 Asml荷兰有限公司 量测方法和设备、光刻设备、光刻处理单元和包括量测目标的衬底
NL2006700A (en) 2010-06-04 2011-12-06 Asml Netherlands Bv Method and apparatus for measuring a structure on a substrate, computer program products for implementing such methods & apparatus.
CN103003754B (zh) 2010-07-19 2015-03-11 Asml荷兰有限公司 用于确定重叠误差的方法和设备
WO2012062501A1 (en) 2010-11-12 2012-05-18 Asml Netherlands B.V. Metrology method and apparatus, and device manufacturing method
US9140998B2 (en) 2010-11-12 2015-09-22 Asml Netherlands B.V. Metrology method and inspection apparatus, lithographic system and device manufacturing method
US9588439B1 (en) 2010-12-21 2017-03-07 Asml Netherlands B.V. Information matrix creation and calibration test pattern selection based on computational lithography model parameters
US9223227B2 (en) * 2011-02-11 2015-12-29 Asml Netherlands B.V. Inspection apparatus and method, lithographic apparatus, lithographic processing cell and device manufacturing method
US8539394B2 (en) 2011-03-02 2013-09-17 Carl Zeiss Sms Ltd. Method and apparatus for minimizing overlay errors in lithography
NL2008957A (en) * 2011-07-08 2013-01-09 Asml Netherlands Bv Methods and systems for pattern design with tailored response to wavefront aberration.
NL2009004A (en) 2011-07-20 2013-01-22 Asml Netherlands Bv Inspection method and apparatus, and lithographic apparatus.
NL2009723A (en) 2011-11-30 2013-06-03 Asml Netherlands Bv Inspection method and apparatus, and corresponding lithographic apparatus.
US10107621B2 (en) 2012-02-15 2018-10-23 Nanometrics Incorporated Image based overlay measurement with finite gratings
KR101664962B1 (ko) * 2012-05-29 2016-10-11 에이에스엠엘 네델란즈 비.브이. 오버레이를 보정하기 위한 정렬 마크들의 유용도를 결정하는 방법, 및 리소그래피 장치 및 오버레이 측정 시스템의 조합
CN103472004B (zh) * 2012-06-08 2016-04-20 上海微电子装备有限公司 一种光刻工艺参数测量装置及方法
JP6133980B2 (ja) * 2012-07-05 2017-05-24 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィのためのメトロロジ
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
WO2014194095A1 (en) 2013-05-30 2014-12-04 Kla-Tencor Corporation Combined imaging and scatterometry metrology
KR101830850B1 (ko) * 2013-07-03 2018-02-21 에이에스엠엘 네델란즈 비.브이. 검사 장치 및 방법, 리소그래피 장치, 리소그래피 처리 셀 및 디바이스 제조 방법
WO2015009619A1 (en) 2013-07-15 2015-01-22 Kla-Tencor Corporation Producing resist layers using fine segmentation
NL2013210A (en) 2013-08-07 2015-02-10 Asml Netherlands Bv Metrology method and apparatus, lithographic system and device manufacturing method.
WO2015080858A1 (en) 2013-12-01 2015-06-04 Kla-Tencor Corporation Target element types for process parameter metrology
US9772562B2 (en) 2013-12-05 2017-09-26 Asml Netherlands B.V. Method and apparatus for measuring a structure on a substrate, models for error correction, computer program products for implementing such methods and apparatus
WO2015090838A1 (en) 2013-12-19 2015-06-25 Asml Netherlands B.V. Inspection methods, substrates having metrology targets, lithographic system and device manufacturing method
KR102246286B1 (ko) 2013-12-30 2021-04-30 에이에스엠엘 네델란즈 비.브이. 메트롤로지 타겟의 디자인을 위한 방법 및 장치
WO2015101461A2 (en) * 2013-12-30 2015-07-09 Asml Netherlands B.V. Method and apparatus for design of a metrology target
JP2015170764A (ja) 2014-03-07 2015-09-28 株式会社東芝 収差量算出方法および位置ずれ量算出方法
EP2927747A3 (en) 2014-03-31 2016-03-09 IMEC vzw Quality assessment of directed self-assembling method
NL2013293A (en) 2014-06-02 2016-03-31 Asml Netherlands Bv Method of designing metrology targets, substrates having metrology targets, method of measuring overlay, and device manufacturing method.
US20170146465A1 (en) * 2014-06-19 2017-05-25 Nova Measuring Instruments Ltd. Test structure design for metrology measurements in patterned samples
CN105278253B (zh) * 2014-07-11 2018-01-19 上海微电子装备(集团)股份有限公司 套刻误差测量装置及方法
WO2016010776A1 (en) * 2014-07-13 2016-01-21 Kla-Tencor Corporation Metrology using overlay and yield critical patterns
US10359369B2 (en) 2014-08-07 2019-07-23 Nova Measuring Instruments Ltd. Metrology test structure design and measurement scheme for measuring in patterned structures
IL252666B (en) 2014-12-10 2022-06-01 Nova Ltd Test structure for use in metrology measurements of molds
WO2016123552A1 (en) * 2015-01-30 2016-08-04 Kla-Tencor Corporation Device metrology targets and methods
CN107430349B (zh) * 2015-03-05 2020-03-10 Asml荷兰有限公司 用于检查及量测的方法和设备
CN105511235B (zh) 2016-02-15 2017-08-08 京东方科技集团股份有限公司 套刻键标、形成套刻键标的方法和测量套刻精度的方法
US10811323B2 (en) * 2016-03-01 2020-10-20 Asml Netherlands B.V. Method and apparatus to determine a patterning process parameter

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11756182B2 (en) 2018-07-13 2023-09-12 Asml Netherlands B.V. Pattern grouping method based on machine learning
TWI788889B (zh) * 2018-12-31 2023-01-01 荷蘭商Asml荷蘭公司 用於判定圖案化製程之光學特性之分量的方法及相關聯電腦程式產品
US11561478B2 (en) 2018-12-31 2023-01-24 Asml Netherlands B.V. Determining subset of components of an optical characteristic of patterning apparatus

Also Published As

Publication number Publication date
JP2019508741A (ja) 2019-03-28
US10453758B2 (en) 2019-10-22
JP2019508742A (ja) 2019-03-28
TWI727003B (zh) 2021-05-11
IL261393B (en) 2022-05-01
US20240014078A1 (en) 2024-01-11
TWI662381B (zh) 2019-06-11
IL261393A (en) 2018-10-31
WO2017149003A1 (en) 2017-09-08
US20200013685A1 (en) 2020-01-09
JP6782784B2 (ja) 2020-11-11
KR102184584B1 (ko) 2020-12-02
KR20180116388A (ko) 2018-10-24
CN109073999A (zh) 2018-12-21
CN109073996B (zh) 2020-12-01
US10811323B2 (en) 2020-10-20
US20170256465A1 (en) 2017-09-07
IL261427B (en) 2022-07-01
US20170255736A1 (en) 2017-09-07
US20210335678A1 (en) 2021-10-28
JP6839718B2 (ja) 2021-03-10
WO2017148996A1 (en) 2017-09-08
CN109073995A (zh) 2018-12-21
US10546790B2 (en) 2020-01-28
US11710668B2 (en) 2023-07-25
JP6824999B2 (ja) 2021-02-03
TWI651598B (zh) 2019-02-21
IL261335A (en) 2018-10-31
TWI659277B (zh) 2019-05-11
US11101185B2 (en) 2021-08-24
IL261376B (en) 2021-08-31
WO2017148982A1 (en) 2017-09-08
CN109073998B (zh) 2021-10-26
US20170255112A1 (en) 2017-09-07
US20200126872A1 (en) 2020-04-23
US10615084B2 (en) 2020-04-07
US20210384086A1 (en) 2021-12-09
US11728224B2 (en) 2023-08-15
KR20180118707A (ko) 2018-10-31
TW201743141A (zh) 2017-12-16
JP2019512110A (ja) 2019-05-09
KR102151012B1 (ko) 2020-09-03
US20210035871A1 (en) 2021-02-04
KR102182415B1 (ko) 2020-11-25
TW201743142A (zh) 2017-12-16
US11101184B2 (en) 2021-08-24
KR20180116384A (ko) 2018-10-24
IL261427A (en) 2018-10-31
CN109073997A (zh) 2018-12-21
JP6765435B2 (ja) 2020-10-07
US20200185281A1 (en) 2020-06-11
US20170255738A1 (en) 2017-09-07
IL261335B (en) 2021-05-31
KR102184641B1 (ko) 2020-12-01
JP6707657B2 (ja) 2020-06-10
CN109073999B (zh) 2021-08-24
JP2019508744A (ja) 2019-03-28
CN109073998A (zh) 2018-12-21
CN109073997B (zh) 2021-12-07
US11145557B2 (en) 2021-10-12
IL261376A (en) 2018-10-31
IL261426A (en) 2018-10-31
JP2019508745A (ja) 2019-03-28
CN109073995B (zh) 2021-03-09
TW201741779A (zh) 2017-12-01
US20170255737A1 (en) 2017-09-07
WO2017148986A1 (en) 2017-09-08
IL261426B (en) 2022-04-01
TW201734632A (zh) 2017-10-01
KR20180118708A (ko) 2018-10-31
US11784098B2 (en) 2023-10-10
CN109073996A (zh) 2018-12-21
TWI707198B (zh) 2020-10-11
WO2017149009A1 (en) 2017-09-08
KR20180116383A (ko) 2018-10-24
KR102182355B1 (ko) 2020-11-25

Similar Documents

Publication Publication Date Title
TWI707198B (zh) 用於判定疊對之方法、檢測裝置與系統及電腦程式產品
TWI694303B (zh) 用於判定圖案化製程參數的方法及裝置
TWI686677B (zh) 用於判定圖案化製程之參數之方法
TWI678599B (zh) 判定圖案化製程參數的方法及設備
TW201940984A (zh) 用於判定敏感度參數之調整之方法與裝置
TW201937297A (zh) 判定關於圖案化製程資訊的方法,減少量測資料中的誤差的方法,校準度量衡製程的方法,選擇度量衡目標的方法
TWI659257B (zh) 控制圖案化程序之方法、器件製造方法
TW201931021A (zh) 度量衡裝置、量測結構之方法及器件製造方法
TW201931020A (zh) 判定所關注參數之值之方法、清理含有關於所關注參數之資訊之信號之方法、器件製造方法
KR20210082386A (ko) 패터닝 프로세스에 관한 정보를 결정하는 방법, 측정 데이터의 오차를 줄이는 방법, 계측 프로세스를 교정하는 방법, 계측 타겟을 선택하는 방법