KR20180118707A - 패터닝 프로세스 파라미터를 결정하는 방법 및 장치 - Google Patents

패터닝 프로세스 파라미터를 결정하는 방법 및 장치 Download PDF

Info

Publication number
KR20180118707A
KR20180118707A KR1020187027635A KR20187027635A KR20180118707A KR 20180118707 A KR20180118707 A KR 20180118707A KR 1020187027635 A KR1020187027635 A KR 1020187027635A KR 20187027635 A KR20187027635 A KR 20187027635A KR 20180118707 A KR20180118707 A KR 20180118707A
Authority
KR
South Korea
Prior art keywords
overlay
target
unit cell
patterning process
radiation
Prior art date
Application number
KR1020187027635A
Other languages
English (en)
Other versions
KR102184584B1 (ko
Inventor
리스트 안드리안 요한 반
아나그노스티스 치애트마스
폴 크리스티안 히넨
나마라 엘리오뜨 게라드 맥
알록 버마
토마스 디우베스
휴고 어거스티누스 조셉 크라머
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20180118707A publication Critical patent/KR20180118707A/ko
Application granted granted Critical
Publication of KR102184584B1 publication Critical patent/KR102184584B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B3/00Measuring instruments characterised by the use of mechanical techniques
    • G01B3/14Templates for checking contours
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8851Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70681Metrology strategies
    • G03F7/70683Mark designs
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • G06F17/5009
    • G06F17/5072
    • G06F17/5081
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/8851Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges
    • G01N2021/8887Scan or image signal processing specially adapted therefor, e.g. for scan signal adjustment, for detecting different kinds of defects, for compensating for structures, markings, edges based on image processing techniques
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/18Manufacturability analysis or optimisation for manufacturability

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Pathology (AREA)
  • Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Signal Processing (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Architecture (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

패터닝 프로세스의 오버레이를 결정하는 방법으로서, 기판 상의 빔 스폿이 유닛 셀의 하나 이상의 물리적 인스턴스로 채워지도록 상기 기판을 방사선 빔으로 조명하는 단계 - 상기 유닛 셀은 오버레이의 공칭 값에서 기하학적 대칭을 가짐 -; 상기 유닛 셀의 하나 이상의 물리적 인스턴스에 의해 재지향된 0차 방사선을 주성분으로 검출기를 사용하여 검출하는 단계; 및 하드웨어 컴퓨터 시스템에 의하여, 검출된 방사선의 광학 특성의 값으로부터 상기 유닛 셀의 오버레이의 비-공칭 값을 결정하는 단계를 포함하는, 오버레이 결정 방법.

Description

패터닝 프로세스 파라미터를 결정하는 방법 및 장치
관련 출원들에 대한 상호 참조
본 출원은 2016 년 3 월 1 일자 미국 출원 번호 제 62/301,880 - 2016 년 12 월 16 일자 제 62/435,662, 2016 년 12 월 16 일자 제 62/435,670, 2016 년 12 월 16 일자 제 62/435,649, 2016 년 12 월 16 일자 제 62/435,630, 및 2017 년 2 월 14 일자 제 62/458.932 에 대한 우선권을 주장하며, 이들은 그 전체가 본원에 원용되어 통합된다.
본 발명은, 예를 들어 기판 상에 패턴을 생성하기 위한 프로세스의 파라미터(예컨대 오버레이)를 결정하는 방법 및 장치에 관한 것이고, 이렇게 결정된 파라미터는 해당 처리와 관련된 하나 이상의 변수를 설계, 모니터링, 조절, 등을 하기 위해서 사용될 수 있다.
리소그래피 장치는 기판 상에, 통상적으로는 기판의 목표 영역 상에 원하는 패턴을 부여하는 장치이다. 리소그래피 장치는 예컨대 집적회로(IC) 또는 기능성을 가지도록 설계되는 다른 디바이스의 제조 시에 사용될 수 있다. 그 경우, 마스크 또는 레티클(reticle)이라고도 불리는 패터닝 장치가, 기능성을 가지도록 설계되는 디바이스의 개개의 층 상에 형성될 회로 패턴을 생성하기 위해 사용될 수 있다. 이러한 패턴은 기판(예를 들어, 실리콘 웨이퍼) 상의 타겟부(예를 들어, 다이의 일부, 하나 또는 몇몇 다이들을 포함) 상으로 전사될 수 있다. 패턴의 전사는 통상적으로 기판 상에 제공된 방사선-감응재(레지스트)층 상으로의 이미징(imaging)을 통해 수행된다. 일반적으로, 단일 기판은 연속적으로 패터닝되는 인접한 타겟부들의 네트워크를 포함할 것이다. 공지된 리소그래피 장치는, 한 번에 타겟부 상으로 전체 패턴을 노광함으로써 각 타겟부가 조사(irradiate)되는 이른바 스테퍼, 및 주어진 방향("스캐닝" 방향)으로 방사 빔을 통해 패턴을 스캔하는 동시에 이러한 방향에 평행 또는 반-평행하게 기판을 스캔함으로써 각 타겟부가 조사되는 이른바 스캐너를 포함한다. 또한, 기판 상에 패턴을 임프린트(imprint)함으로써 패터닝 장치로부터 기판으로 패턴을 전사할 수도 있다.
반도체 디바이스와 같은 디바이스를 제조하는 것은, 통상적으로 여러 제조 프로세스를 사용하여 기판(예를 들어, 반도체 웨이퍼)을 처리하여 다양한 피쳐 및 흔히 디바이스의 다수의 층을 형성하는 것을 수반한다. 이러한 층 및/또는 피쳐는 통상적으로, 예를 들어 증착, 리소그래피, 에칭, 화학-기계적 연마, 및 이온 주입을 사용하여 제작되고 처리된다. 다수의 디바이스는 기판 상의 복수 개의 다이 위에 제작된 후 개개의 디바이스로 분할될 수 있다. 이러한 디바이스 제조 프로세스는 패터닝 프로세스라고 간주될 수 있다. 패터닝 프로세스는 기판 상에 패턴을 제공하기 위한, 리소그래피 장치를 사용한 광학적 및/또는 나노주입 리소그래피와 같은 패턴 전사 단계와, 통상적이지만 선택적으로, 현상 장치에 의한 레지스트 현상, 베이크 툴을 사용한 기판의 베이킹, 에칭 장치에 의하여 패턴을 에칭하는 것 등과 같은 하나 이상의 관련된 패턴 처리 단계를 수반한다. 더 나아가, 하나 이상의 계측 프로세스가 패터닝 프로세스에 수반된다.
프로세스를 모니터링 및/또는 제어하기 위하여 패터닝프로세스 중의 다양한 단계에서 계측 프로세스가 사용된다. 예를 들어, 계측 프로세스는 기판의 하나 이상의 특성, 예컨대 패터닝 프로세스 중에 기판 상에 형성되는 피쳐들의 상대 위치(예를 들어, 레지스트레이션, 오버레이, 정렬 등) 또는 치수(예를 들어, CD, 선폭, 임계 치수, 두께 등)를 측정하기 위해 사용됨으로써, 예를 들어 패터닝 프로세스의 이러한 성능이 이러한 하나 이상의 특성으로부터 결정될 수 있게 한다. 하나 이상의 특성이 수락불가능하다면(예를 들어, 해당 특성(들)에 대한 미리 결정된 범위 밖이면), 패터닝 프로세스에 의해 제조되는 기판이 수용가능한 특성(들)을 가지도록, 패터닝 프로세스의 하나 이상의 값이, 예를 들어 이러한 하나 이상의 특성의 측정치에 기초하여 설계되거나 변경될 수 있다.
리소그래피 및 다른 패터닝 프로세스 기술이 발전함에 따라서, 기능성 요소의 치수는 계속적으로 감소되어온 반면에, 디바이스 당 트랜지스터와 같은 기능성 요소의 양은 수 십 년에 걸쳐 지속적으로 증가되어 왔다. 한편, 오버레이, 임계 치수(CD) 등과 관련된 정확도에 대한 요건은 점점 더 엄격해져 왔다. 오버레이에 있는 오차, CD에 있는 오차 등과 같은 오차가 패터닝 프로세스에서는 필연적으로 생기게 될 것이다. 예를 들어, 광수차, 패터닝 디바이스 온도상승, 패터닝 디바이스 오차, 및/또는 기판 온도상승에 의해 이미징 오차가 생길 수 있으며, 이것은 예를 들어 오버레이, CD 등에 관하여 특징지어질 수 있다. 추가적으로 또는 대안적으로, 오차는 에칭, 현상, 베이크 등과 같은 패터닝 프로세스의 다른 부분에 도입될 수 있고, 예를 들어 앞의 경우와 유사하게 오버레이, CD 등에 관하여 특징지어질 수 있다. 오차는, 디바이스의 기능 불능 또는 동작 중인 디바이스의 하나 이상의 전기적인 문제를 포함하는, 디바이스의 기능에 관한 문제점을 야기할 수 있다.
따라서, 이러한 하나 이상의 오차의 특징을 결정하고, 이러한 오차 중 하나 이상을 감소시키거나 최소화하도록 패터닝 프로세스를 설계, 수정, 제어 등을 하기 위한 스텝들을 취하는 것이 바람직하다.
일 실시예에서, 제 1 패터닝 프로세스에 의해 생성되도록 구성되는 제 1 구조체; 및 제 2 패터닝 프로세스에 의해 생성되도록 구성되는 제 2 구조체를 포함하는 계측 타겟이 제공되는데, 제 1 구조체 및/또는 제 2 구조체는 디바이스 패턴의 기능성 양태를 생성하도록 사용되지 않고, 제 1 및 제 2 구조체는 유닛 셀의 하나 이상의 인스턴스를 함께 형성하며, 유닛 셀은 공칭 물리적 구성에서 기하학적 대칭을 가지고, 유닛 셀은, 제 1 패터닝 프로세스, 제 2 패터닝 프로세스 및/또는 다른 패터닝 프로세스에서의 패턴 배치의 상대적인 시프트에 기인하여 공칭 물리적 구성과 상이한 물리적 구성에서, 유닛 셀 내에 비대칭이 생기게 하는 피쳐를 가진다.
일 실시예에서, 데이터 구조체가 기록된 비-일시적 컴퓨터 판독가능 매체를 포함하는 컴퓨터 프로그램 제품이 제공되는데, 데이터 구조체는 본 명세서에서 설명되는 바와 같은 계측 타겟에 대응한다. 일 실시예에서, 본 명세서에서 설명된 바와 같은 계측 타겟에 대응하는 패턴을 포함하는 레티클이 제공된다.
일 실시예에서, 계측 타겟에 대한 제 1 구조체를 생성하는 단계 - 제 1 구조체는 디바이스의 대응하는 디바이스 피쳐를 생성하는 제 1 패터닝 프로세스에 의해 생성될 것임 -; 상기 계측 타겟에 대한 제 2 구조체를 생성하는 단계 - 제 2 구조체는 디바이스의 대응하는 추가적 디바이스 피쳐를 생성하는 제 2 패터닝 프로세스에 의해 생성될 것이고, 상기 제 1 구조체와 제 2 구조체는 유닛 셀의 하나 이상의 인스턴스를 함께 형성하며, 상기 유닛 셀은 공칭 물리적 구성에서 기하학적 대칭을 가짐 -; 및 상기 디바이스 내의 디바이스 피쳐의 기대 위치로부터의 상기 디바이스 내의 디바이스 피쳐의 위치의 상대적인 시프트에 기인하여 공칭 물리적 구성과 상이한 물리적 구성에서, 유닛 셀 내에 비대칭이 생기게 하는 피쳐를 상기 계측 타겟 내에 도입하는 단계를 포함하는 방법이 제공된다.
일 실시예에서, 패터닝 프로세스의 파라미터의 값을 결정하도록, 패터닝 프로세스를 사용하여 기판에 전사된 본 명세서에서 설명된 바와 같은 계측에 의해 재지향된 방사선을 측정하는 단계를 포함하는 방법이 제공된다. 일 실시예에서, 파라미터는 오버레이 및/또는 에지 배치 오차를 포함한다.
일 양태에서, 프로세서가 전술된 방법이 수행되게 하는 머신-판독가능 명령을 저장하는, 비일시적 컴퓨터 프로그램 제품이 제공된다. 일 양태에서, 컴퓨터에 의하여 실행되면 본 명세서에서 기술되는 방법 또는 하나 이상의 프로세스 스텝을 구현하는 명령이 기록된 비일시적 컴퓨터 판독가능 매체를 포함하는 컴퓨터 프로그램 제품이 개시된다.
일 양태에서, 패터닝 프로세스의 대상물을 측정하기 위한 계측 장치가 제공되는데, 계측 장치는 본 명세서에서 설명된 바와 같은 방법을 수행하도록 구성된다. 일 양태에서, 패터닝 프로세스의 대상물을 검사하기 위한 검사 장치가 제공되는데, 검사 장치는 본 명세서에서 설명된 바와 같은 방법을 수행하도록 구성된다.
일 양태에서, 방사선 빔을 대상물의 표면에 제공하고 상기 대상물의 표면에서 구조체에 의해 재지향된 방사선을 검출하도록 구성되는 계측 장치; 및 본 명세서에서 설명된 바와 같은 컴퓨터 프로그램 제품을 포함하는, 시스템이 제공된다. 일 실시예에서, 상기 시스템은 리소그래피 장치를 더 포함하고, 상기 리소그래피 장치는, 방사선 빔을 변조하기 위해 패터닝 디바이스를 홀딩하도록 구성되는 지지 구조체 및 변조된 빔을 방사선 감응 기판 상에 투영하도록 배치되는 투영 광학 시스템을 포함한다.
일 실시예에서, 하드웨어 프로세서 시스템; 및 머신-판독가능 명령을 저장하도록 구성되는 비-일시적 컴퓨터 판독가능 저장 매체를 포함하는 시스템이 제공되는데, 실행될 경우, 머신-판독가능 명령은 상기 하드웨어 프로세서 시스템으로 하여금 본 명세서에서 설명된 바와 같은 방법을 수행하게 한다.
실시예들은 첨부 도면을 참조하여 오직 예시를 통하여 이제 설명될 것이다:
도 1 은 리소그래피 장치의 일 실시예를 개략적으로 도시한다;
도 2 는 리소그래피 셀 또는 클러스터의 일 실시예를 개략적으로 도시한다;
도 3a 는 특정 조명 모드를 제공하는 조명 애퍼쳐의 제 1 쌍을 사용한, 일 실시예에 따라 타겟을 측정하는 데에 사용하기 위한 측정 장치의 개략도이다;
도 3b 는 조명의 주어진 방향에 대한 타겟의 회절 스펙트럼의 개략적인 상세도이다;
도 3c 는 회절에 기초한 오버레이 측정을 위해 측정 장치를 사용할 때에 추가적인 조명 모드를 제공하는 조명 애퍼쳐의 제 2 쌍의 개략도적인 예시도이다;
도 3d는 회절에 기초한 오버레이 측정을 위해 측정 장치를 사용할 때에 추가적인 조명 모드를 제공하는 애퍼쳐의 제 1 쌍과 제 2 쌍을 결합하는 조명 애퍼쳐의 제 3 쌍의 개략도적인 예시도이다;
도 4 는 다수의 격자 타겟(예를 들어 다수의 격자)의 형태 및 기판 상의 측정 스폿의 개요를 개략적으로 도시한다;
도 5 는 도 3 의 장치에서 획득되는 도 4 의 타겟의 이미지를 개략적으로 도시한다;
도 6 은 예시적인 측정 장치 및 계측 기법을 개략적으로 도시한다;
도 7 은 예시적인 계측 장치를 개략적으로 도시한다;
도 8 은 검사 장치의 조명 스폿과 계측 타겟 사이의 관련성을 예시한다;
도 9 는 측정 데이터에 기초하여 하나 이상의 관심 변수를 유도하는 프로세스를 개략적으로 보여준다;
도 10a 는 예시적인 유닛 셀, 연관된 퓨필 표현, 및 연관된 유도된 퓨필 표현을 개략적으로 도시한다;
도 10b 는 예시적인 유닛 셀, 연관된 퓨필 표현, 및 연관된 유도된 퓨필 표현을 개략적으로 도시한다;
도 10c 는 유닛 셀의 하나 이상의 물리적 인스턴스를 포함하는 예시적인 타겟을 개략적으로 도시한다;
도 11 은 측정된 방사선으로부터 패터닝 프로세스 파라미터를 결정하기 위한 가중치를 획득하는 고레벨 흐름을 도시한다;
도 12 는 측정된 방사선으로부터 패터닝 프로세스 파라미터를 결정하기 위한 고레벨 흐름을 도시한다;
도 13 은 데이터-구동 기법의 일 실시예의 고레벨 흐름을 도시한다;
도 14 는 물리적 기하학적 모델과 조합된 데이터-구동 기법의 일 실시예의 고레벨 흐름을 도시한다;
도 15 는 물리적 기하학적 모델과 조합된 데이터-구동 기법의 일 실시예의 고레벨 흐름을 도시한다;
도 16 은 물리적 기하학적 모델과 조합된 데이터-구동 기법의 일 실시예의 고레벨 흐름을 도시한다;
도 17 은 물리적 기하학적 모델과 조합된 데이터-구동 기법의 일 실시예의 고레벨 흐름을 도시한다;
도 18 은 타겟의 다중 오버레이 유닛 셀의 일 실시예를 개략적으로 도시한다;
도 19 는 타겟의 다중 오버레이 유닛 셀의 일 실시예를 개략적으로 도시한다;
도 20 은 두 개의 상이한 오버레이에 대응하는 두 개의 벡터의 예시적인 그래프를 도시한다;
도 21a 및 도 21b 는 비-제품 타겟 디자인의 일 예를 개략적으로 도시한다;
도 22a, 도 22b, 도 22c 및 도 22d 는 비-제품 타겟 디자인의 예들을 개략적으로 도시한다;
도 23a 및 도 23b 는 비-제품 타겟 디자인의 일 예를 개략적으로 도시한다;
도 24a 및 도 24b 는 비-제품 타겟 디자인의 일 예를 개략적으로 도시한다;
도 25a 및 도 25b 는 비-제품 타겟 디자인의 일 예를 개략적으로 도시한다;
도 26a, 도 26b 및 도 26c 는 비-제품 타겟 디자인의 일 예를 개략적으로 도시한다;
도 27a 및 도 27b 는 비-제품 타겟 디자인의 일 예를 개략적으로 도시한다;
도 28a, 도 28b 및 도 28c 는 비-제품 타겟 디자인의 일 예를 개략적으로 도시한다;
도 29a 는 디바이스 패턴 피쳐의 일 예를 개략적으로 도시한다;
도 29b, 도 29c, 도 29d 및 도 29e 는 디바이스 패터닝 프로세스의 단계들의 일 예를 개략적으로 도시한다;
도 29f 는 도 29b 및 도 29d 의 단계들에 대응하는, 비-제품 타겟 디자인의 구조체의 일 예를 개략적으로 도시한다;
도 29g 는 도 29f 의 구조체로부터 생성된 비-제품 타겟 디자인의 일 예를 개략적으로 도시한다;
도 30a 는 디바이스 패턴 피쳐의 일 예를 개략적으로 도시한다;
도 30b 는 비-제품 타겟 디자인의 구조체의 일 예를 개략적으로 도시한다;
도 30c 는 도 30b 의 구조체로부터 생성된 비-제품 타겟 디자인의 일 예를 개략적으로 도시한다;
도 31 은 비-제품 타겟 디자인을 설계하는 방법의 일 실시예에 대응한다; 그리고
도 32 는 본 발명의 실시예를 구현할 수 있는 컴퓨터 시스템을 개략적으로 도시한다.
본 발명의 실시예들을 상세하게 설명하기 이전에, 본 발명의 실시예들이 구현될 수 있는 예시적인 환경을 제시하는 것이 유익하다.
도 1 은 리소그래피 장치(LA)를 개략적으로 묘사한다. 이 장치는:
- 방사선 빔(B)(예를 들어, UV 방사선 또는(EUV) 방사선)을 조절하도록 구성되는 조명 시스템(조명기)(IL);
- 패터닝 디바이스(예를 들어, 마스크; MA)를 지지하도록 구성되고 특정 파라미터에 따라서 패터닝 디바이스를 정확하게 위치설정하도록 구성되는 제 1 위치설정기(positioner; PM)에 연결되는 지지 구조체(예를 들어, 마스크 테이블; MT);
- 기판(예를 들어 레지스트-코팅된 웨이퍼)(W)을 홀딩하도록 구성되고 특정 파라미터에 따라서 기판을 정확하게 위치설정하도록 구성되는 제 2 위치설정기(PW)에 연결되는 기판 테이블(예를 들어 웨이퍼 테이블)(WT); 및
- 방사 빔(B)에 부여된 패턴을 패터닝 디바이스(MA)에 의하여 기판(W)의 타겟부(C)(예를 들어 하나 이상의 다이를 포함함)로 투영하도록 구성되는 투영 시스템(예를 들어 굴절 투영 렌즈 시스템)(PS)을 포함하며, 투영 시스템은 기준 프레임(RF) 상에 지지된다.
조명 시스템은 방사선을 지향시키고, 성형(shaping)하고, 또는 제어하기 위한 다양한 유형의 광 컴포넌트, 예컨대 굴절형, 반사형, 자기적, 전자기, 정전기 또는 다른 유형의 광 컴포넌트, 또는 이들의 임의의 조합을 포함할 수도 있다.
지지 구조체는 패터닝 디바이스를, 패터닝 디바이스의 지향, 리소그래피 장치의 디자인, 및 예를 들어, 패터닝 디바이스가 진공 환경에서 유지되는지 여부와 같은 다른 조건에 의존하는 방식으로 지지한다. 지지 구조체는 패터닝 장치를 유지하기 위해 기계식, 진공식, 정전식, 또는 기타 클램핑 기술들을 이용할 수 있다. 지지 구조체는 예컨대 필요에 따라 고정되거나 이동시킬 수 있는 프레임(frame) 또는 테이블일 수도 있다. 지지 구조체는 패터닝 디바이스가 예를 들어, 투영 시스템에 대하여 원하는 위치에 있다는 것을 보장할 수도 있다. 본 명세서에서의 "레티클" 또는 "마스크"라는 용어의 어떠한 사용도 "패터닝 장치"라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다.
본 명세서에서 사용되는 "패터닝 디바이스"라는 용어는, 기판의 타겟부 내에 패턴을 생성하기 위해서 방사선 빔의 단면에 패턴을 부여하기 위하여 사용될 수 있는 임의의 디바이스를 지칭하는 것으로 폭넓게 해석되어야 한다. 일 실시예에서, 패터닝 디바이스는, 기판의 타겟부 내에 패턴을 생성하기 위해서 방사선 빔의 단면에 패턴을 부여하기 위하여 사용될 수 있는 임의의 디바이스이다. 방사선 빔에 부여된 패턴은, 예컨대 그 패턴이 페이즈 시프트 피처(phase shifting feature) 또는 소위 어시스트 피처(assist feature)를 포함하는 경우, 기판의 타겟부에서의 요구된 패턴과 정확히 일치하지 않을 수 있다는 것에 유의하여야 한다. 일반적으로, 방사선 빔에 부여된 패턴은 타겟부, 예컨대 집적 회로 내에 생성되는 중인 디바이스 내의 특정한 기능성 층에 대응할 것이다.
패터닝 디바이스는 투과형 또는 반사형일 수도 있다. 패터닝 장치의 예는 마스크, 프로그램가능 미러 어레이, 및 프로그램가능(LCD) 패널을 포함한다. 마스크는 리소그래피에서 주지되며, 이진, 교번 페이즈-시프트, 감쇄 페이즈-시프트, 및 다양한 하이브리드 마스크 타입과 같은 마스크 타입을 포함한다. 프로그램가능 미러 어레이의 일 예는 소형 미러들의 매트릭스 정렬을 채용하는데, 이들 각각은 인입하는 방사선 빔을 상이한 방향으로 반사하기 위하여 개별적으로 틸팅될 수 있다. 틸팅된 미러는 미러 매트릭스에 의하여 반사된 방사선 빔 내에 패턴을 부여한다.
본 명세서에서 사용되는 "투영 시스템"이라는 용어는, 이용되고 있는 노광 방사선(exposure radiation)에 대해 적합하거나 또는 침지액(immersion liquid)의 사용 또는 진공의 사용과 같은 다른 요인들에 대해 적합한, 굴절식, 반사식, 반사 굴절식(catadioptric), 자기식, 전자기식, 및 정전식 광학 시스템, 또는 이들의 임의의 조합을 포함하는 어떠한 타입의 투영 시스템도 포함하는 것으로 넓게 해석되어야 한다. 본 명세서에서 "투영 렌즈"라는 용어가 임의로 사용되면 더 일반적인 용어인 "투영 시스템"과 같은 의미인 것으로 간주될 수도 있다.
투영 시스템(PS)은 불균일할 수도 있는 광학적 전달 함수를 가지고, 이것이 기판(W)에 이미징된 패턴에 영향을 줄 수 있다. 무편광 방사선에 대하여 이러한 효과는 두 개의 스칼라 맵에 의하여 매우 잘 기술될 수 있는데, 이러한 맵들은 투영 시스템(PS)을 벗어나는 방사선의 투과(아포디제이션(apodization) 및 상대 위상(수차)을 그것의 퓨필 평면에서의 위치의 함수로서 기술한다. 투과 맵 및 상대 위상 맵이라고 지칭될 수도 있는 이러한 스칼라 맵은 완전한 세트의 기초 기능들의 선형 조합으로서 표현될 수도 있다. 특히 편리한 세트는 제니케 다항식(Zernike polynomials)인데, 이것은 단위 원에서 정의된 직교 다항식의 세트를 형성한다. 각각의 스칼라 맵을 결정하는 것은 이러한 전개식(expansion)에서 계수를 결정하는 것을 수반할 수도 있다. 제니케 다항식이 단위 원 상에서 직교하기 때문에, 제니케 계수는 측정된 스칼라 맵과 각각의 제니케 다항식의 순차적인 내적을 계산하고 이것을 해당 제니케 다항식의 놈의 제곱으로 나눔으로써 결정될 수도 있다.
투과 맵 및 상대 위상 맵은 필드와 시스템에 의존적이다. 즉, 일반적으로, 각각의 투영 시스템(PS)은 각각의 필드 포인트에 대한(즉 이것의 이미지 평면에서의 각각의 공간적 위치에 대한) 상이한 제니케 전개식을 가질 것이다. 투영 시스템(PS)의 자신의 퓨필 평면에서의 상대 위상은, 예를 들어 투영 시스템(PS)의 객체 평면(즉 패터닝 디바이스(MA)의 평면)에 있는 점 광원과 같은 소스로부터, 투영 시스템(PS)을 통해 방사선을 투영하고, 파면(즉 동일한 위상을 가지는 점들의 궤적)을 측정하기 위하여 시어링 간섭측정계(shearing interferometer)를 사용함으로써 결정될 수도 있다. 시어링 간섭측정계는 공통 경로 간섭측정계이고, 따라서 바람직하게는, 파면을 측정하기 위하여 이차 참조 빔이 요구되지 않는다. 시어링 간섭측정계는 투영 시스템(즉 기판 테이블(WT)의 이미지 평면에 있는 회절 격자, 예를 들어 2 차원의 그리드 및 투영 시스템(PS)의 퓨필 평면에 대해 공액관계(conjugate)인 평면에 있는 간섭 패턴을 측정하도록 구성되는 검출기를 포함할 수도 있다. 간섭 패턴은 시어링 방향에서의 퓨필 평면에 있는 좌표에 대한 방사선의 위상의 도함수에 관한 것이다. 검출기는, 예를 들어 전하 결합 디바이스(charged coupled device; CCD)와 같은 센싱 요소들의 어레이를 포함할 수도 있다.
리소그래피 장치의 투영 시스템(PS)은 가시적인 무늬를 생성하지 않을 수 있고, 따라서 파면의 결정 정확도는, 예를 들어 회절 격자를 이동시키는 것과 같은 위상 스테핑(phase stepping) 기법을 사용하여 향상될 수 있다. 스테핑은 회절 격자의 평면에서 그리고 측정의 스캐닝 방향에 수직인 방향에서 수행될 수도 있다. 스테핑 범위는 하나의 격자 기간일 수도 있고, 적어도 3 개의 (균일하게 분산된) 위상 단계가 사용될 수도 있다. 따라서, 예를 들어 3 개의 스캐닝 측정이 y-방향에서 수행될 수도 있고, 각각의 스캐닝 측정은 x-방향에서의 다른 위치에서 수행된다. 회절 격자의 이러한 스테핑은 위상 변동을 세기 변동으로 효과적으로 변환하고, 위상 정보가 결정되게 한다. 격자는 회절 격자에 수직인 방향으로 스테핑되어 (z 방향) 검출기를 교정할 수도 있다.
투영 시스템(PS)의 자신의 퓨필 평면에서의 투과(아포디제이션)는, 예를 들어 투영 시스템(PS)의 객체 평면(즉 패터닝 디바이스(MA)의 평면)에 있는 점 광원과 같은 소스로부터, 투영 시스템(PS)을 통해 방사선을 투영하고, 검출기를 사용하여 투영 시스템(PS)의 퓨필 평면에 대해 공액관계인 평면에서의 방사선의 세기를 측정함으로써 결정될 수도 있다. 수차를 결정하기 위하여, 파면을 측정하려고 사용되는 것과 동일한 검출기가 사용될 수도 있다.
투영 시스템(PS)은 복수 개의 광 요소(예를 들어, 렌즈)를 포함할 수도 있고, 수차(필드 전체에 걸쳐 퓨필 평면에 걸친 위상 변동)를 정정하도록 광 요소 중 하나 이상을 조절하도록 구성되는 조절 메커니즘(AM)을 더 포함할 수도 있다. 이를 위하여, 조절 메커니즘은 하나 이상의 그 외의 방법으로 투영 시스템(PS) 내의 하나 이상의 광 요소(예를 들어, 렌즈)를 조작하도록 동작가능할 수도 있다. 투영 시스템은 좌표계를 가지는데, 여기서 이것의 광축 연장은 z 방향으로 연장된다. 조절 메커니즘은 다음: 하나 이상의 광 요소를 변위시키는 것; 하나 이상의 광 요소를 틸트; 및/또는 하나 이상의 광 요소를 변형하는 것의 임의의 조합을 수행하도록 동작가능할 수도 있다. 광 요소의 변위는 임의의 방향(x, y, z) 또는 이들의 조합에서 이루어질 수도 있다. 비록 회전적으로 대칭이 아닌(non-rotationally) 비구면 광 요소에 대해서 z 축 주위의 회전이 사용될 수도 있지만, x 및/또는 y 방향의 축들 주위에서 회전함으로써 광 요소는 통상적으로 광축에 수직인 평면을 벗어나서 틸팅된다. 광 요소의 변형은 저 주파수 형상(예를 들어 비점수차(astigmatic) 및/또는 고 주파수 형상(예를 들어 자유 형상 비구면)을 포함할 수도 있다. 광의 변형은, 예를 들어 광 요소의 하나 이상의 면에 힘을 작용시키도록 하나 이상의 액츄에이터를 사용하여 및/또는 광 요소의 하나 이상의 선택된 영역을 가열하도록 하나 이상의 가열 요소를 사용함으로써 수행될 수도 있다. 일반적으로, 아포디제이션(퓨필 평면에 걸친 투과 변경)을 정정하기 위하여 투영 시스템(PS)을 조절하는 것이 가능하지 않을 수도 있다. 투영 시스템(PS)의 투과 맵은 리소그래피 장치(LA)에 대하여 패터닝 디바이스(예를 들어, 마스크)(MA)를 설계할 때에 사용될 수도 있다. 계산적 리소그래피 기법을 사용함으로써, 패터닝 디바이스(MA)는 아포디제이션을 적어도 부분적으로 정정하도록 설계될 수도 있다.
도시된 것처럼, 장치는 투과형이다(예를 들어, 투과형 마스크를 채용). 또는, 장치는 반사형 타입(예를 들어, 위에서 언급된 바와 같은 타입의 프로그램가능 미러 어레이를 채용하거나, 반사 마스크를 채용함)일 수도 있다.
리소그래피 장치는 두 개(듀얼 스테이지) 이상 테이블(예를 들어, 측정, 및/또는 세정 등을 용이화하기 위해서만 제공되는 기판이 없는, 투영 시스템 아래의 두 개 이상의 기판 테이블(WTa, WTb), 두 개 이상의 패터닝 디바이스 테이블, 기판 테이블(WTa) 및 테이블(WTb))의 타입일 수 있다. 그러한 "다중 스테이지" 머신에서, 부가적인 테이블은 평행하게 사용될 수 있으며, 또한 하나 이상의 다른 테이블들이 노광을 위해 사용되고 있는 동안 준비 단계들이 하나 이상의 테이블 상에 수행될 수 있다. 예를 들어, 정렬 센서(AS)를 사용한 정렬 측정 및/또는 레벨 센서(LS)를 사용한 레벨(높이, 틸트 등) 측정이 이루어질 수 있다.
리소그래피 장치는 또한, 투영 시스템과 기판 사이의 공간을 채우기 위해 기판의 적어도 일부분이 상대적으로 높은 굴절률을 가진 액체, 예컨대 물에 의해 커버될 수 있는 유형일 수 있다. 액침액은 또한 예컨대 패터닝 디바이스와 투영 시스템 사이와 같은 리소그래피 장치 내의 다른 공간에도 가해질 수 있다. 액침 기법은 투영 시스템의 개구수(numerical aperture)를 증가시키기 위하여 당업계에 주지된다. 본 명세서에 사용된 바와 같은 "침지"라는 용어는, 기판과 같은 구조체가 액체에 잠겨져야 하는 것을 의미하지 않고, 그보다는 노광 동안에 투영 시스템과 기판 사이에 액체가 위치된다는 것을 의미한다.
도 1 을 참조하면, 조명기(IL)는 방사선 빔을 방사원(SO)으로부터 수광한다. 예를 들어, 방사선 소스가 엑시머 레이저인 경우, 소스 및 리소그래피 장치는 별도의 엔티티일 수 있다. 이러한 경우들에서, 소스는 리소그래피 장치의 일부를 형성하는 것으로 간주되지 않고, 방사선 빔은, 예를 들어 적합한 지향 미러 및/또는 빔 확장기를 포함하는 빔 전달 시스템(BD)의 도움으로, 소스(SO)로부터 조명기(IL)로 전달된다. 다른 경우에, 예컨대 방사선 소스가 수은 램프인 경우에, 이러한 소스는 리소그래피 장치에 통합된 부품일 수 있다. 소스(SO) 및 조명기(IL)는 필요할 경우 빔 전달 시스템(BD)과 함께 방사선 시스템이라고 지칭될 수도 있다.
조명기(IL)는 방사선 빔의 각 세기 분포(angular intensity distribution)를 조절하도록 구성되는 조절기(AD)를 포함할 수도 있다. 일반적으로, 조명기(IL)의 퓨필 평면(pupil plane)에서의 세기 분포의 적어도 외측 및/또는 내측 반경 범위(통상적으로, 각각 외측-σ 및 내측-σ라 함)는 조절될 수 있다. 부가적으로, 조명기(IL)는 집속기(integrator)(IN) 및 집광기(confiner)(CO)와 같은 다양한 다른 컴포넌트들을 포함할 수도 있다. 조명기는 방사선 빔이 자신의 단면에서 원하는 균일성 및 세기기 분포를 가지도록 조정하기 위하여 사용될 수도 있다.
방사선 빔(B)은 지지 구조체(예를 들어, 마스크 테이블)(MT) 상에 홀딩되는 패터닝 디바이스(예를 들어, 마스크)(MA) 상에 입사하고, 그리고 패터닝 디바이스에 의하여 패터닝된다. 패터닝 디바이스(MA)를 가로지르면, 방사선 빔(B)은 기판(W)의 타겟부(C) 상에 빔을 포커싱하는 투영 시스템(PS)을 통과한다. 제 2 위치설정기(PW) 및 위치 센서(IF)(예를 들어, 간섭측정 측정 디바이스, 선형 인코더, 2-D 인코더 또는 용량성 센서)의 도움을 받아, 예를 들어 방사선 빔(B)의 경로에 상이한 타겟부들(C)을 위치설정하기 위하여, 기판 테이블(WT)이 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기 및 다른 위치 센서(도 1 에는 명확하게 묘사되지 않음)는, 예를 들어 마스크 라이브러리로부터의 기계적 탐색 이후에, 또는 스캔 동안에, 방사선 빔(B)의 경로에 대하여 패터닝 디바이스(MA)를 정확하게 위치설정하기 위하여 사용될 수 있다. 일반적으로, 지지 구조체(MT)의 이동은, 제 1 위치설정기(PM)의 일부를 형성하는 롱-스트로크 모듈(long-stroke module; 개략 위치 설정) 및 숏-스트로크 모듈(short-stroke module; 정밀 위치 설정)을 이용하여 실현될 수도 있다. 마찬가지로, 기판 테이블(WT)의 이동은 제 2 위치설정기(PW)의 일부를 형성하는 롱-스트로크 모듈 및 숏-스트로크 모듈을 이용하여 실현될 수도 있다. 스테퍼의 경우(스캐너와 반대로) 지지 구조체(MT)는 숏-스트로크 액추에이터에만 연결될 수도 있고, 또는 고정될 수도 있다. 패터닝 장치(MA) 및 기판(W)은 패터닝 장치 정렬 마크(M1, M2) 및 기판 정렬 마크(P1, P2)를 이용하여 정렬될 수 있다. 비록 도시된 바와 같이 기판 정렬 마크들이 전용 타겟 영역을 점유하지만, 이들은 타겟 영역 사이의 공간(이들은 스크라이브 레인(scribe-lane) 정렬 마크로 알려짐)에 위치될 수도 있다. 마찬가지로, 마스크(MA)에 두 개 이상의 다이가 제공되는 경우, 마스크 정렬 마크들은 다이들 사이에 위치될 수도 있다.
도시된 장치는 다음 모드들 중 하나 이상의 모드로 사용될 수 있다:
1. 스텝 모드에서는, 지지 구조체(MT) 및 기판 테이블(WT)이 본질적으로 정지 상태로 유지되는 동안, 방사선 빔에 부여된 전체 패턴이 한 번에 타겟부(C) 상에 투영된다(즉, 단일 정적 노광). 그러면, 상이한 타겟부(C)가 노광될 수 있도록 기판 테이블(WT)이(X) 방향 및/또는(Y) 방향으로 시프트된다. 스텝 모드에서는, 노광 필드의 최대 크기가 단일 정적 노광 시에 이미징되는 타겟부(C)의 크기를 제한한다.
2. 스캔 모드에서는, 지지 구조체(MT) 및 기판 테이블(WT)이 동기되어 스캐닝되는 동안, 방사선 빔에 부여된 패턴이 타겟부(C) 상에 투영된다(즉, 단일 동적 노광). 지지 구조체(MT)에 상대적인 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대율(축소율) 및 이미지 반전 특성에 의하여 결정될 수도 있다. 스캔 모드에서는, 노광 필드의 최대 크기가 단일 동적 노광 시의 타겟부의 폭(스캐닝되지 않는 방향에서의)을 한정하는 한편, 스캐닝 모션의 길이는 타겟부의 높이(스캐닝 방향에서의)를 결정한다.
3. 다른 모드에서는, 프로그램가능 패터닝 디바이스를 홀딩하면서 지지 구조체(MT)는 본질적으로 정지 상태로 유지되고, 기판 테이블(WT)은 방사선 빔에 부여된 패턴이 타겟 영역(C) 상에 투영되는 동안에 이동되거나 스캐닝된다. 이러한 모드에서, 일반적으로 펄스화된(pulsed) 방사선 소스가 채용되며, 프로그램가능한 패터닝 디바이스는 요구될 때, 기판 테이블(WT)의 각 이동 이후에 또는 스캔 도중의 연속적인 방사선 펄스들 사이에서 업데이트된다. 동작의 이러한 모드는 위에서 언급된 바와 같은 타입의 프로그램가능한 미러 어레이와 같은 프로그램가능한 패터닝 디바이스를 이용하는 마스크 없는 리소그래피에 용이하게 적용될 수 있다.
또한, 전술한 사용 모드들의 조합 및/또는 변형, 또는 전혀 다른 사용 모드들이 채용될 수도 있다.
도 2 에 도시된 것처럼, 리소그래피 장치(LA)는 리소셀 또는 클러스터라고도 지칭되는 리소그래피 셀(LC)의 일부를 형성할 수 있고, 이는 또한 기판 상에서 노광 전 그리고 노광 후 프로세스를 수행하기 위한 장치를 포함한다. 통상적으로, 이러한 장치는 하나 이상의 레지스트층을 증착하기 위한 하나 이상의 스핀 코터(spin coater; SC), 노광된 레지스트를 현상하기 위한 하나 이상의 현상기(DE), 하나 이상의 칠 플레이트(chill plate; CH), 및 하나 이상의 베이크 플레이트(베이크 plate; BK)를 포함한다. 기판 핸들러 또는 로봇(RO)이 입력/출력 포트(I/O1, I/O2)로부터 하나 이상의 기판을 픽업하여, 이들을 상이한 공정 장치 간에 이동시키며, 그 후 리소그래피 장치의 로딩 베이(loading bay; LB)에 전달한다. 통칭하여 트랙으로도 지칭되는 이들 장치는 감독 제어 시스템(supervisory control system; SCS)에 의해 제어되는 트랙 제어 유닛(TCU)의 제어 하에 있게 되며, 감독 제어 시스템은 또한 리소그래피 제어 유닛(LACU)을 통해 리소그래피 장치를 제어한다. 그러므로, 처리량 및 처리 효율을 최대화하기 위해 상이한 장치가 작동될 수 있다.
리소그래피 장치에 의해 노광되는 기판이 정확하고 일관적으로 노광되려면, 노광된 기판을 검사하여 오버레이(이것은, 예를 들어 오버라잉 층 내의 구조체들 사이 또는, 예를 들어 이중 패터닝 프로세스에 의하여 해당 층에 별개로 제공된 동일한 층 내의 구조체들 사이의 오버레이일 수 있음), 선폭, 임계 치수(CD), 초점 오프셋, 재료 특성 등과 같은 하나 이상의 특성을 측정 또는 결정하는 것이 바람직하다. 따라서, 리소셀(LC)이 그 안에 위치되는 제조 설비는, 리소셀 내에서 처리된 기판(W)의 일부 또는 전부를 수납하는 계측 시스템(MET)을 통상적으로 더 포함한다. 계측 시스템(MET)은 리소셀(LC)의 일수일 수도 있고, 예를 들어 리소그래피 장치(LA)의 일부일 수도 있다.
계측 결과는 감독 제어 시스템(SCS)으로 직접적으로 또는 간접적으로 제공될 수 있다. 오차가 검출되는 경우, 후속 기판의 노광에 대한(특히 배치의 하나 이상의 다른 기판이 여전히 노광될 수 있도록 검사가 충분히 일찍 그리고 빠르게 행해질 수 있는 경우) 및/또는 노광된 기판의 후속 노광에 대한 조정이 이루어질 수 있다. 또한, 이미 노광된 기판은 스트리핑되고 재작업(rework) 되어 수율을 개선하거나, 또는 폐기되어, 이를 통하여 오류가 있는 것으로 알려진 기판에 추가적인 처리가 수행되는 것을 피할 수도 있다. 기판의 일부 타겟 영역에만 오류가 있는 경우, 양호한 것으로 간주되는 타겟 영역에만 추가적 노광이 수행될 수 있다.
계측 시스템(MET) 내에서, 기판의 하나 이상의 특성, 및 구체적으로 상이한 기판의 하나 이상의 특성이 또는 동일 기판의 상이한 층의 특성이 층에 따라 어떻게 변화하는지를 결정하기 위해 계측 장치가 사용된다. 계측 장치는 리소그래피 장치(LA) 또는 리소셀(LC)에 통합될 수도 있고, 또는 독립형 장치일 수도 있다. 신속한 측정을 할 수 있기 위해서는, 계측 장치가 노광 직후에 노광된 레지스트 층에서 하나 이상의 특성을 측정하는 것이 바람직하다. 그러나, 레지스트 내의 잠상(latent image)이 낮은 콘트라스트를 가지며 - 이 경우 방사선에 노광된 레지스트의 부분과 방사선에 노광되지 않은 부분 간에 단지 매우 작은 굴절률차가 있음 - 모든 계측 장치가 잠상의 유용한 측정을 행하기에 충분한 감도를 갖는 것은 아니다. 따라서, 통상적으로 노광된 기판에 대해 수행되는 첫 번째 단계이고, 레지스트의 노광된 부분과 노광되지 않은 부분 간의 콘트라스트를 증가시키는 단계인, 노광 후 베이크 단계(post-exposure bake step, PEB) 후에 측정이 이루어질 수 있다. 이 스테이지에서, 레지스트 내의 이미지는 반잠상(semi-latent)으로 지칭될 수 있다. 또한, 에칭과 같은 패턴 전사 단계 후에, 현상된 레지스트 이미지의 측정을 행하는 것도 가능하며, 그 시점에서 레지스트의 노광된 부분 또는 노광되지 않은 부분 중의 하나가 제거된다. 후자의 가능성은 오류가 있는 기판의 재작업에 대한 가능성은 제한하지만, 여전히 유용한 정보를 제공할 수 있다.
계측을 하기 위해서, 하나 이상의 타겟이 기판 상에 제공될 수 있다. 일 실시예에서, 타겟은 특정하게 설계되고, 주기적 구조체를 포함할 수 있다. 일 실시예에서, 타겟은 디바이스 패턴의 부분, 예를 들어 디바이스 패턴의 주기적 구조체이다. 일 실시예에서, 디바이스 패턴은 메모리 디바이스의 주기적 구조체(예를 들어, 바이폴라 트랜지스터(BPT), 비트 선 콘택(BLC) 등의 구조체)이다.
일 실시예에서, 기판 상의 타겟은 하나 이상의 1-D 주기적 구조체(예를 들어 격자)를 포함할 수 있는데, 이들은 현상 후에 주기적인 구조적 피쳐가 고상의 레지스트 선(solid resist line)으로 형성되도록 프린트된다. 일 실시예에서, 타겟은 하나 이상의 2-D 구조체(예를 들어 격자)를 포함할 수 있는데, 이들은 현상 후에 하나 이상의 주기적 구조체가 고상 레지스트 필러(solid resist pillar) 또는 레지스트 내의 비아(via)로 형성되도록 프린트된다. 또는, 바, 필라 또는 비아는 기판 내로(예를 들어, 기판 상의 하나 이상의 층 내고) 에칭될 수 있다.
일 실시예에서, 패터닝 프로세스의 관심 파라미터 중 하나는 오버레이이다. 오버레이는, 회절의 0차(거울 반사(specular reflection)에 대응)가 차단되고 더 높은 차수들만이 처리되는 암시야 산란측정을 사용하여 측정될 수 있다. 암시야 계측의 예들은 PCT 특허 출원 공개 번호 제 WO 2009/078708 및 WO 2009/106279 에서 발견될 수 있는데, 이들은 그 전부가 원용에 의해 본 명세서에 통합된다. 이러한 기법의 추가적인 개발예는 미국 특허 출원 공개 번호 US2011-0027704, US2011-0043791 및 US2012-0242970 에서 설명된 바 있는데, 이들은 그 전부가 원용에 의해 본 명세서에 통합된다. 회절 차수의 암-시야 검출을 사용하는 회절-기초 오버레이가 더 작은 타겟에 대한 오버레이 측정을 가능하게 한다. 이러한 타겟은 조명 스폿 보다 더 작을 수 있고, 기판 상의 디바이스 제품 구조체에 의하여 둘러싸일 수도 있다. 일 실시예에서, 하나의 방사선 캡쳐에서 다수의 타겟이 측정될 수 있다.
예를 들어 오버레이를 측정하기 위하여 본 발명의 실시예에서 사용하기에 적합한 계측 장치가 도 3a 에 개략적으로 도시된다. 타겟(T)(격자와 같은 주기적 구조체를 포함함) 및 회절된 광선들은 도 3b 에 좀 더 상세히 표시된다. 이러한 측정 장치는 독립형 디바이스이거나 리소그래피 장치(LA), 예를 들어 측정 스테이션, 또는 리소그래피 셀(LC) 중 하나에 통합될 수 있다. 장치에 걸쳐서 여러 브랜치를 가지는 광축이 점선 O로 표현된다. 이러한 장치에서, 출력부(11)(예를 들어, 레이저 또는 제논 램프와 같은 소스 또는 소스에 연결된 개구부)에 의하여 방출된 방사선은 렌즈(12, 14) 및 대물 렌즈(16)를 포함하는 광학 시스템에 의하여 프리즘(15)을 통해 기판(W)으로 지향된다. 이러한 렌즈들은 4F 배치구성(4F arrangement)의 이중 시퀀스로 배치된다. 기판 이미지를 검출기 상에 제공하는 한, 그 외의 렌즈 장치들도 사용될 수 있다.
일 실시예에서, 렌즈 장치는 공간적-주파수 필터링을 위해 중간 퓨필-평면에 액세스하는 것을 허용한다. 그러므로, 방사선이 기판에 입사하는 각도 범위는, 본 명세서에서 공액(conjugate) 퓨필 평면이라고 불리는 기판 평면의 공간적 스펙트럼을 제공하는 평면에서의 공간적 세기 분포를 정의함으로써 선택될 수 있다. 특히, 예를 들어 이것은 대물 렌즈 퓨필 평면의 백-투영된(back-projected) 이미지인 평면에, 렌즈들(12 및 14) 사이에 적합한 형태의 애퍼쳐 플레이트(13)를 삽입함으로써 수행될 수 있다. 예시된 예에서, 애퍼쳐 플레이트(13)는, 다른 조명 모드가 선택되게 하는 13N 및 13S 라고 명명되는 다른 형태들을 가진다. 이러한 예에서 조명 시스템은 오프-축 조명 모드를 형성한다. 제 1 조명 모드에서, 애퍼쳐 플레이트(13N)는, 오직 설명의 편의를 위해서 '북쪽'이라고 지정되는 방향으로부터 오프-축 조명을 제공한다. 제 2 조명 모드에서, 애퍼쳐 플레이트(13S)는 유사하지만 '남쪽'이라고 명명되는 방향으로부터 오는 조명을 제공하기 위하여 사용된다. 다른 애퍼쳐를 사용하면 조명의 다른 모드들도 가능해진다. 퓨필 평면의 나머지는 어두운 것이 바람직한데, 이것은 원하는 조명 모드 외부의 임의의 불필요한 방사선이 원하는 측정 신호와 간섭을 일으킬 수 있기 때문이다.
도 3b 에 도시된 바와 같이, 타겟(T)은 대물 렌즈(16)의 광축(O)에 실질적으로 법선을 이루는 기판(W)과 함께 배치된다. 축(O)에서 벗어난 각도로부터 타겟(T)에 충돌하는 조명(I)의 광선은 0차 광선(실선 0) 및 두 개의 1차 광선(일점쇄선 +1 및 이점쇄선 -1)이 발생되게 한다. 오버필된 소타겟(T)의 경우에, 이러한 광선들은 계측 타겟(T) 및 다른 피쳐를 포함하는 기판의 영역을 커버하는 많은 평행 광선들 중 단지 하나일 뿐이다. 플레이트(13)에 있는 애퍼쳐가 유한한 폭(방사선의 유용한 양을 허락하기에 필요한 폭)을 가지기 때문에, 입사광선(I)은 사실상 각도의 일정한 범위를 점유할 것이고, 회절된 광선 0 및 +1/-1 은 어느 정도 확산될 것이다. 소타겟의 점확산 함수에 따라서, 각각의 차수 +1 및 -1 은 도시된 바와 같은 단일한 이상적인 광선이 아니라 각도의 일정 범위에 걸쳐 더 넓게 확산될 것이다. 대물 렌즈에 진입하는 1차 광선이 중앙 광축과 가깝게 정렬되도록 주기적 구조체 피치 및 조명 각도가 설계되거나 조절될 수 있다는 점에 주의한다. 도 3a 및 도 3b 에 예시된 광선들은 다소 오프 축이어서 도면에서 더 쉽게 구별될 수 있게 도시된다. 기판(W) 상의 타겟에 의하여 회전된 것 중 적어도 0 및 +1 차 광선들은 대물 렌즈(16)에 의하여 수집되고 다시 프리즘(15)으로 지향된다.
도 3a 를 참조하면, 제 1 및 제 2 조명 모드 모두는 북쪽(N) 및 남쪽(S)이라고 명명된 서로 반대인 애퍼쳐를 지정함으로써 예시된다. 입사 광선(I)이 광축의 북쪽으로부터 입사하는 경우, 즉 제 1 조명 모드가 애퍼쳐 플레이트(13N)를 사용하여 적용되면, +1(N) 이라고 명명된 +1 회절 광선이 대물 렌즈(16)에 입사한다. 이에 반해, 제 2 조명 모드가 애퍼쳐 플레이트(13S)를 사용하면 적용되는 경우, -1 회절 광선(-1(S)라고 명명됨)이 렌즈(16)에 진입한다. 따라서, 일 실시예에서, 측정 결과들은, 예를 들어 -1 번째와 +1 번째 회절 차수 세기를 개별적으로 획득하도록 타겟을 회전시키거나 조명 또는 이미징 모드를 변경시킨 이후에, 타겟을 특정 조건에서 두 번 측정함으로써 획득된다. 주어진 타겟에 대하여 이러한 강도들을 비교하면 타겟에서의 비대칭의 측정이 제공되고, 타겟에서의 비대칭성이 리소그래피 프로세스의 파라미터, 예를 들어 오버레이의 표시자로서 사용될 수 있다. 전술된 상황에서, 조명 모드가 변경된다.
빔 분할기(17)는 회절된 빔을 두 개의 측정 브랜치를 향해 분할한다. 제 1 측정 브랜치에서, 광학 시스템(18)은 0차와 1차 회절빔을 사용하여 제 1 센서(19)(예를 들어, CCD 또는 CMOS 센서) 상에 타겟의 회절 스펙트럼(퓨필 평면 이미지)을 형성한다. 각각의 회절 차수는 센서 상의 다른 포인트에 도달하여, 이미지 처리를 통하여 차수를 비교하고 대조할 수 있다. 센서(19)에 의하여 캡쳐된 퓨필 평면 이미지는 계측 장치를 포커싱하는 것 및/또는 세기 측정을 정규화하기 위하여 사용될 수 있다. 또한, 본 명세서에서 후술되는 바와 같은 재구성과 같은 많은 측정 목적을 위하여 퓨필 평면 이미지가 사용될 수 있다.
제 2 측정 브랜치에서, 광학 시스템(20, 22)은 기판(W) 상의 타겟의 이미지를 센서(23)(예를 들어, CCD 또는 CMOS 센서)에 형성한다. 제 2 측정 브랜치에서, 애퍼쳐 스톱(aperture stop; 21)이 대물렌즈(16)의 퓨필-평면에 대하여 공액인 평면에 제공된다. 애퍼쳐 스톱(21)은 0차 회절빔을 차단하여 센서(23)에 형성된 타겟의 이미지가 -1 또는 +1 일차 빔에 의해서 형성되게 하는 기능을 한다. 센서(19 및 23)에 의하여 측정된 이미지에 관련된 데이터는 이미지 프로세서 및 제어기(PU)로 출력되고, 이들의 기능은 수행되는 특정 타입의 측정에 따라서 달라질 것이다. '이미지'라는 용어는 광의로 사용된다는 것에 주의한다. 이와 같은 주기적 구조체 피쳐(예를 들어 격자 선)의 이미지는, -1 및 +1 차수 중 오직 하나만 존재할 경우에는 형성되지 않을 것이다.
도 3 에 도시되는 애퍼쳐 플레이트(13) 및 스톱(21)의 특정 형태들은 순전히 예일 뿐이다. 다른 실시예에서, 타겟의 온-축 조명이 사용되며, 오프-축 애퍼쳐를 가지는 애퍼쳐 스톱이 회절된 방사선의 오직 하나의 1차 광만을 센서로 실질적으로 전달하도록 사용된다. 또 다른 실시예에서, 2차, 3차 및 더 고차인 빔(도 3 에는 미도시)이 1차 빔 대신에 또는 이에 추가하여 측정에 사용될 수 있다.
조명이 이러한 다른 타입의 측정에 대해 적응될 수 있게 하기 위해서, 애퍼쳐 플레이트(13)는 원하는 패턴이 나타나도록 회전하는 디스크 주위에 형성되는 다수 개의 애퍼쳐 패턴을 포함할 수도 있다. 애퍼쳐 플레이트(13N 또는 13S)가 하나의 방향(셋-업에 따라 X 또는 Y)으로 지향된 타겟의 주기적 구조체를 측정하기 위해서 사용된다는 점에 주의한다. 직교 주기적 구조체를 측정하기 위해서, 타겟이 90° 및 270°만큼 회전되는 방식이 구현될 수 있다. 그 외의 애퍼쳐 플레이트들이 도 3c 및 도 3d 에 도시된다. 도 3c 는 두 개의 추가적 타입의 오프-축 조명 모드를 예시한다. 도 3c 의 제 1 조명 모드에서, 애퍼쳐 플레이트(13E)는, 오직 설명의 편의를 위해서 전술된 '북쪽'에 대해 '동쪽'이라고 지정되는 방향으로부터 오프-축 조명을 제공한다. 도 3c 의 제 2 조명 모드에서, 애퍼쳐 플레이트(13W)는 유사하지만 '서쪽'이라고 명명되는 방향으로부터 오는 조명을 제공하기 위하여 사용된다. 도 3d 는 두 개의 추가적 타입의 오프-축 조명 모드를 예시한다. 도 3d 의 제 1 조명 모드에서, 애퍼쳐 플레이트(13NW)는 전술된 바와 같이 '북쪽' 및 '서쪽' 이라고 지정된 방향들로부터 오프-축 조명을 제공한다. 제 2 조명 모드에서, 애퍼쳐 플레이트(13SE)는 유사하지만 전술된 바와 같은 '남쪽' 및 '동쪽'이라고 명명되는 반대 방향으로부터 조명을 제공하기 위하여 사용된다. 장치의 이러한 사용법과 수많은 다른 변형예와 적용예들은, 예를 들어 전술된 이미 공개된 특허 출원 공개 문헌들에 기술되어 있다.
도 4 는 기판(W) 상에 형성되는 예시적인 복합 계측 타겟(T)을 도시한다. 복합 타겟은 서로 가까이 위치된 4 개의 주기적 구조체(이러한 경우는 격자(32, 33, 34, 35))를 포함한다. 일 실시예에서, 주기적 구조체 레이아웃은 측정 스폿보다 작게 만들어질 수 있다(즉, 주기적 구조체 레이아웃은 오버필된다). 따라서, 일 실시예에서, 주기적 구조체는 그들 모두가 계측 장치의 조명 빔에 의해 형성된 측정 스폿(31) 내에 놓이도록 서로 충분히 가깝게 위치된다. 이러한 경우, 4 개의 주기적 구조체는 모두 동시에 조명되고 센서(19 및 23)에 동시에 결상된다. 오버레이 측정에 특유한 일 예에서, 주기적 구조체(32, 33, 34, 35) 자체는 오버라이하는 주기적 구조체들에 의해 형성되는 복합 주기적 구조체(예를 들어, 복합 격자)이고, 즉, 주기적 구조체들은 기판(W) 상에 형성된 디바이스의 상이한 층들에 패터닝되어 하나의 층에 있는 적어도 하나의 주기적 구조체가 다른 층에 있는 적어도 하나의 주기적 구조체에 오버레이하게 된다. 이러한 타겟은 20 μm x 20 μm 내 또는 16 μm x 16 μm 내의 외부 치수를 가질 수 있다. 더 나아가, 주기적 구조체들 모두는 층들의 특정 쌍 사이의 오버레이를 측정하기 위하여 사용된다. 타겟이 층들의 둘 이상의 쌍을 측정할 수 있게 하려면, 복합 주기적 구조체들의 상이한 부분들이 형성되는 상이한 층들 사이의 오버레이의 측정을 용이하게 하기 위해서 주기적 구조체(32, 33, 34, 35)는 상이하게 바이어스된 오버레이 오프셋을 가질 수 있다. 따라서, 기판 상의 타겟에 대한 주기적 구조체들 모두는 층들의 하나의 쌍을 측정하기 위하여 사용될 것이고, 기판 상의 다른 동일한 타겟에 대한 주기적 구조체들 모두는 층들의 다른 쌍을 측정하기 위하여 사용될 것이며, 상이한 바이어스는 층 쌍들을 구별하기 쉽게 한다.
다시 도 4 로 돌아가면, 주기 구조체(32, 33, 34, 35)는 인입하는 방사선을 X 및 Y 방향으로 회절시키도록, 도시된 것처럼 배향도 다를 수 있다. 일 예에서, 주기 구조체(32 및 34)는 +d, -d, 각각의 바이어스를 가지는 X-방향 주기 구조체들이다. 주기 구조체(33 및 35)는 각각 오프셋 +d 및 -d인 Y-방향 주기 구조체들일 수 있다. 4 개의 주기 구조체가 예시되는 반면에, 다른 실시예는 원하는 정확도를 얻기 위해 더 큰 매트릭스를 포함할 수 있다. 예를 들어, 9개의 복합 주기 구조체의 3 x 3 어레이는 바이어스 -4d, -3d, -2d, -d, 0, +d, +2d, +3d, +4d를 가질 수도 있다. 이러한 주기 구조체들의 개별 이미지는 센서(23)에 의하여 캡쳐된 이미지에서 식별될 수 있다.
도 5 는 도 3 의 장치에 있는 도 4 의 타겟을 사용하고, 도 3d 의 애퍼쳐 플레이트(13NW 또는 13SE)를 사용하여 센서(23)에 형성되고 센서에 의하여 검출될 수 있는 이미지의 일 예를 도시한다. 센서(19)는 개개의 다른 주기적 구조체(32 내지 35)들을 분해할 수 없는 반면에, 센서(23)는 가능하다. 어두운 사각형은 센서 상의 이미지의 필드 이고, 그 안에서 기판 상의 조명된 스폿(31)이 대응하는 원형 영역(41)으로 이미징된다. 이러한 경우, 직사각형 영역(42 내지 45)은 주기적 구조체(32 내지 35)의 이미지를 나타낸다. 타겟은 스크라이브 레인 내가 아니거나 이에 추가하여, 디바이스 제품 피쳐들 사이에 위치설정될 수 있다. 만일 주기적 구조체들이 디바이스 제품 영역에 위치된다면, 디바이스 제품 피쳐도 역시 이러한 이미지 필드의 주위에서 보여질 수 있다. 프로세서 및 제어기(PU)는 패턴 인식을 사용하여 이러한 이미지를 처리하여 주기적 구조체(32 내지 35)의 별개의 이미지(42 내지 45)를 식별한다. 이러한 방식으로, 이미지는 센서 프레임 내의 특정한 위치에서 매우 정밀하게 정렬될 필요가 없으며, 이것이 측정 장치 전체의 쓰루풋을 크게 개선시킨다.
주기 구조체들의 개별 이미지가 식별되면, 예를 들어 식별된 영역 내의 선택된 픽셀 세기 값을 평균화하거나 합산함으로써 그러한 개별 이미지의 세기가 측정될 수 있다. 이미지의 세기 및/또는 다른 속성이 서로 비교될 수 있다. 이러한 결과는 리소그래피 프로세스의 다른 파라미터를 측정하도록 결합될 수 있다. 오버레이 성능은 이러한 파라미터의 하나의 예이다.
일 실시예에서, 패터닝 프로세스의 관심 파라미터 중 하나는 피쳐 폭(예를 들어 CD)이다. 도 6 은 피쳐 폭 결정이 가능하게 할 수 있는 고도로 개략적인 예시적 계측 장치(예를 들어, 산란계)를 도시한다. 이것은 방사선을 기판(W) 상에 투영하는 브로드밴드(백색 광) 방사선 투영기(2)를 포함한다. 재지향된 방사선은 분광계 검출기(4)로 전달되고, 이것은 예를 들어 좌측 아래의 그래프에 표시된 것과 같은 경면 반사된 방사선의 스펙트럼(10)(파장의 함수로서의 세기)을 측정한다. 이러한 데이터로부터, 예를 들어 엄밀 결합 파 분석(Rigorous Coupled Wave Analysis) 및 비선형 회귀(non-linear regression)에 의해 또는 도 6 의 우측 아래에 도시한 바와 같은 시뮬레이션된 스펙트럼(simulated spectra)의 라이브러리와의 비교에 의해, 검출된 스펙트럼을 초래하는 구조 또는 프로파일이 프로세서(PU)에 의해 재구성될 수도 있다. 일반적으로, 재구성을 위해서는, 그 구조의 전반적인 형태가 알려져 있으며 일부 변수는 이러한 구조를 제조하는 프로세스에 대한 정보로부터 추정되어, 이러한 구조의 소수의 변수만이 측정된 데이터로부터 결정되도록 남게 된다. 이러한 계측 장치는 수직 입사(normal-incidence) 계측 장치 또는 경사 입사(oblique-incidence) 계측 장치로서 구성될 수 있다. 더 나아가, 재구성을 통한 파라미터의 측정에 추가하여, 각도 분해 산란측정이 제품 및/또는 레지스트 패턴 내의 피쳐의 비대칭을 측정하는 데에 유용하다. 비대칭 측정의 특정 응용예는 오버레이의 측정을 위한 것인데, 이러한 경우 타겟은 서로 중첩된 주기적 피쳐들의 하나의 세트를 포함한다. 이러한 방식의 비대칭 측정의 개념은, 예를 들어 미국 특허 공개 번호 US2006-066855 에 기술되는데, 이것은 그 전체로서 본 명세서에 원용된다.
도 7 은 본 명세서에서 개시된 본 발명의 실시예에서 사용하기에 적합한 계측 장치(100)의 일 예를 도시한다. 이러한 타입의 계측 장치의 동작 원리는 미국 특허 출원 번호 US 2006-033921 및 US 2010-201963 에 더 상세하게 설명되는데, 이들은 그 전체로서 원용에 의하여 본 명세서에 통합된다. 장치에 걸쳐서 여러 브랜치를 가지는 광축이 점선 O로 표현된다. 이러한 장치에서, 소스(110)(예를 들어, 제논 램프)에 의하여 방출된 방사선은 렌즈 시스템(120), 애퍼쳐 플레이트(130), 렌즈 시스템(140), 부분 반사면(150), 및 대물 렌즈(160)를 포함하는 광학 시스템에 의하여 기판(W) 상에 지향된다. 일 실시예에서 이러한 렌즈 시스템(120, 140, 160)은 4F 배치구성의 이중 시퀀스로 배치된다. 일 실시예에서, 방사선 소스(110)에 의해 방출되는 방사선은 렌즈 시스템(120)을 사용하여 시준된다. 소망되는 경우에는 상이한 렌즈 배치구성이 사용될 수 있다. 방사선이 기판에 입사하는 각도 범위는, 기판 평면의 공간적 스펙트럼을 제공하는 평면에서의 공간적 세기 분포를 규정함으로써 선택될 수 있다. 특히, 이것은 대물 렌즈 퓨필 평면의 백-투영된(back-projected) 이미지인 평면에, 렌즈들(120 및 140) 사이에 적합한 형태의 개구부 플레이트(130)를 삽입함으로써 수행될 수 있다. 다른 애퍼쳐를 사용하면 상이한 세기 분포(예를 들어, 환형, 쌍극자 등)도 가능하다. 방사상 및 주변 방향에서의 조명의 각도 분포, 및 방사선의 파장, 편광 및/또는 가간섭성(coherency)과 같은 특성 모두가 원하는 결과를 얻기 위해서 조절될 수 있다. 예를 들면, 400 - 900 nm 또는 그보다 낮은, 예컨대 200 - 300 nm와 같은 범위의 관심 파장을 선택하기 위해 하나 이상의 간섭 필터(130)(도 9 참조)가 소스(110)와 부분 반사면(150) 사이에 제공될 수 있다. 간섭 필터는 상이한 필터의 세트를 포함하기보다는 튜닝가능할 수도 있다. 간섭 필터 대신 격자(grating)가 이용될 수 있다. 일 실시예에서, 관심 편광을 선택하기 위하여 소스(110) 및 부분 반사면(150) 사이에 하나 이상의 편광자(170)(도 9 를 참조한다)가 제공될 수 있다. 편광자는 상이한 편광자의 세트를 포함하는 것이 아니라 튜닝가능할 수도 있다.
도 7 에 도시된 바와 같이, 타겟(T)은 대물 렌즈(160)의 광축(O)에 법선을 이루는 기판(W)과 함께 배치된다. 따라서, 소스(110)로부터 나온 방사선은 부분 반사면(150)에 의해 반사되고 대물 렌즈(160)를 통해서 기판(W) 상의 타겟(T)에 있는 스폿(S)(도 8 을 참조한다)으로 집속된다. 일 실시예에서, 대물 렌즈(160)는 바람직하게는 적어도 0.9 또는 적어도 0.95 의 높은 개구수(NA)를 가진다. 심지어 침지 계측 장치(물과 같은 상대적으로 높은 굴절률의 유체를 사용함)는(1)이 넘는 개구수를 가질 수도 있다.
축(O)에서 벗어난 각도로부터 조명 스폿으로 집광되는 조명(170, 172)의 광선이 회절된 광선(174, 176)을 발생시킨다. 이러한 광선들은 타겟(T)을 포함하는 기판의 영역을 커버하는 많은 평행 광선들 중 단지 하나일 분이라는 것을 기억해야 한다. 조명 스폿 내의 각각의 요소는 계측 장치의 가시 범위 내에 있다. 플레이트(130)에 있는 애퍼쳐가 유한한 폭(방사선의 유용한 양을 허락하기에 필요한 폭)을 가지기 때문에, 입사광선(170, 172)은 사실상 각도의 일정한 범위를 점유할 것이고, 회절된 광선(174, 176)은 어느 정도 확산될 것이다. 소타겟의 점확산 함수에 따라서, 각각의 회절 차수는 도시된 바와 같은 단일한 이상적인 광선이 아니라 각도의 일정 범위에 걸쳐 더 넓게 확산될 것이다.
기판(W) 상의 타겟에 의하여 회절된 적어도 0차는 대물 렌즈(160)에 의하여 집광되고 부분 반사면(150)을 통해서 되지향된다. 광학 요소(180)는 회절빔 중 적어도 일부를 광학 시스템(182)으로 제공하고, 이러한 시스템은 0차 및/또는 1차 회절빔을 사용하여 센서(190)(예를 들어, CCD 또는 CMOS 센서) 상에 타겟의 회절 스펙트럼(퓨필 평면 이미지)을 형성한다. 일 실시예에서, 특정 회절 차수를 필터링하여 특정 회절 차수가 센서(190)로 제공되도록, 애퍼쳐(186)가 제공된다. 일 실시예에서, 애퍼쳐(186)는 실질적으로 또는 주성분으로 0차 방사선만이 센서(190)에 도달하게 한다. 일 실시예에서, 센서(190)는 기판 타겟(T)의 2차원 각도 산란 스펙트럼이 측정될 수 있도록 2차원 검출기일 수 있다. 센서(190)는 예를 들어 CCD 또는 CMOS 센서의 어레이일 수도 있으며, 예컨대 프레임당 40 ms의 노출 시간(integration time)을 사용할 수도 있다. 센서(190)는 단일 파장(또는 좁은 파장 범위)에서의 재지향된 방사선의 세기를 측정하기 위해 사용될 수도 있고, 여러 파장에서의 세기를 별도로 측정할 수도 있으며, 또는 일정 파장 범위에 걸쳐 통합된 세기를 측정할 수도 있다. 또한, 센서는 횡자기 편광(transverse magnetic-polarized) 및 횡전기 편광 방사선(transverse electric-polarized radiation)을 가지는 방사선의 세기, 및/또는 횡자기 편광 방사선과 횡전기 편광 방사선 간의 위상차를 개별적으로 측정할 수도 있다.
또는, 광학 요소(180)는 회절된 빔 중 적어도 일부를 측정 브랜치(200)에 제공하여 기판(W) 상의 타겟의 이미지를 센서(230)(예를 들어 CCD 또는 CMOS 센서)에 형성한다. 측정 브랜치(200)는 계측 장치를 포커싱하는 것(즉, 기판(W)이 대물 렌즈(160)와 초점이 맞게 하는 것)과 같은 다양한 보조 기능, 및/또는 도입부에서 언급된 타입의 암시야 이미징을 위해 사용될 수 있다.
격자의 상이한 크기 및 형상에 대해서 맞춤화된 가시 범위를 제공하기 위하여, 조절가능한 필드 스톱(300)이 렌즈 시스템(140) 내에서 소스(110)로부터 대물 렌즈(160)까지의 경로에 제공한다. 필드 스톱(300)은 애퍼쳐(302)를 가지고 있으며 타겟(T)의 평면과 공액인 평면에 위치되어, 조명 스폿이 애퍼쳐(302)의 이미지가 되게 한다. 이미지는 확대 인자에 따라서 스케일링될 수 있고, 또는 애퍼쳐 및 조명 스폿은 1:1 크기 관련성을 가질 수 있다. 조명이 다른 타입의 측정에 대해 적응될 수 있게 하기 위해서, 애퍼쳐 플레이트(300)는 원하는 패턴이 나타나도록 회전하는 디스크 주위에 형성되는 다수 개의 애퍼쳐 패턴을 포함할 수도 있다. 대안적으로 또는 추가적으로, 플레이트들(300)의 세트가 동일한 효과를 얻도록 제공되고 스워핑될 수 있다. 추가적으로 또는 대안적으로, 변형가능 미러 어레이 또는 투과성 공간적 광 변조기와 같은 프로그래밍가능한 애퍼쳐 디바이스도 역시 사용될 수 있다.
통상적으로, 타겟은 Y 축에 평행하거나 X 축에 평행하도록 배열되는 자신의 주기적 구조체 피쳐들과 정렬될 것이다. 자신의 회절 거동에 관해서는, Y 축에 평행한 방향으로 연장되는 피쳐들이 있는 주기적 구조체는 X 방향에서 주기성을 가지는 반면에, X 축에 평행한 방향으로 연장되는 피쳐들이 있는 주기적 구조체는 Y 방향에서 주기성을 가진다. 양자 모두의 방향에서 성능을 측정하기 위하여, 피쳐들의 양자 모두 타입들이 총괄적으로 제공된다. 간결성을 위하여 선과 공간들로 참조될 것이지만, 주기적 구조체는 선과 공간들로 이루어질 필요가 없다. 더욱이, 각각의 선 및/또는 선들 사이의 공간은 더 작은 서브-구조체들로 형성된 구조체일 수 있다. 더 나아가, 주기적 구조체는, 예를 들어 주기적 구조체가 포스트 및/또는 비아 홀을 포함하는 경우 두 개의 차원에서 한꺼번에 주기성을 가지고 형성될 수 있다.
도 8 은 도 7 의 장치에서의 통상적인 타겟(T), 및 조명 스폿(S)의 평면도를 도시한다. 주변 구조체로부터의 간섭이 없는 회절 스펙트럼을 얻기 위해서, 일 실시예에서 타겟(T)은 조명 스폿(S)의 폭(예를 들어, 직경) 보다 더 큰 주기적 구조체(예를 들어, 격자)이다. 스폿(S)의 폭은 타겟의 폭과 길이보다 작을 수 있다. 다르게 말하면, 타겟은 조명에 의해 '언더필되고(underfilled'), 회절 신호에는 타겟 자체 밖의 제품 피쳐 등으로부터의 신호가 본질적으로 존재하지 않는다. 이를 통하여 타겟이 무한 개인 것처럼 간주될 수 있도록 타겟을 수학적으로 용이하게 재구성할 수 있다.
도 9 는 계측법을 사용하여 얻어진 측정된 데이터에 기초하여 타겟 패턴(30')의 하나 이상의 관심 변수의 값을 결정하는 예시적인 프로세스를 개략적으로 도시한다. 검출기(190)에 의해 검출된 방사선은 타겟(30')에 대한 측정된 방사선 분포(108)를 제공한다.
주어진 타겟(30')에 대해서, 방사선 분포(208)는, 예를 들어 수치 맥스웰 솔버(numerical Maxwell solver; 210)를 사용하여, 파라미터화된 수학 모델(206')로부터 계산 / 시뮬레이션될 수 있다. 파라미터화된 수학 모델(206)은 타겟을 구성하고 타겟과 연관된 다양한 재료의 예시적인 층들을 보여준다. 파라미터화된 수학 모델(206)은 고려 대상인 타겟의 피쳐 및 일부의 층에 대한 변수 중 하나 이상을 포함할 수 있고, 이들은 변경되고 유도될 수 있다. 도 9 에 도시된 바와 같이, 변수 중 하나 이상은 하나 이상의 층의 두께 t, 하나 이상의 피쳐의 폭 w(예를 들어, CD), 하나 이상의 피쳐의 높이 h, 하나 이상의 피쳐의 측벽 각도 α, 및/또는 피쳐들(본 명세서에서는 오버레이로 간주됨)의 상대 위치를 포함할 수 있다. 비록 도시되지 않지만, 변수 중 하나 이상은 층들 중 하나 이상의 굴절률(예를 들어, 실수 또는 복소 굴절률, 굴절률 텐서 등), 하나 이상의 층의 소광 계수, 하나 이상의 층의 흡수, 현상 도중의 레지스트 손실, 하나 이상의 피쳐의 푸팅(footing), 및/또는 하나 이상의 피쳐의 선 에지 거칠기를 더 포함할 수도 있으나, 이에 한정되지는 않는다. 1-D 주기적 구조체 또는 2-D 주기적 구조체의 하나 이상의 파라미터의 하나 이상의 값, 예컨대 폭의 값, 길이, 형상 또는 3-D 프로파일 특성은, 패터닝 프로세스 및/또는 다른 측정 프로세스의 지식으로부터 얻어져서 재구성 프로세스로 입력될 수 있다. 예를 들어, 변수의 초기 값은 측정 중인 타겟에 대한 하나 이상의 파라미터의 그러한 기대값, 예컨대 CD의 값, 피치 등일 수 있다.
일부 경우에, 타겟은 유닛 셀의 복수 개의 인스턴스로 분할될 수 있다. 그러한 경우에 타겟의 방사선 분포를 쉽게 계산하도록 돕기 위하여, 모델(206)은 타겟의 구조체의 유닛 셀을 사용하여 계산 / 시뮬레이션하도록 설계될 수 있는데, 유닛 셀은 전체 타겟에 걸쳐서 인스턴스로서 반복된다. 따라서, 타겟의 방사선 분포를 결정하기 위하여, 모델(206)은 하나의 유닛 셀을 사용하여 계산하고 적절한 경계 조건을 사용하여 전체 타겟에 피팅하도록 그 결과를 복사한다.
방사선 분포(208)를 재구성 시점에 계산하는 것에 추가적으로 또는 대안적으로, 복수 개의 방사선 분포(208)가 고려 대상인 타겟 부분의 변수의 복수 개의 변동에 대해서 미리 계산되어 재구성 시점에 사용할 방사선 분포의 라이브러리를 생성할 수 있다.
그러면, 측정된 방사선 분포(108)는 212 에서 계산된(해당 시점에 가깝게 계산되거나 라이브러리로부터 획득된) 방사선 분포(208)와 비교되어 이들 사이의 차를 결정한다. 차이가 있으면, 파라미터화된 수학 모델(206)의 변수 중 하나 이상의 값은 변경될 수 있고, 새롭게 계산된 방사선 분포(208)가, 측정된 방사선 분포(108)와 방사선 분포(208) 사이에 충분한 매칭이 존재할 때까지 획득되고(계산되거나 라이브러리로부터 획득되고) 측정된 방사선 분포(108)에 대해 비교된다. 해당 시점에서, 파라미터화된 수학 모델(206)의 변수들의 값은 실제 타겟(30')의 기하학적 구조에 대한 양호하거나 최선의 매칭을 제공한다. 일 실시예에서, 측정된 방사선 분포(108)와 계산된 방사선 분포(208) 사이의 차가 공차 임계 안에 속하면 충분한 매칭이 존재하는 것이다.
이러한 계측 장치에서, 기판 지지대가 측정 동작 중에 기판(W)을 홀딩하기 위해 제공될 수 있다. 기판 지지대는 형태상 도 1 의 기판 지지대(WT)와 유사하거나 동일할 수 있다. 계측 장치가 리소그래피 장치와 통합되는 일 예에서, 이것은 동일한 기판 테이블일 수도 있다. 성긴 위치설정기 및 정밀 위치설정기가 측정 광학 시스템에 대해 기판을 정확하게 위치설정하도록 구성될 수 있다. 예를 들어 관심 타겟의 위치를 얻고 이것을 대물 렌즈 아래의 위치로 데려가기 위해서, 다양한 센서 및 액츄에이터가 제공된다. 통상적으로, 기판(W)에 걸친 상이한 위치에서 타겟에 많은 측정이 이루어질 것이다. 기판 지지체는 X 및 Y 방향으로 이동되어 상이한 타겟들을 얻을 수 있고, Z 방향으로 이동되어 광학 시스템의 초점에 대한 타겟의 원하는 위치를 얻을 수 있다. 예를 들어 실제로 광학 시스템이 실질적으로 정지된 상태를 유지하고(통상적으로 X 및 Y 방향이지만 Z 방향에서도 정지될 수 있음) 기판만이 이동하는 경우, 대물 렌즈가 기판에 대해 상대적으로 상이한 위치로 이동되고 있는 것처럼 동작을 이해하고 설명하는 것이 편리하다. 기판 및 광학 시스템의 상대 위치가 정확하다면, 이들 중 어느 것이 실제로 이동하고 있는지, 또는 둘 다 이동하는 중인지, 또는 광학 시스템의 일부의 조합이 이동하며(예를 들어, Z 및/또는 틸트 방향으로) 광학 시스템의 나머지가 정지된 상태이고 기판이 이동하는지(예를 들어, X 및 Y 방향이지만, 선택적으로 Z 및/또는 틸트 방향으로도 이동가능함)는 이론 상 중요하지 않다.
일 실시예에서, 타겟의 측정 정확도 및/또는 감도는 타겟 상에 제공되는 방사선의 빔의 하나 이상의 속성, 예를 들어 방사선 빔의 파장, 방사선 빔의 편광, 방사선 빔의 세기 분포(즉, 각도 또는 공간적 세기 분포) 등에 대해서 변할 수 있다. 따라서, 예를 들어 타겟의 양호한 측정 정확도 및/또는 감도를 획득할 수 있는 특정한 측정 전략이 선택될 수 있다.
적어도 하나의 패턴 전사 단계(예를 들어, 광 리소그래피 단계)를 포함하는 패터닝 프로세스(예를 들어, 디바이스 제조 프로세스)를 모니터링하기 위하여, 패터닝된 기판이 검사되고 패터닝된 기판의 하나 이상의 파라미터가 측정/결정된다. 하나 이상의 파라미터는, 예를 들어 패터닝된 기판 내에 또는 그 위에 형성된 연속 층들 사이의 오버레이, 예를 들어 패터닝된 기판 내에 또는 그 위에 형성된 피쳐의 임계 치수(CD)(예를 들어, 임계 선폭), 광 리소그래피 단계의 초점 또는 초점 오차, 광 리소그래피 단계의 선량 또는 선량 오차, 광 리소그래피 단계의 광수차, 배치 오차(예를 들어, 에지 배치 오차) 등을 포함할 수 있다. 이러한 측정은 제품 기판 자체 및/또는 기판 상에 제공된 전용의 계측 타겟 상에서 수행될 수 있다. 측정은 레지스트의 현상 후이지만 에칭 이전에 수행될 수 있거나 또는 에칭 후에 수행될 수 있다.
일 실시예에서, 획득된 측정 프로세스로부터 획득된 파라미터는 측정 프로세스로부터 직접적으로 결정된 파라미터로부터 유도된 파라미터이다. 일 예로서, 측정 파라미터로부터 획득되는 유도된 파라미터는 패터닝 프로세스에 대한 에지 배치 오차이다. 에지 배치 오차는 패터닝 프로세스에 의해 생성되는 구조체의 에지의 위치가 달라지게 한다. 일 실시예에서, 에지 배치 오차는 오버레이 값으로부터 유도된다. 일 실시예에서, 에지 배치 오차는 오버레이 값과 CD 값의 조합으로부터 유도된다. 일 실시예에서, 에지 배치는 오버레이 값, CD 값 및 국지적 변동(예를 들어, 개개의 구조체의 에지 거칠기, 형상 비대칭 등)의 값으로부터 유도된다. 일 실시예에서, 에지 배치 오차는 결합된 오버레이 및 CD 오차들의 극단 값(예를 들어, 3 개의 표준 편차, 즉, 3σ)을 포함한다. 일 실시예에서, 구조체를 생성하는 것을 수반하고, 구조체에 상대적인 패터닝 프로세스에 의해 제공된 패턴의 에칭을 통하여 구조체의 일부를 제거함으로써 구조체를 "절삭(cutting)"하는 것을 수반하는 다중-패터닝 프로세스에서는, 에지 배치 오차가 다음 형태를 가지는데(또는 다음 항들 중 하나 이상을 포함함):
Figure pct00001
, 여기에서 σ는 표준 편차이고,
Figure pct00002
는 오버레이의 표준 편차에 대응하며,
Figure pct00003
는 패터닝 프로세스에서 생성된 구조체의 임계 치수 균일성(CDU)의 표준 편차에 대응하고,
Figure pct00004
는 존재한다면 패터닝 프로세스에서 생성된 절삭부의 임계 치수 균일성(CDU)의 표준 편차에 대응하며,
Figure pct00005
는 광학적 근접성 효과(OPE) 및/또는 레퍼런스 CD에 대한 피치에서의 CD의 차이인 및/또는 근접성 바이어스 평균(PBA)의 표준 편차에 대응하고,
Figure pct00006
는 선 에지 거칠기(LER) 및/또는 로컬 배치 오차(LPE)의 표준 편차에 대응한다. 위의 수식이 표준 편차에 관련되지만, 이것은 분산과 같은 다른 비견할만한 통계 방식으로 공식화될 수 있다.
주사 전자 현미경(scanning electron microscope), 영상-기초 측정 툴 및/또는 다양한 특수 기기를 사용하는 것을 포함하는 패터닝 프로세스에서 형성된 구조체를 측정하기 위한 다양한 기법들이 존재한다. 전술된 바와 같이, 신속하고 비침투식인 형태의 특수 계측 툴은, 방사선의 빔이 기판면 상의 타겟 상으로 디렉팅되고 산란된(회절/반사된) 빔의 특성이 측정되는 것이다. 기판에 의해 산란된 방사선의 특성 중 하나 이상을 평가함으로써, 기판의 하나 이상의 특성이 결정될 수 있다. 이것은 회절-기초 계측이라고 명명될 수 있다. 이러한 회절-기초 계측의 하나의 적용예는 타겟 내에서의 피쳐 비대칭의 측정 분야이다. 이것은, 예를 들어 오버레이의 크기로서 사용될 수 있지만, 다른 애플리케이션들도 역시 알려져 있다. 예를 들어, 비대칭은 회절 스펙트럼의 반대 부분들을 비교함으로써(예를 들어, 주기적 격자의 회절 스펙트럼 내의 -1차 및 +1차를 비교함으로써) 측정될 수 있다. 이것은, 전술된 바와 같이 그리고 예를 들어 그 전체 내용이 원용되어 본원에 통합되는 미국 특허 출원 공개 번호 US2006-066855 에 기술된 바와 같이 간단하게 수행될 수 있다. 이러한 회절-기초 계측의 다른 적용예는 타겟 내에서의 피쳐를 측정하는 분야이다. 이러한 기법은 도 6 내지 도 9 를 참조하여 전술된 장치 및 방법을 사용할 수 있다.
이제, 이러한 기법이 효과적이기는 하지만, 타겟 내의 피쳐 비대칭(예컨대 오버레이, CD 비대칭, 측벽 각도 비대칭 등)을 유도하는 새로운 측정 기법을 제공하는 것이 바람직하다. 이러한 기법은 특수하게 설계된 계측 타겟을 위해서 또는 더 중요하게는 디바이스 패턴 상의 피쳐 비대칭을 직접적으로 결정하기에 효과적일 수 있다.
도 10 을 참조하면, 이러한 측정 기법의 원리가 오버레이 실시예의 콘텍스트에서 설명된다. 도 10a 에서, 타겟(T)의 기하학적으로 대칭적인 유닛 셀이 도시된다. 타겟(T)은 유닛 셀의 오직 하나의 물리적 인스턴스를 포함할 수 있고 또는 도 10c 에 도시된 바와 같이 유닛 셀의 복수 개의 물리적 인스턴스를 포함할 수 있다.
타겟(T)은 특수하게 설계된 타겟일 수 있다. 일 실시예에서, 타겟은 스크라이브 레인이다. 일 실시예에서, 타겟은 인-다이 타겟이고, 즉, 타겟은 디바이스 패턴 사이에(따라서 스크라이브 레인들 사이에) 있다. 일 실시예에서, 타겟은 디바이스 패턴 피쳐와 비슷한 피쳐 폭 또는 피치를 가질 수 있다. 예를 들어, 타겟 피쳐 폭 또는 피치는 디바이스 패턴의 최소 피쳐 크기 또는 피치의 300% 이하, 디바이스 패턴의 최소 피쳐 크기 또는 피치의 200% 이하, 디바이스 패턴의 최소 피쳐 크기 또는 피치의 150% 이하, 또는 디바이스 패턴의 최소 피쳐 크기 또는 피치의 100% 이하일 수 있다.
타겟(T)은 디바이스 구조체일 수 있다. 예를 들어, 타겟(T)은 메모리 디바이스(흔히 후술되는 것처럼 기하학적으로 대칭적이거나 대칭적일 수 있는 하나 이상의 구조체를 가짐)의 일부일 수 있다.
일 실시예에서, 유닛 셀의 타겟(T) 또는 물리적 인스턴스는 2400 마이크론 제곱 이하의 면적, 2000 마이크론 제곱 이하의 면적, 1500 마이크론 제곱 이하의 면적, 1000 마이크론 제곱 이하의 면적, 400 마이크론 제곱 이하의 면적, 200 마이크론 제곱 이하의 면적, 100 마이크론 제곱 이하의 면적, 50 마이크론 제곱 이하의 면적, 25 마이크론 제곱 이하의 면적, 10 마이크론 제곱 이하의 면적, 5 마이크론 제곱 이하의 면적, 1 마이크론 제곱 이하의 면적, 0.5 마이크론 제곱 이하의 면적, 또는 0.1 마이크론 제곱 이하의 면적을 가질 수 있다. 일 실시예에서, 유닛 셀의 타겟(T) 또는 물리적 인스턴스는, 기판의 평면에 평행한 50 마이크론 이상, 30 마이크론 이상, 20 마이크론 이상, 15 마이크론 이상, 10 마이크론 이상, 5 마이크론 이상, 3 마이크론 이상, 1 마이크론 이상, 0.5 마이크론 이상, 0.2 마이크론 이상, 또는 0.1 마이크론 이상의, 마이크론 이상, 마이크론 이상의 단면 치수를 가진다.
일 실시예에서, 유닛 셀의 타겟(T) 또는 물리적 인스턴스는 5 마이이크론 이하, 2 마이이크론 이하, 1 마이이크론 이하, 500 nm 이하, 400 nm 이하, 300 nm 이하, 200 nm 이하, 150 nm 이하, 100 nm 이하, 75 nm 이하, 50 nm 이하, 32 nm 이하, 22 nm 이하, 16 nm 이하, 10 nm 이하, 7 nm 이하, 또는 5 nm 이하의 구조체의 피치를 가진다.
일 실시예에서, 타겟(T)은 유닛 셀의 복수 개의 물리적 인스턴스를 가진다. 따라서, 타겟(T)은 통상적으로 여기에 나열된 높은 차원을 가질 수 있지만, 유닛 셀의 물리적 인스턴스는 여기에 나열된 낮은 치수를 가질 것이다. 일 실시예에서, 타겟(T)은 유닛 셀의 50,000 개의 물리적 인스턴스, 유닛 셀의 25,000 개의 물리적 인스턴스, 유닛 셀의 15,000 개의 물리적 인스턴스, 유닛 셀의 10,000 개의 물리적 인스턴스, 유닛 셀의 5,000 개의 물리적 인스턴스, 유닛 셀의 1000 개의 물리적 인스턴스, 유닛 셀의 500 개의 물리적 인스턴스, 유닛 셀의 200 개의 물리적 인스턴스, 유닛 셀의 100 개의 물리적 인스턴스, 유닛 셀의 50 개의 물리적 인스턴스, 또는 유닛 셀의 10 개의 물리적 인스턴스를 포함한다.
바람직하게는, 유닛 셀의 물리적 인스턴스 또는 유닛 셀의 복수 개의 물리적 인스턴스는 총괄하여 계측 장치의 빔 스폿을 채운다. 그러한 경우에, 측정된 결과는 본질적으로 유닛 셀의 물리적 인스턴스(또는 그 복수 개의 인스턴스)로부터 얻은 정보만을 포함한다. 일 실시예에서, 빔 스폿은 50 마이크론 이하, 40 마이크론 이하, 30 마이크론 이하, 20 마이크론 이하, 15 마이크론 이하, 10 마이크론 이하, 5 마이크론 이하, 또는 2 마이크론 이하의 단면 폭을 가진다.
도 10a 의 유닛 셀은 기판 상에서 물리적으로 인스턴스화되거나 인스턴스화될 적어도 두 개의 구조체를 포함한다. 제 1 구조체(1000)는 선을 포함하고 제 2 구조체(1005)는 타원형 형상을 포함한다. 물론, 제 1 및 제 2 구조체(1000, 1005)는 도시된 것과 다른 구조체일 수 있다.
더 나아가, 이러한 예에서, 오버레이에 오차를 가지기 위하여, 기판 상에 그들이 개별적으로 전사되기 때문에 제 1 구조체와 제 2 구조체(1000, 1005) 사이에는 그들의 기대된 위치로부터 상대적인 시프트가 존재할 수 있다. 이러한 예에서, 제 1 구조체(1000)는 제 2 구조체(1005)보다 기판 상의 더 높은 층에 위치된다. 따라서, 일 실시예에서, 제 2 구조체(1005)는 패터닝 프로세스의 제 1 실행 시에 첫 번째 더 낮은 층에 생성될 수 있고, 제 1 구조체(1000)는 패터닝 프로세스의 제 2 실행 시에 첫 번째 더 낮은 층보다 두 번째 더 높은 층에 생성될 수 있다. 이제, 제 1 및 제 2 구조체(1000, 1005)가 상이한 층에 위치될 필요가 없다. 예를 들어, 이중 패터닝 프로세스(그 일부로서 예를 들어 에칭 프로세스를 포함함)에서, 제 1 및 제 2 구조체(1000, 1005)는 동일한 층에서 생성되어 본질적으로 단일 패턴을 형성할 수 있지만, 동일한 층 내에서의 그들의 상대적인 배치에 관하여 "오버레이" 문제점이 여전히 존재할 수 있다. 이러한 단일 층 예에서, 제 1 및 제 2 구조체(1000, 1005) 양자 모두는, 예를 들어 제 1 구조체(1000)에 대하여 도 10a 에 도시되는 것과 같은 선의 형태를 가지지만, 하지만 제 1 패턴 전사 프로세스에서 기판 상에 이미 제공된 제 2 구조체(1005)의 선은 제 2 패턴 전사 프로세스에서 제공된 구조체(1000)의 선과 인터리빙될 수 있다.
유닛 셀은 축 또는 점에 대해서 기하학적 대칭을 가지거나 가질 수 있다는 것이 중요하다. 예를 들어, 도 10a 의 유닛 셀은, 예를 들어 축(1010) 및 점에 대한 반사 대칭 / 예를 들어 점(1015)에 대한 회전 대칭을 가진다. 이와 유사하게, 도 10c 의 유닛 셀의 물리적 인스턴스(따라서 유닛 셀의 물리적 인스턴스들의 조합)는 기하학적 대칭을 가진다.
일 실시예에서, 유닛 셀은 어떤 피쳐(예컨대 오버레이)에 대하여 기하학적 대칭을 가진다. 본 발명의 실시예는 기하학적으로 대칭일 경우 제로 오버레이를 가지는 유닛 셀에 중점을 둔다. 그러나, 그 대신에, 유닛 셀은 특정한 기하학적 비대칭에 대해서 제로 오버레이를 가질 수 있다. 그러면, 특정 기하학적 비대칭을 가질 경우 제로 오버레이를 가지는 유닛 셀을 설명하기 위하여 적절한 오프셋 및 계산이 사용될 것이다. 적절하게는, 유닛 셀은 특정 피쳐 값에 따라서, 대칭에 변화가 생길 수 있어야 한다(예를 들어, 비대칭이 되거나, 더 비대칭이 되거나, 비대칭 상황으로부터 대칭이 될 수 있음).
도 10a 의 예에서, 유닛 셀은 제로 오버레이에 대해서 기하학적 대칭을 가진다(제로 오버레이일 필요는 없음). 이것은, 제 1 구조체(1000)의 선들이 제 2 구조체(1005)의 타원 형상에 대해 균일하게 정렬되는 것을 나타내는 화살표(1020 및 1025)로 표현된다(적어도 부분적인 정렬도 유닛 셀이 도 10a 에 도시된 바와 같은 기하학적 대칭을 가지게 함). 그러므로, 이러한 예에서, 유닛 셀이 기하학적 대칭을 가지면, 제로 오버레이가 존재한다. 그러나, 오버레이에 오차가 있으면(예를 들어, 비-제로 오버레이), 유닛 셀은 더 이상 기하학적으로 대칭적이지 않고, 정의에 의하여 타겟은 더 이상 기하학적으로 대칭이 아니다.
더 나아가, 타겟이 유닛의 복수 개의 물리적 인스턴스를 포함하는 경우, 유닛 셀의 인스턴스들은 주기적으로 배치된다. 일 실시예에서, 유닛 셀의 인스턴스들은 래티스(lattice)로 배치된다. 일 실시예에서, 주기적 배치구성은 타겟 내에 기하학적 대칭을 가진다.
그러므로, 이러한 기법에서, 지금부터 더욱 논의되는 바와 같이, 관심 대상인 피쳐 비대칭(예를 들어, 비-제로 오버레이)이 피쳐 비대칭(예를 들어, 비-제로 오버레이)을 결정할 수 있기 위하여 기하학적 대칭의 변경되는(예를 들어, 기하학적 비대칭에 대한 변경, 또는 추가적인 기하학적 비대칭에 대한 변경, 또는 기하학적 비대칭으로부터 기하학적 대칭으로의 변경) 것의 장점이 생긴다.
도 10a 의 유닛 셀의 물리적 인스턴스를 포함하는 타겟은, 예를 들어 도 7 의 계측 장치를 사용하여 방사선으로 조명될 수 있다. 타겟에 의해 재지향된 방사선은, 예를 들어 검출기(190)에 의하여 측정될 수 있다. 일 실시예에서, 재지향된 방사선의 퓨필, 즉 푸리에 변환 평면이 측정된다. 이러한 퓨필의 예시적인 측정이 퓨필 이미지(1030)로서 도시된다. 퓨필 이미지(1030)는 다이아몬드 형상을 가지지만, 이러한 형상을 가져야 하는 것은 아니다. 본 명세서에서 퓨필 및 퓨필 평면이라는 용어는 문맥상 그렇지 않은 경우(예를 들어, 특정 광학 시스템의 퓨필 평면이 식별되고 있는 경우)를 제외하고서는 그들의 임의의 공액을 포함한다. 퓨필 이미지(1030)는 실질적으로 재지향된 방사선의 퓨필의, 광학 특성(이러한 경우에 세기)에 대해 규정된 이미지이다.
편의상, 본 명세서의 논의는 관심 광학 특성으로서 세기에 중점을 둘 것이다. 하지만, 본 발명의 기법은 하나 이상의 대안적 또는 추가적 광학 특성, 예컨대 위상 및/또는 반사도와도 함께 사용될 수 있다.
더 나아가, 편의상, 본 명세서의 논의는 재지향된 방사선의 이미지, 특히 퓨필 이미지를 검출하고 처리하는 것에 중점을 둔다. 그러나, 재지향된 방사선의 광학 특성은 이미지가 아닌 방식으로 측정되고 표현될 수 있다. 예를 들어, 재지향된 방사선은 하나 이상의 스펙트럼(예를 들어, 파장의 함수인 세기)에 대하여 처리될 수 있다. 따라서, 재지향된 방사선의 검출된 이미지는 재지향된 방사선의 광학적 표현의 일 예로 간주될 수 있다. 그러므로, 퓨필 평면 이미지의 경우, 퓨필 이미지는 퓨필 표현의 일 예이다.
더 나아가, 재지향된 방사선은 편광되거나 비-편광될 수 있다. 일 실시예에서, 측정 빔 방사선은 편광된 방사선이다. 일 실시예에서, 측정 빔 방사선은 선형으로 편광된다.
일 실시예에서, 퓨필 표현은 주로, 또는 실질적으로, 타겟으로부터의 재지향된 방사선의 하나의 회절 차수이다. 예를 들어, 방사선은 방사선의 특정 차수의 80% 이상, 85% 이상, 90% 이상, 95% 이상, 98% 이상 또는 99% 이상일 수 있다. 일 실시예에서, 퓨필 표현은 주로, 또는 실질적으로, 0차 재지향된 방사선에 대한 것이다. 이러한 경우는, 예를 들어 타겟의 피치, 측정 방사선의 파장, 및 선택적으로 하나 이상의 다른 조건에 의해 타겟이 주성분으로 0차 방사선(하나 이상의 더 높은 차수가 존재할 수 있지만)을 재지향하게 되는 경우에 발생할 수 있다. 일 실시예에서, 다수의 퓨필 표현은 0차 재지향된 방사선이다. 일 실시예에서, 퓨필 표현은 0차 방사선 및 개별적으로 1차 방사선에 대한 것이고, 이들은 이후에 선형 조합(중첩)될 수 있다. 도 7 의 애퍼쳐(186)가 방사선의 특정 차수, 예를 들어 0차를 선택하기 위하여 사용될 수 있다.
제 1 및 제 2 구조체(1000, 1005)의 기하학적으로 대칭인 유닛 셀에 대응하는퓨필 이미지(1030)와 관련하여, 세기 분포가 퓨필 이미지 내에서 본질적으로 대칭(예를 들어, 기하학적 구조체와 동일한 대칭 타입을 가짐)이라는 것을 알 수 있다. 이것은 또한 대칭적 세기 분포 부분을 퓨필 이미지(1030)로부터 제거함으로써 확정되는데, 그 결과 유도된 퓨필 이미지(1035)가 생긴다. 대칭적 세기 분포 부분을 제거하기 위하여, 특정 퓨필 이미지 픽셀(예를 들어, 픽셀)은, 해당 특정 퓨필 이미지 픽셀에서의 세기로부터 대칭적으로 위치된 퓨필 이미지 픽셀의 세기를 감산함으로써 제거된 대칭적 세기 분포 부분을 가질 수 있으며, 그 반대의 경우도 마찬가지이다. 일 실시예에서, 픽셀은 검출기(예를 들어, 검출기(190))의 픽셀에 대응할 수 있지만 그래야 하는 것은 아니다; 예를 들어, 퓨필 이미지 픽셀은 검출기의 복수 개의 픽셀일 수 있다. 일 실시예에서, 그에 걸쳐서 픽셀 세기가 감산되는 대칭점 또는 대칭축은 유닛 셀의 대칭점 또는 대칭축에 대응한다. 그러므로, 예를 들어 퓨필 이미지(1030)를 고려하면, 대칭 세기 분포 부분은, 예를 들어 표시된 해당 특정 픽셀에서의 세기(Ii)로부터 대칭적으로 위치된, 즉 축(1032)에 대해서 대칭으로 위치된 픽셀로부터의 세기(Ii')를 감산함으로써 제거될 수 있다. 따라서, 특정 픽셀에서의 대칭적 세기 부분이 제거된 세기 Si는 이제 Si = Ii - Ii'이 된다. 이것이 퓨필 이미지의 복수 개의 픽셀, 예를 들어 퓨필 이미지 내의 모든 픽셀에 대해서 반복될 수 있다. 유도된 퓨필 이미지(1035)에서 볼 수 있는 것처럼, 대칭적 유닛 셀에 대응하는 세기 분포는 본질적으로 완전히 대칭적이다. 따라서, 대칭적 유닛 셀의 기하학적 구조(및 적용가능하다면, 유닛 셀의 인스턴스의 특정 주기성)를 가지는 대칭적 타겟은 결과적으로 계측 장치에 의해 측정되는 바와 같은 대칭적 퓨필 응답이 된다.
이제 도 10b 를 참조하면, 오버레이의 오차의 일 예가 도 10a 에서 도시되는 유닛 셀에 대하여 도시된다. 이러한 경우에, 제 1 구조체(1000)는 제 2 구조체(1005)에 대하여 X-방향으로 시프트된다. 특히, 제 1 구조체(1000)의 선에 중심을 둔 축(1010)이 도 10b 에서 축(1045)으로 우측 시프트되었다. 따라서, 오버레이(1040)에는 X-방향의 오차; 즉, X 방향 오버레이 오차가 존재한다. 물론, 제 2 구조체(1005)가 제 1 구조체(1000)에 상대적으로 시프트될 수 있고, 또는 양자 모두가 서로에 상대적으로 시프트될 수 있다. 어떠한 경우에서도, 결과는 X 방향 오버레이 오차이다. 그러나, 이러한 유닛 셀 배치구성으로부터 이해될 수 있는 것처럼, 제 1 구조체(1000)와 제 2 구조체(1005) 사이의 Y-방향에서의 순수한 상대 시프트는 이러한 유닛 셀의 기하학적 대칭을 변경하지 않을 것이다. 하지만, 기하학적 배치구성이 적절하면, 두 개의 방향에서의 또는 유닛 셀 중 상이한 조합의 부분들 사이의 오버레이는 대칭을 바꿀 수 있고, 또한 더 후술되는 바와 같이 결정될 수 있다.
도 10a 에 있고 오버레이(1040)의 오차에 의해 표현되는, 공칭 물리적 구성 으로부터의 유닛 셀의 물리적 구성의 변경 결과로서 나타나는 결과는, 유닛 셀이 기하학적으로 비대칭이 되었다는 것이다. 이것은 상이한 길이의 화살표(1050 및 1055)로 나타날 수 있는데, 이들은 제 2 구조체(1005)의 타원 형상이 제 1 구조체(1000)의 선들에 상대적으로 균일하지 않게 위치된다는 것을 보여준다. 대칭은 퓨필 이미지(1030)의 대칭점 또는 대칭축, 즉 이러한 경우에 축(1032)에 대해서 점검되는데, 이러한 축은 이제 축(1034)으로 표시된다.
도 10b 의 유닛 셀의 물리적 인스턴스는, 예를 들어 도 7 의 계측 장치를 사용하여 방사선으로 조명될 수 있다. 재지향된 방사선의 퓨필 이미지는, 예를 들어 검출기(190)에 의해 기록될 수 있다. 이러한 퓨필 이미지의 일 예가 퓨필 이미지(1060)로서 도시된다. 퓨필 이미지(1060)는 실질적으로 세기의 이미지이다. 퓨필 이미지(1060)가 다이아몬드 형상을 가지지만, 이러한 형상일 필요가 없다; 이것은 원형 형상 또는 임의의 다른 형상일 수 있다. 더욱이, 퓨필 이미지(1060)는 퓨필 이미지(1030)와 실질적으로 동일한 축 또는 좌표 위치를 가진다. 즉, 이러한 실시예에서, 도 10a 의 유닛 셀의 대칭축(1010) 및 도 10b 의 유닛 셀의 동일한 축은 퓨필 이미지(1030, 1060)의 대칭축(1032)과 정렬한다.
제 1 및 제 2 구조체(1000, 1005)의 기하학적으로 대칭인 유닛 셀에 대응하는퓨필 이미지(1060)와 관하여, 세기 분포는 퓨필 이미지 내에서 거의 본질적으로 대칭인 것으로 보인다. 그러나, 퓨필 이미지 내에는 비대칭 세기 분포 부분이 존재한다. 이러한 비대칭 세기 분포 부분은 유닛 셀 내의 비대칭에 기인한다. 더욱이, 비대칭 세기 분포는 퓨필 이미지 내의 대칭적 세기 분포 부분 보다 크기가 훨씬 작다.
그러므로, 일 실시예에서, 이러한 비대칭 세기 분포 부분을 더 효과적으로 격리시키기 위하여 대칭적 세기 분포 부분이 퓨필 이미지(1060)로부터 제거될 수 있고, 그 결과 유도된 퓨필 이미지(1065)가 얻어진다. 유도된 퓨필 이미지(1035)를 획득하는 것과 유사하게, 전술된 바와 같이, 특정 퓨필 이미지 픽셀(예를 들어, 픽셀)은, 해당 특정 퓨필 이미지 픽셀에서의 세기로부터 대칭적으로 위치된 퓨필 이미지 픽셀의 세기를 감산함으로써 제거된 대칭적 세기 분포 부분을 가질 수 있으며, 그 반대의 경우도 마찬가지이다. 그러므로, 예를 들어 퓨필 이미지(1060)를 고려하면, 대칭 세기 분포 부분은, 예를 들어 표시된 해당 특정 픽셀에서의 세기(Ii)로부터 대칭적으로 위치된, 즉 축(1032)에 대해서 대칭으로 위치된 픽셀로부터의 세기(Ii')를 감산하여 Si를 제공함으로써 제거될 수 있다. 이것이 퓨필 이미지의 복수 개의 픽셀, 예를 들어 퓨필 이미지 내의 모든 픽셀에 대해서 반복될 수 있다. 도 10a 및 도 10b 에서, Si의 온전히 유도된 퓨필 이미지가 예를 들어 도시된다. 이해될 수 있는 것처럼, 도 10a 또는 도 10b 의 유도된 퓨필 이미지의 절반은 그 나머지 절반과 같다. 그러므로, 일 실시예에서, 퓨필 이미지 중 오직 절반으로부터 얻어진 값은 본 명세서에서 논의되는 추가적인 처리를 위하여 사용될 수 있고, 추가적인 처리에서 사용되는 이렇게 유도된 이미지 퓨필은 본 명세서에서 퓨필에 대한 Si 값의 오직 절반일 수 있다.
유도된 퓨필 이미지(1065)에서 관찰되는 것처럼, 비대칭 유닛 셀의 물리적 인스턴스를 사용하여 측정된 세기 분포는 대칭적이지 않다. 지역(1075 및 1080)에서 관찰되는 것처럼, 대칭적 세기 분포 부분이 제거되면 관찰가능한 비대칭 세기 분포 부분이 존재한다. 위에서 언급된 바와 같이, 온전히 유도된 퓨필 이미지(1065)가 도시되고, 따라서 비대칭 세기 분포 부분은 이분면 양자 모두에 표시된다(이들이 각각의 이분면 내에서 크기 및 분포에 관해서 동일하더라도).
따라서, 기하학적 도메인에서의 비대칭은 퓨필에서의 비대칭에 대응한다. 그러므로, 일 실시예에서, 유닛 셀의 자신의 물리적 인스턴스에서 내재하는 기하학적 대칭을 보유하거나 처리할 수 있어서, 유닛 셀의 물리적 인스턴스의 기하학적 대칭이 변하게 하는(예를 들어, 비대칭을 야기하거나, 추가적인 대칭을 야기하거나, 비대칭 유닛 셀이 대칭적이 되도록), 주기적인 주기 구성 변경에 대응하는 파라미터를 결정하기 위하여 사용되는 방법이 제공된다. 특히, 일 실시예에서, 계측 장치에 의해 측정된 바와 같은 퓨필 내의 오버레이-유도 비대칭(또는 대칭성 부족)이 오버레이를 결정하기 위하여 활용될 수 있다. 즉, 유닛 셀의 물리적 인스턴스 내의 그리고 따라서 타겟 내의 오버레이를 측정하기 위하여 퓨필 비대칭이 사용된다.
유닛 셀 내에 기하학적 비대칭을 야기하는 물리적 구성 변화에 대응하는 파라미터를 어떻게 결정하는 지를 고려하기 위하여, 퓨필 이미지 내의 픽셀의 세기가 해당 픽셀에 영향을 주는 타겟의 물리적 특성에 대해서 고려될 수 있다. 이를 수행하기 위해서 오버레이 예가 고려될 것이지만, 기법 및 원리는 유닛 셀 내에 기하학적 비대칭(예를 들어, 비대칭 측벽 각도, 비대칭 하단 벽 틸트, 콘택 홀 내의 타원율(ellipticity) 등)을 야기하는 물리적 구성 변화에 대응하는 다른 파라미터에도 확장될 수 있다.
다시 도 10a 및 도 10b 의 유닛 셀을 참조하면, 퓨필 이미지(1060) 내의 픽셀의 세기
Figure pct00007
,
Figure pct00008
는 유닛 셀의 상이한 물리적 특성에 기인하는 세기 성분들의 조합으로서 해석적으로 평가될 수 있다. 특히, 대칭적 유닛 셀로부터 비대칭 유닛 셀이 되는 물리적 구성 변화가, 어떠한 방식으로 세기 분포가 특히 퓨필 이미지 내에서 변하는지를 결정하도록 평가될 수 있다.
그러므로, 이러한 원리를 나타내기 위한 매우 간단한 예에서, 유닛 셀 프로파일의 물리적 구성에 있는 여러 변화가 평가될 수 있다(물론 더 많거나 그 외의 상이한 물리적 구성 변화가 발생할 수 있음). 고려될 물리적 구성 변화 중 하나는 Z 방향에서의 구조체(1000)의 높이 변화이며, 이것은
Figure pct00009
라고 지정된다. 하지만, 중요하게도, 이러한 높이 변화는 유닛 셀의 물리적 인스턴스에 걸쳐서는 개괄적으로 균일할 것이다. 즉,
Figure pct00010
는 대칭축 또는 대칭점의 일측에서 대칭축 또는 대칭점의 다른 측에서와 동일한 유닛 셀의 변화된 물리적 구성을 초래할 것이다. 이와 유사하게, 다른 물리적 구성 변화, 예컨대 CD, 측벽 각도 등의 변화도 유닛 셀의 물리적 인스턴스에 걸쳐서 개괄적으로 균일할 것이고, 따라서 대칭점의 일측에서 대칭축 또는 대칭점의 다른 측에서와 동일한 유닛 셀의 변화된 물리적 구성을 초래할 것이다. 그러므로, 편의상,
Figure pct00011
만이 고려될 것이지만, 이것은 유닛 셀에 걸쳐서 균일한 다수의 다른 물리적 구성 변화들을 대표한다.
관심 유닛 셀의 물리적 구성 변화 중 다른 것은 구조체(1000)와 구조체(1005) 사이에서의 상대적인 시프트, 즉 오버레이(1040)의 변화이다. 이러한 오버레이 시프트는
Figure pct00012
라고 지칭될 것이다. 물론, 오버레이는 다른 방향 또는 추가적 방향에서 고려될 수 있다. 중요하게도,
Figure pct00013
는 대칭축 또는 대칭점의 일측에서 대칭축 또는 대칭점의 다른 측과 다른 유닛 셀의 물리적 구성을 초래할 것이다; 대칭 픽셀들의 각각의 쌍은 오버레이에 대한 정보를 가진다. 중요하게도, 거의 모든 타겟 프로파일 파라미터(CD, 높이 등)에 변화가 생기면 퓨필 내에 대칭적 변화를 유도하는 반면에(따라서 대칭적 파라미터라고 간주될 수 있음), 오버레이에 변화가 생기면 측정된 퓨필에 비대칭 변화가 초래된다. 따라서, 오버레이 변화는 비대칭 퓨필 응답을 제공한다. 더 나아가, 전부는 아니더라도 거의 모든 다른 유닛 셀 프로파일 파라미터들은 유닛 셀 또는 퓨필 응답에 비대칭이 나타나게 하지 않는다. 그러나, 이들은 측정된 오버레이 값에 대해 영향을 줄 수 있다. 후술되는 바와 같이, 다른 유닛 셀 프로파일 파라미터는 일차 차수에게는 아무런 영향도 주지 않을 수 있다. 일 실시예에서, 이차 이상의 차수에게는, 다른 유닛 셀 프로파일 파라미터가 오버레이 값을 결정하는 데에 영향을 준다. 그러므로, 더 상세히 후술되는 바와 같이, 퓨필 비대칭을 측정함으로써 오버레이가 결정될 수 있다.
구체적으로 설명하면, 오버레이가 측정된 퓨필 비대칭으로부터 어떻게 결정될 수 있는지를 평가하기 위하여, 퓨필 이미지(1060) 내의 픽셀 i의 세기
Figure pct00014
는 다음과 같이 규정될 수 있다:
Figure pct00015
여기에서
Figure pct00016
는 조명 방사선에 기인하는 기저 세기이고, a, e, f 및 g는 계수들이다. 그러므로, 이와 유사하게, 퓨필 이미지(1060)의 상보적 대칭 픽셀의 세기
Figure pct00017
는 다음과 같이 규정될 수 있다:
Figure pct00018
여기에서 계수 a', b', c', d', e' 및 f'은 상보적 대칭 픽셀의 세기
Figure pct00019
에 특유하며 퓨필 이미지(1060) 내의 픽셀의 세기
Figure pct00020
에 대한 계수 a, b, c, d, e 및 f에 비견된다.
그러면, 퓨필 이미지(1060) 내의 대칭 픽셀들 사이의 세기의 차분
Figure pct00021
가 다음과 같이 평가될 수 있다:
Figure pct00022
예를 들어 대칭 때문에, 대칭적 파라미터만을 보유할 수 있는 모든 항, 예컨대
Figure pct00023
는 수학식 3 에서 볼 수 있듯이 없어진다는 것이 발견되었다. 더 나아가, 예를 들어 대칭 때문에, 심지어 오버레이의 파워를 가지는 항들도 대칭적으로 위치된 픽셀들에 대해서 동일하다는 것이 발견되었고, 따라서
Figure pct00024
과 같은 이러한 항들도 없어진다. 그러면, 대칭적 파라미터를 가지는 오버레이의 조합을 포함하는 항과 홀수 제곱(예를 들어, 1, 3, 5, 7 제곱 등)의 오버레이만을 가지는 항들만 남는다.
위의 수학식 3 에서, 세기의 차분
Figure pct00025
는 주로
Figure pct00026
에 의존한다는 것이 발견되었다. 즉, 세기의 차분
Figure pct00027
는 대부분 오버레이에 선형적으로 의존하고, 또는 더 중요하게도, 오버레이는 대부분 세기, 구체적으로는 세기의 차분
Figure pct00028
에 선형으로 의존한다. 따라서, 픽셀의 세기들을 조합하면 적절한 변환 인자와 선형 조합될 경우 오버레이의 양호한 추정값을 제공할 수 있다.
그러므로, 일 실시예에서, 오버레이가 적절하게 가중된(가중치 자체가 세기로부터 오버레이로 가는 변환 인자로서의 역할을 하거나 세기-오버레이 변환 인자와 결합될 수 있음) 픽셀의 세기들의 조합으로부터 결정될 수 있다는 것이 발견되었다. 일 실시예에서, 오버레이 신호는 다음과 같이 기술될 수 있다:
Figure pct00029
여기에서, 오버레이 신호 M은 측정된 퓨필에서의 신호 성분들 Si의 가중된 조합이고,
Figure pct00030
는 신호 성분 Si의 각각에 대한 각각의 가중치이다(가중치는 신호 성분과 오버레이 사이의 변환 인자로서의 역할을 한다; 위에서 언급된 바와 같이, 그 대신에, 변환 인자는 신호 성분을 오버레이로 변환시키는 작용을 하지 않는 가중치와 조합되어 사용될 수 있음). 일 실시예에서, 가중치
Figure pct00031
는 그 크기가 오버레이에 관련된 벡터이다. 위에서 언급된 바와 같이, 신호 성분 Si는 측정된 퓨필의 절반에 대해서 결정될 수 있다. 일 실시예에서, 만일 신호 성분 Si는 대칭 픽셀(N)의 모든 쌍(N/2)에 대해서 실질적으로 동일한 크기를 가지고 있으며, 신호 성분 Si는 다음 수학식에 따라 평균화되고 신호 성분 Si 전부로부터 오버레이까지의 변환 인자 C와 결합되어 총 오버레이를 제공한다:
Figure pct00032
. 그러므로, 일 실시예에서, 가중치는 두 가지 역할을 가질 수 있다 - 하나는 픽셀들의 쌍마다 그 오버레이의 측정에 대한 트러스트(trust)로서의 역할이고, 다른 역할은 신호 성분의 광학 특성(예를 들어, 세기 레벨, 예를 들어 그레이 레벨)의 값을 오버레이 값(예를 들어 나노미터 단위로) 변환하는 것이다. 위에서 논의된 바와 같이, 제 2 역할은 변환 인자에 맡겨질 수 있다.
하지만, 예를 들어 신호 성분 Si가 대칭 픽셀의 모든 쌍에 대해서 실질적으로 동일한 크기를 가지지 않는 경우, 측정된 퓨필 내의 모든 픽셀들을 동일하게 가중하면 낮은 신호-대-잡음 비(열악한 정밀도)가 얻어질 수 있다. 그러므로, 오버레이에 민감한 그러한 픽셀들은 오버레이의 계산에 더 많이 기여하도록 가중하는 것이 바람직하다. 그러므로, 일 실시예에서, 오버레이에 민감한 픽셀들은 오버레이에 낮은 감도를 가지는 그러한 픽셀(실질적으로 인액티브 픽셀)과 다른(예를 들어, 더 높은) 가중치를 가진다. 위에서 언급된 바와 같이, 유도된 퓨필(1065)의 지역(1075 및 1080) 내의 픽셀은 오버레이에 대하여 상대적으로 더 높은 감도를 가지는 반면에, 지역(1075 및 1080) 내의 픽셀에 상대적으로 낮거나 제로인 세기를 가지는, 유도된 퓨필(1065) 내의 잔여 픽셀은 오버레이에 대해 낮은 감도를 가진다(따라서 오버레이 결정에 적게 기여하도록 가중되어야 함).
일 실시예에서, 가중치는 수학식 3 의
Figure pct00033
항에 대해서 효과적으로 결정된다. 일 실시예에서, 가중치는
Figure pct00034
항 및
Figure pct00035
(및 통상적으로 다른 파라미터, 예컨대 CD, 측벽 각도 등에 대한 다른 비견한 항)에 대해서 결정되도록 확장될 수 있다. 그러나, 이러한 계산은 수학식 3 의
Figure pct00036
항에 대해서만 효과적으로 용어를 결정하는 것에 비하여 더 복잡할 수 있다. 더욱이, 비선형 프로세스(대칭적 파라미터에 대한)에 대한 견실성과 오버레이를 결정하는 정밀도(즉, 결정된 값이 동일한 실제 오버레이의 각각의 결정에 대해서 얼마나 가까운지에 대한 정밀도) 사이에는 트레이드오프가 존재한다. 그러므로, 이러한 계산을 사용하여 견실성을 향상시키려면 정밀도가 희생될 수 있다. 따라서, 정밀도를 향상시키고(예를 들어, 선형 항의 영향을 최대화하고 비선형 항을 억제함), 견실성을 향상시키기 위하여(예를 들어, 비선형 항을 최대화함), 또는 이들 사이에 균형을 찾기 위하여 최적화가 수행될 수 있다. 하지만, 어떠한 경우에서도, 연관된 가중치와 선형으로 조합된 세기의 조합을 사용하면 오버레이를 빨리 결정할 수 있는데, 그 이유는 단순히 퓨필 획득 및 수학식 4 의 간단한 계산만이 필요하기 때문이다.
일 실시예에서, 더 높은 차수 항들이 커지는 경우,
Figure pct00037
및/또는 다른 더 높은 차수 항을 가지는 수학식 3 을 풀도록 비선형 솔루션 기법이 채택될 수 있다. 이해될 수 있는 것처럼, 비선형 솔루션 기법은 단순히 측정된 퓨필 내의 각각의 신호 성분 Si를 각각의 신호 성분 Si에 대한 각각의 가중치
Figure pct00038
로 승산하고 이들을 모두 합산하는 것보다 더 복잡할 수 있다. 더욱이, 비선형 프로세스에 대한 견실성과 오버레이를 결정하는 정밀도(즉, 결정된 값이 동일한 실제 오버레이의 각각의 결정에 대해서 얼마나 가까운지에 대한 정밀도) 사이에도 역시 트레이드오프가 존재한다. 그러므로, 이러한 계산을 사용하여 견실성을 향상시키려면 정밀도가 희생될 수 있다. 따라서, 정밀도를 향상시키고 및/또는 견실성을 향상시키기 위해서 최적화가 수행될 수 있다.
그러므로, 오버레이에 의해 초래된 유닛 셀의 기하학적 비대칭으로부터 비대칭 세기 분포를 구현하면, 오버레이의 오차가 이러한 비대칭 세기 분포를 강조하는 분석을 통해서 결정될 수 있다. 따라서, 오버레이와 연관된 타겟의 물리적 구성의 변화에 기인하여 초래되는 비대칭 세기 분포로부터 오버레이를 결정하기 위한 기법이 지금부터 논의될 것이다.
도 11 을 참조하면, 가중치를 결정하는 방법이 개략적으로 묘사된다. 가중치 결정을 하기 위하여, 도 9 에서 전술된 재구성 기법이 유리하게 사용될 것이다. 즉, 일 실시예에서, 오버레이 신호를 비대칭 유닛 셀의 물리적 인스턴스의 퓨필 이미지로부터 격리하기 위해서 CD 재구성이 사용된다.
도 11 의 방법은 두 개의 프로세스를 수반한다. 제 1 프로세스(1100)는 CD 및/또는 타겟의 하나 이상의 다른 프로파일 파라미터에 대해 재구성 기법을 사용하여, 패터닝 프로세스의 일부로서 기판 상에 노광된 타겟의 공칭 프로파일(및 따라서 타겟 내의 유닛 셀의 하나 이상의 물리적 인스턴스의 공칭 프로파일)을 유도하는 것을 수반한다. 타겟의 공칭 프로파일이 있으면, 재구성 기법의 기본적인 엔진이 프로세스(1110)에서 사용되어 가중치를 유도한다. 그러면, 가중치는 도 12 와 관련하여 더 설명되는 바와 같이, 측정된 퓨필로부터 오버레이를 유도하기 위하여 사용될 수 있다.
그러므로, 프로세스(1100)에서, 타겟으로서 기판 상에 제공된 관심 유닛 셀의 하나 이상의 물리적 인스턴스를 가지는 기판의 측정치(1130)가 얻어진다. 일 실시예에서, 측정치는 에칭 후의 타겟에 관한 것이다. 일 실시예에서, 측정치는 현상 이후 에칭 이전의 타겟에 관한 것이다. 일 실시예에서, 타겟은 디바이스 구조체이다. 일 실시예에서, 측정은 도 7 의 계측 장치와 같은 계측 장치를 사용하여 수행되거나 수행되었을 수 있다. 예를 들어, 타겟은 도 10a 또는 도 10b 의 유닛 셀의 물리적 인스턴스, 예를 들어 도 10c 에 도시된 바와 같은 단일 인스턴스 또는 복수 개의 인접한 인스턴스를 포함할 수 있다. 일 실시예에서, 복수 개의 타겟의 측정치(따라서 복수 개의 유닛 셀의 물리적 인스턴스의 측정치)가 얻어진다. 일 실시예에서, 측정치는 기판에 걸쳐서 분포된 타겟에 대한 것이다. 일 실시예에서, 하나 이상의 타겟(유닛 셀의 하나 이상의 물리적 인스턴스를 각각 가짐)을 각각 가지는 복수 개의 기판이 측정된다. 그러므로, 일 실시예에서, 각각의 측정된 타겟에 대해서 방사선 분포(108)가 획득된다.
그러면, 1100 에서의 재구성 프로세스, 예컨대 도 9 와 관련하여 설명된 재구성 프로세스가, 도 9 의 프로파일(206)에 비견되는 유닛 셀의 물리적 인스턴스의 공칭 프로파일을 유도하기 위하여 사용된다. 재구성 프로세스는 유닛 셀의 물리적 인스턴스의 기대된 프로파일(1120)을 획득하여 재구성 프로세스를 시작하고 용이하게 만든다. 일 실시예에서, 유도된 공칭 프로파일은 하나 이상의 기판에 걸친 타겟들의 프로파일의 평균으로부터 얻어진다. 예를 들어, 각각의 타겟에 대한 방사선 분포(108)는 처리되어 타겟의 해당 인스턴스의 특정 프로파일을 유도하고, 그러면 타겟의 복수 개의 인스턴스의 프로파일이 서로 평균화되어 공칭 프로파일을 유도한다. 일 실시예에서, 공칭 프로파일은 적어도 타겟의 기하학적 프로파일을 포함한다. 일 실시예에서, 기하학적 프로파일은 3-D 프로파일이다. 일 실시예에서, 공칭 프로파일은 물리적 타겟을 구성하는 하나 이상의 층의 하나 이상의 재료 특성에 관련된 정보를 포함한다.
그러므로, 일 실시예에서, 공칭 프로파일은, 기판에 걸쳐서 타겟의 다수의 인스턴스를 측정하거나 선택적으로는 두 개 이상의 기판에서 측정하여 획득된, 타겟(및 따라서 유닛 셀)의 프로파일의 다양한 파라미터의 값들에 대한 무게중심이라고 간주될 수 있다. 하지만, 일 실시예에서, 공칭 프로파일은 상이한 형태를 가질 수 있고 더 고유할 수 있다. 예를 들어, 공칭 프로파일은 타겟의 하나 이상의 특정 인스턴스에 대해서 규정될 수 있다(예를 들어, 다수의 기판으로부터의 동일한 타겟 위치(들)로부터 얻어진 값들을 사용함으로써). 다른 예로서, 공칭 프로파일은 특정 기판에 대하여 규정될 수 있다(예를 들어, 해당 기판으로부터의 값만을 사용함으로써). 일 실시예에서, 공칭 프로파일은 도 12 의 프로세스의 일부로서 특정 타겟 및/또는 기판에 대해서 튜닝될 수 있다. 예를 들어, 타겟 및/또는 기판은 도 12 의 프로세스의 일부로서 측정되고, 재구성 기법이 측정된 데이터와 함께 사용되어 해당 타겟 및/또는 기판에 대한 공칭 프로파일을 미세 튜닝할 수 있으며, 그러면 미세-튜닝된 공칭 프로파일이 가중치를 결정하기 위해서 본 명세서에서 공칭 프로파일로서 사용될 수 있고, 이러한 가중치는 이제 동일한 측정된 데이터와 함께 사용되어 하나 이상의 오버레이 값을 제공할 수 있다.
그러면, 재구성 공칭 프로파일(1140)이 프로세스(1110)로 제공된다. 따라서, 일 실시예에서, 프로세스(1110)는 타겟의 유도된 공칭 프로파일, 예를 들어 측정된 데이터로부터 유도된, 디바이스의 유닛 셀의 기하학적 에칭후 프로파일을 사용한다. 일 실시예에서, 공칭 프로파일은, 측정된 유닛 셀에 따라서 파라미터화된
모델(206)과 같은, 파라미터화된 모델의 형태일 수 있다. 따라서, 일 실시예에서, 프로세스(1110)는 유닛 셀의 유도된 프로파일 모델, 예를 들어 측정된 데이터로부터 유도된 디바이스의 유닛 셀의 물리적 인스턴스의 기하학적 에칭후 프로파일의 모델을 사용한다.
본 명세서에서 설명되는 재구성 기법의 기본적인 엔진이 유도된 프로파일 또는 유도된 프로파일 모델과 함께 프로세스(1110)에서 사용되어 가중치를 유도한다. 일 실시예에서, 유도된 프로파일 모델 또는 유도된 프로파일로부터 유도된 바 있는 유도된 프로파일 모델이 유닛 셀 내의 오버레이에 만감한 퓨필 픽셀을 결정하기 위하여 사용된다. 특히, 일 실시예에서, 퓨필 응답의 오버레이에 대한 감도는, 시뮬레이션(예를 들어, 맥스웰 솔버)을 사용하여 결정되어, 공칭 프로파일에 대한 오버레이의 유도된 변화에 대한 퓨필 응답의 변화를 결정한다.
이것은, 특정량(예를 들어, 1 nm)의 오버레이 변화가 모델에서 유도되고, 유도된 프로파일 모델의 다른 모든 파라미터/변수는 변하지 않도록, 유도된 프로파일 모델이 변하게 함으로써 달성될 수 있다. 그러면 실질적으로, 대칭적 유닛 셀이 비대칭이 되거나 이미 비대칭인 유닛 셀이 대칭을 변경하게 한다(더 비대칭이 되게 하거나 비대칭 상황으로부터 대칭으로 바뀌게 함).
그러면, 계측 장치(예를 들어, 특정한 측정 빔 파장, 측정 빔 편광, 측정 빔 세기 등을 가지는 방사선에 대한 계측 장치)에서 기대될 퓨필이, 유도된 오버레이 변화를 가진 유도된 프로파일 모델에 기초하여 유도될 수 있다(예를 들어, 맥스웰 솔버, 라이브러리 검색 또는 다른 재구성 기법을 사용함). 유닛 셀의 물리적 인스턴스가 빔 스폿보다 작은 경우, 재구성은 빔 스폿이 유닛 셀의 물리적 인스턴스로 채워져 있는 것처럼 취급할 수 있다. 일 실시예에서, 유도된 퓨필은 시뮬레이션된 퓨필 이미지(1060) 및/또는 시뮬레이션된 퓨필 이미지에 기초하는 유도된 퓨필 이미지(1065)일 수 있다.
그러면, 예를 들어 유도된 오버레이가 없는 유닛 셀에 대한 유도된 퓨필과의 비교에 의하여, 복수 개의 퓨필 픽셀에서의 세기의 오버레이 변화에 대한 감도를 결정하기 위해서, 유도된 퓨필이 사용될 수 있다(예를 들어, 유도된 오버레이가 없는 유닛 셀에 대한 유도된 퓨필은 시뮬레이션된 퓨필 이미지(1030) 및/또는 시뮬레이션된 퓨필 이미지에 기초하는 유도된 퓨필 이미지(1035)일 수 있음). 일 실시예에서, 이러한 감도가 가중치의 기초가 된다.
일 실시예에서, 퓨필의 픽셀(따라서 픽셀 세기, 신호 성분 Si 등)이 벡터로서 표현될 수 있다. 일 실시예에서, 이제 모델링 중 생성된 야코비안 행렬로부터 가중치가 유도될 수 있다. 일 실시예에서, 가중치는 모델링 중 생성된 야코비안 행렬의 무어-펜로즈 의사 역행렬(Moore-Penrose pseudo inverse)로부터 유도될 수 있다. 그러므로, 가중치는 수학식 3 의
Figure pct00039
항에 대해서 효과적으로 결정된다. 야코비안 행렬 또는 야코비안 행렬의 무어-펜로즈 의사 역행렬으로부터 유도되는 가중치는, 상대적으로 적은(예를 들어, ±3 nm 내 또는 ±4 nm 내 또는 ±5 nm 내) 오버레이 변동에 대해서 잘 적용되는 것으로 보인다.
일 실시예에서, 가중치는
Figure pct00040
항 및
Figure pct00041
(및 통상적으로 다른 파라미터, 예컨대 CD, 측벽 각도 등에 대한 다른 비견한 항)에 대해서 결정되도록 확장될 수 있다. 이러한 경우에, 가중치는 야코비안 행렬에 추가하여, 모델링 중에 생성된 헤시안(Hessian) 행렬이거나 그로부터 유도될 수 있다. 헤시안은 오버레이에 대한 응답이 특정량의 다른 (대칭적) 파라미터(예컨대 CD)의 변화에 기인하여 어떻게 변하는지를 보여준다. 그러므로, 이러한 파라미터 모두에 대하여 헤시안 내에는 열이 존재한다. 일 실시예에서, (더) 견실해지기 위하여, 유닛 셀이 민감성을 가지는 열(파라미터)에 대해서 더 많이 직교하게 되도록 가중치가 변경될 수 있다. 더 많이 직교하기 위하여, 하나 이상의 감응 열이 야코비안에 연쇄(concatenate)될 수 있고, 그러면 무어-펜로즈 의사 역행렬이 헤시안으로부터 나온 하나 이상의 열이 연쇄된 이러한 야코비안으로부터 계산될 수 있다. 이러한 계산으로부터 가중치가 나온다. 그러나, 이러한 계산은 더 복잡할 수 있고, 따라서 오버레이 값이 실제로 오버레이 변동 범위를 초과할 것으로 기대되는 상황에 대해서 적합할 수 있으며, 그에 대해서 행렬(의 무어-펜로즈 의사 역행렬)로부터 유도된 가중치가 양호한 결과를 나타낸다.
일 실시예에서, 가중치는 수학식 3 의 다른 항에 대해서 결정되도록 확장될 수 있다. 그 경우에, 가중치는 야코비안 행렬에 추가하여, 모델링 중에 생성된 3차 도함수이거나 그것으로부터 유도될 수 있다.
위에서 언급된 바와 같이, 공칭 프로파일은 타겟 또는 기판마다의 미세 튜닝된 공칭 프로파일 수 있다. 예를 들어, 특정 타겟 또는 기판이 도 12 의 프로세스의 일부로서 측정되는 경우, 해당 타겟 또는 기판에 대한 공칭 프로파일을 미세 튜닝하기 위하여 재구성 기법이 측정된 데이터와 함께 사용될 수 있다. 이제, 미세 튜닝에 따라서, 가중치는(재-)결정될 수 있고 및/또는 이루어지는 가중의 타입들(예를 들어, 야코비안 또는 야코비안 및 헤시안의 조합) 사이에서 선택이 이루어질 수 있다. 예를 들어, 미세 튜닝되지 않았던 공칭 프로파일에 기초한 가중치가
Figure pct00042
의 효과를 억제하기 위해서 사전에 선택되었을 수 있지만, 미세 튜닝이 해당 타겟 및/또는 기판에 대해서
Figure pct00043
를 식별하고 업데이트하면,
Figure pct00044
의 효과는 억제될 필요가 없을 수도 있다. 따라서, 견실성보다 정밀도를 우선시하는 가중치가 선택될 수 있다.
그러므로, 프로세스(1110)로부터, 가중치
Figure pct00045
의 콜렉션(예를 들어, 벡터)이 출력될 수 있다. 가중치
Figure pct00046
는 그 자체로 세기-오버레이의 변환 인자로서의 역할을 할 수 있고, 또는 가중치는 세기-오버레이의 변환인자와 조합될 수 있다(이러한 변환 인자는 동일한 모델링의 일부로서 유도될 수 있음). 퓨필 이미지(1065)로부터 이해될 수 있는 것처럼, 지역(1075 및 1080) 내의 픽셀은 지역(1075 및 1080) 밖의 픽셀들보다 오버레이에 대해 상대적으로 높은 감도를 가지고, 따라서 그들의 가중치는 지역(1075 및 1080) 밖의 픽셀(이러한 픽셀은 오버레이에 대해 상대적으로 낮은 감도를 가짐)의 가중치와 크게 다를 것이다(예를 들어, 더 높음). 그러므로, 가중치는 유닛 셀의 하나 이상의 물리적 인스턴스를 가지는 타겟의 측정된 세기 값과 조합(예컨대, 수학식 4 에 따라서)되고, 오버레이 신호가 특정 타겟(예컨대 유닛 셀의 물리적 인스턴스를 가지는 디바이스 패턴)에 대해서 획득될 수 있다.
더 나아가, 하나 이상의 측정 파라미터가 결정되어 타겟의 측정된 세기 값을 획득할 때에 사용되기 위한 측정 전략을 형성할 수 있다. 하나 이상의 측정 파라미터는 픽셀의 오버레이 감도에 영향을 줄 수 있다. 예를 들어, 오버레이 감도는 상이한 측정 빔 파장들에 걸쳐서 변한다. 그러므로, 일 실시예에서, 하나 이상의 측정 파라미터(예컨대 파장, 편광, 선량, 타겟의 특정 하나의 조명의 검출기 센서에 의해 읽어낸 여러 광학 특성 판독치(이러한 판독치는 통상적으로 평균화되어 해당 타겟의 측정치에 대한 평균화된 광학 특성 값을 제공함))가 모델링 프로세스(1110)의 일부로서 변경될 수 있다. 예를 들어, 하나 이상의 측정 파라미터가 특정한 유도된 오버레이 변화에 대해서 조사되어, 예를 들어 가중치가 하나 이상의 파라미터의 하나의 값에 대한 것일 경우에 획득된 오버레이와 가중치가 하나 이상의 파라미터의 다른 값에 대한 것일 경우에 획득된 오버레이 사이의 오차 잔차를, 최소값으로 또는 특정 임계치 아래로 감소시킬 수 있다. 그러므로, 하나 이상의 측정 파라미터의 값은 이제 개선된 해당 정밀도에서 획득될 수 있다.
더 나아가, 프로세스 변동에 대한 견실성은 하나 이상의 측정 파라미터들의 상이한 값에 걸쳐서 달라진다. 특히, 예를 들어 프로세스 변동에 대한 견실성은 측정 빔 파장 및/또는 측정 편광의 상이한 값들에 걸쳐서 달라진다. 따라서, 일 실시예에서, 프로세스 변동에 대한 견실성이 부족해지는 데 대한 적어도 우세한 기여 요소를 가중 스킴이 해결하여야 한다. 그러므로, 개선된 정밀도에 대해서 하나 이상의 측정 파라미터의 값을 결정하는 것에 추가적으로 또는 대안적으로, 하나 이상의 측정 파라미터가 상이한 특정하게 유도된 오버레이 변화 값(및/또는 유도된 프로파일 모델의 하나 이상의 다른 파라미터의 특정하게 유도된 변화, 예컨대 CD, 측벽 각도 등에서의 변화)에 대해서 검사되어, 프로세스 변동에 대한 견실성을 향상시킨 가중치를 사용한 결과가 나오게 하는, 하나 이상의 측정 파라미터의 값을 획득할 수 있다. 예를 들어, 유도된 오버레이 변화의 상이한 양에 대하여, 하나 이상의 측정 파라미터의 다양한 값이 평가되어, 하나 이상의 측정 파라미터의 값과 연관된 가중치를 사용하는 결정된 오버레이의 최소 변동(또는 임계치 아래의 변동)이 생기게 하는 하나 이상의 측정 파라미터의 값을 결정할 수 있다. 물론, 정밀도와 향상된 견실성 사이에서 하나 이상의 측정 파라미터의 값을 선택하는 데에 균형이 사용될 수 있다. 예를 들어, 정밀도에 대해서 결정된 하나 이상의 측정 파라미터의 값(예를 들어, 정밀도를 측정하는 성능 메트릭에 적용된 가중치)과 향상된 견실성에 대해서 결정된 하나 이상의 측정 파라미터의 값(예를 들어, 견실성을 측정하는 성능 메트릭에 적용된 가중치) 사이의 가중치가 적용될 수 있고, 그러면 가장 크고 가장 높은 순위를 갖는 등의 조합이 선택될 수 있다. 물론, 하나 이상의 측정 파라미터의 복수 개의 값은 전체 측정 전략에 사실상 복수 개의 상이한 측정 전략이 존재하도록 결정될 수 있다. 복수 개의 값은 하나 이상의 성능 메트릭에 따라서 순위가 정해질 수 있다. 따라서, 선택적으로, 유닛 셀의 하나 이상의 물리적 인스턴스를 가지는 타겟의 측정된 세기 값을 얻는 데에 사용하기 위한 어떤 측정 전략이 프로세스(1110)로부터 출력될 수 있다.
더 나아가, 하나 이상의 비-오버레이 파라미터, 예컨대 CD, 측벽 각도 등이 세기 신호를 오버레이로 매핑하기 위해 사용되는 가중치에 영향을 줄 수 있다. 위에서 언급된 바와 같이, 이러한 콘텍스트에서 가중치를 결정하는 예시적인 방식은 헤시안 행렬 및/또는 3차 도함수를 사용하는 것이다. 그러므로, 일 실시예에서, 다양한 가능한 가중 스킴들이 양호한 오버레이 값을 계속 유지하기 위하여 하나 이상의 비-오버레이 파라미터를 고려할 수 있다. 일 실시예에서, 오버레이-정보(overlay informative) 오버레이 픽셀 및 그들의 가중치가 오버레이 결정 정밀도에 대해서 최적화될 수 있다. 그러려면 양호한 모델 품질, 즉, 비-오버레이 파라미터의 양호한 추정이 요구될 수 있다. 일 실시예에서, 오버레이-정보 픽셀 및 그들의 가중치는 예컨대 비-오버레이 파라미터에서의 프로세스 변동에 대한 견실성을 증가시키도록 최적화될 수 있다. 이것은 정밀도를 희생시킬 수 있다.
일 실시예에서, 하나 이상의 비-오버레이 파라미터의 추정은, 예를 들어 도 9 와 관련하여 설명된 재구성 기법을 사용하여 이루어지고, 피드-포워드되어 유도된 프로파일 또는 유도된 프로파일 모델을 튜닝할 수 있다. 예를 들어, CD 재구성은 기판에서의 특정 위치에 있는 타겟의 CD 및/또는 패터닝 프로세스 셋팅(예를 들어, 노광 도즈, 노광 초점 등)의 특정 조합에 대한 CD를 추정하고, 그러한 CD 추정치를 사용하여 유도된 프로파일 또는 유도된 프로파일 모델의 CD 파라미터를 튜닝할 수 있다. 일 실시예에서, 정확한 유도 프로파일 또는 유도된 프로파일 모델 파라미터의 반복적 재구성이 수행될 수 있다.
도 12 를 참조하면, 기하학적으로 대칭일 수 있는 유닛 셀의 하나 이상의 물리적 인스턴스를 가지는 타겟에 대한 오버레이 값을 결정하는 방법이 예시된다. 이러한 방법은 두 가지 프로세스(1200 및 1210)를 수반한다. 프로세스(1200)는 유닛 셀의 하나 이상의 물리적 인스턴스를 가지는 타겟의 측정치를 획득하는 것을 수반한다. 프로세스(1210)는 프로세스(1200)로부터 나온, 타겟의 측정치에 기초하여 측정된 타겟에 대한 오버레이 값을 결정하는 것을 수반한다.
프로세스(1200)는 입력으로서 기하학적으로 대칭일 수 있는, 본 명세서에서 설명된 바와 같은 유닛 셀의 하나 이상의 물리적 인스턴스를 포함하는 측정될 타겟(1220)을 취한다. 일 실시예에서, 타겟의 하나 이상의 인스턴스가 있는 기판이 계측 장치, 예컨대 도 7 의 계측 장치로 제공된다.
또는, 프로세스(1200)는 입력으로서 해당 타겟에 대해서 규정된 특정 측정 전략(1230)을 얻는다. 일 실시예에서, 측정 전략은 하나 이상의 측정 파라미터, 예컨대 측정 빔 파장, 측정 빔 편광, 측정 빔 선량, 및/또는 타겟의 특정한 하나의 조명의 계측 장치의 검출기 센서에 의해 읽어진 다수의 광학 특성 판독치 중에서 선택된 하나 이상의 값을 규정할 수 있다. 일 실시예에서, 측정 전략은 하나 이상의 측정 파라미터의 값을 특정하는 복수 개의 측정 전략을 포함할 수 있다. 측정 전략은 타겟을 측정하기 위하여 사용될 수 있다.
그러면 프로세스(1200)는 선택적인 측정 전략에 따라서 계측 장치를 사용하여 타겟을 측정한다. 일 실시예에서, 계측 장치는 재지향된 방사선의 퓨필 표현을 획득한다. 일 실시예에서, 계측 장치는 퓨필 이미지(1030)(예를 들어 타겟이 오버레이에 오차를 가지지 않는다면) 또는 퓨필 이미지(1060)(예를 들어 타겟이 오버레이에 오차를 가진다면)와 같은 퓨필 표현을 생성할 수 있다. 따라서, 일 실시예에서, 프로세스(1200)는 타겟으로부터의 재지향된 방사선에 관련된 광학적 정보(1240), 예컨대 방사선의 퓨필 표현을 출력한다.
그러면, 프로세스(1210)는 광학적 정보(1240)를 수신하고, 광학적 정보를 처리하여 해당 타겟에 대한 오버레이 값(1260)을 결정한다. 일 실시예에서, 프로세스(1210)는 입력으로서 도 11 의 방법에서 결정된 가중치(1250)를 수신하는데, 가중치는 광학적 정보(1240)로부터 획득되거나 유도된 하나 이상의 광학 특성 값(예를 들어, 세기)과 조합된다.
일 실시예에서, 프로세스(1210)(또는 프로세스(1200))는 광학적 정보를 처리하여, 광학적 정보로부터 원시 오버레이 신호를 유도할 수 있다. 일 실시예에서, 원시 오버레이 신호는 광학적 정보의 차이, 즉 대칭축 또는 대칭점에 걸친 대칭 픽셀들 사이의 광학 특성 값의 차분을 포함한다. 일 실시예에서, 유도된 퓨필 이미지(1035)(예를 들어 타겟이 오버레이에 오차를 가지지 않는다면) 또는 유도된 퓨필 이미지(1065)(예를 들어 타겟이 오버레이에 오차를 가진다면)가 획득될 수 있다.
일 실시예에서, 타겟에 의해 재지향된 방사선에 대한 가중치 및 광학적 정보(예를 들어, 프로세스(1200)로부터 온 광학적 정보 또는 원시 오버레이 신호와 같이 프로세스(1200)로부터 온 광학적 정보의 처리된 버전)는 결합되어 오버레이 값을 결정한다. 일 실시예에서, 연관된 가중치와 선형으로 조합된 재지향된 측정 빔 세기들의 조합을 사용하면 오버레이를 빠르게 결정할 수 있다. 예를 들어, 일 실시예에서, 오버레이 값은 수학식 4 로부터 유도될 수 있는데, 여기에서 오버레이 값 M은 신호 성분 Si의 각각에 대한 개별 가중치
Figure pct00047
를 사용하며 원시 오버레이 신호로부터 얻은 신호 성분 Si의 가중된 조합으로서 계산된다.
일 실시예에서, 프로세스(1200)로부터 수집된 광학적 정보는 오버레이 이외의 하나 이상의 타겟 관련된 파라미터를 유도하도록 추가적으로 사용될 수 있다. 예를 들어, 프로세스(1200)로부터 수집된 광학적 정보는 재구성 프로세스에서 사용되어 타겟의 임의의 하나 이상의 기하학적 프로파일 파라미터, 예컨대 CD, 측벽 각도, 하단 바닥 틸트 등을 유도할 수 있다. 그러므로, 일 실시예에서, 타겟, 예컨대 인-다이 에칭후 타겟으로부터 수집된 광학적 정보의 동일한 세트가 CD 및/또는 타겟(예컨대 디바이스 구조체)의 하나 이상의 다른 기하학적 프로파일 파라미터를 결정하기 위하여 사용될 수 있다.
위에서 언급된 바와 같이 비록 세기에 중점을 두었지만, 일 실시예에서 광학 특성은 반사도일 수 있고, 방사선은 편광될 수 있으며, 측정치는 교차-편광 측정치일 수 있다. 예를 들어, 특정 선형 편광으로 노광된 타겟은 해당 편광으로 또는 상이한 편광에서 측정될 수 있다. 그러므로, 대칭 픽셀
Figure pct00048
Figure pct00049
(다시 표시는 대칭 위치를 나타냄)의 경우, 그러한 픽셀에 대한 반사도 R이 다음과 같이 측정될 수 있다:
Figure pct00050
Figure pct00051
여기에서 s는 편광을 나타내고 p는 편광을 나타낸다. 따라서, 반사도
Figure pct00052
는 타겟이 s 편광을 사용하여 조명되었을 때에 측정된 s 편광된 방사선의 반사도 R에 대응하고, 반사도
Figure pct00053
는 타겟이 p 편광을 사용하여 조명되었을 때에 측정된 s 편광된 방사선의 반사도 R에 대응하며, 그 외에도 마찬가지이다. 더욱이, 이러한 측정치는 상이한 파장에서 취해질 수 있다. 그리고, 특정 실시예들에서, 오버레이 변화에 응답하여 자신의 대칭을 바꾸는 대칭적 유닛 셀에 대한 오버레이가 합동체(congruent)
Figure pct00054
Figure pct00055
로부터 발견되고 결정될 수 있다는 것이 발견되었다.
더 나아가, 오버레이 및/또는 다른 파라미터로부터 비선형성이 생길 수 있다. 위에서 논의된 바와 같이, 특정 비선형성은 가중치를 적절히 선택함으로써, 예를 들어 헤시안 행렬 및/또는 3차 도함수를 사용하여 가중치를 유도함으로써 해소될 수 있다. 일 실시예에서, 비선형성은 타겟으로부터 재지향된 방사선의 측정된 광학적 정보로부터 오버레이를 유도하기 위한 비선형 솔루션을 사용함으로써 해소될 수 있다.
일 실시예에서, 오버레이는 공칭 프로파일을 유도하기 위해서 사용된 전술된 바와 같은 재구성 엔진을 사용하여 결정될 수 있다. 예를 들어, 유도된 공칭 프로파일로부터 유도된 모델 및/또는 유도된 공칭 프로파일 모델로부터 작동하는 비선형 솔버가 관심 타겟으로부터의 재지향된 방사선으로부터 기대된 광학적 정보의 시뮬레이션된 버전을 유도하기 위하여 사용될 수 있고, 이것은 관심 타겟의 측정된 광학적 정보와 비교될 수 있다. 위에서 언급된 바와 같이, 관심 타겟은 대칭일수 있고 오버레이에 노출되면 자신의 대칭을 바꾸는 유닛 셀의 하나 이상의 물리적 인스턴스를 포함한다. 그러면, 특정 임계 내에 합의가 되지 않으면, 기하학적 프로파일 파라미터(예를 들어, 오버레이)는 변경되고, 광학적 정보의 시뮬레이션된 버전은 재계산되어 임계 내에 합의가 있을 때까지 측정된 광학적 정보와 비교될 수 있다. 이와 유사하게, 관심 타겟의 측정된 광학적 정보는 관심 타겟으로부터의 재지향된 방사선으로부터 기대되는 광학적 정보의 라이브러리(이러한 라이브러리는 통상적으로 비선형 솔버를 사용하여 유도될 것임)에 대해서 비교될 수 있다. 그러면, 특정 임계 내에 합의가 되지 않으면, 기하학적 프로파일 파라미터(예를 들어, 오버레이)는 변경되고, 임계 내에 합의가 있을 때까지 측정된 광학적 정보와 비교되는 광학적 정보의 시뮬레이션된 버전에 대해서 라이브러리가 참조될 수 있다.
일 실시예에서, 관심 타겟으로부터의 측정된 광학적 정보와 재구성 엔진을 사용하는 것은 측정된 광학적 정보를 사용하는데, 그로부터 방사선의 대칭적 분포가 전술된 바와 같이, 예를 들어 각각의 픽셀에서의 광학 특성 값으로부터 대칭점 또는 대칭축에 걸쳐 대칭적으로 위치된 픽셀에서의 광학 특성 값을 감산함으로써 제거된 바 있다. 따라서, 광학적 정보는 실질적으로 방사선의 비대칭 분포에만 관련된다. 이와 유사하게, 광학적 정보의 시뮬레이션되거나 라이브러리 버전은 실질적으로 방사선의 비대칭 분포에만 관련된다. 그러면 광학적 정보의 상당한 부분이 대차법(differencing)을 통해서 소거될 것이기 때문에 계산되거나 평가될 필요가 없어질 것이어서, 계산 및/또는 비교 시간이 촉진될 것이다.
비선형 솔루션의 추가적인 실시예에서, 수학식 3 의 확장이 비선형 솔버로 풀이되어
Figure pct00056
를 유도할 수 있다. 특히, 수학식 3 의
Figure pct00057
,
Figure pct00058
,
Figure pct00059
등(적용가능한 경우)의 값은 관심 유닛 셀의 유도된 공칭 프로파일 및/또는 유도된 공칭 프로파일 모델을 결정하는 것의 일부로서 결정될 수 있다. 예를 들어, 유도된 공칭 프로파일이 비선형 재구성의 일부로서 결정되면, 유도된 공칭 프로파일에 대응하는(예를 들어, 오버레이의 특정한 변화에 대한 유도된 공칭 프로파일의 섭동(예를 들어,
Figure pct00060
)에 응답하여) 퓨필에 대한 시뮬레이션되거나 라이브러리 광학적 정보가 획득될 수 있고, 그러면 a, b, c 등(적용가능한 경우)의 값이 잔차를 최소화하기 위해서, 예를 들어 솔루션들을 통해서 반복하는(예를 들어, 오버레이 내의 하나 이상의 섭동(예를 들어,
Figure pct00061
)에 응답하여) 비선형 솔버를 가지고 각각의 픽셀에 대해서 결정될 수 있다. 그 결과는, 적용가능한 경우 퓨필에 대한 값들(각각의 값은 퓨필의 픽셀에 대응함)의 벡터, 퓨필에 대한 b 개의 값(각각의 b 값은 퓨필의 픽셀에 대응함)의 벡터, 퓨필에 대한 c 개의 값(각각의 값은 퓨필의 c 개의 값에 대응함)의 벡터 등이다. 그러면, 이러한 벡터는 관심 유닛 셀을 가지는 타겟의 측정된 퓨필로부터 결정된
Figure pct00062
개의 값들의 벡터와 조합될 수 있다. 예를 들어 잔차를 최소화하기 위해서 솔루션들을 통해서 반복하는 비선형 솔버는 이러한 입력 벡터를 취하고 오버레이
Figure pct00063
에 대해서 풀이할 수 있다.
전술된 논의가 유닛 셀의 물리적 프로파일을 모델링하는 모델을 사용하는 것에 중점을 두었지만, 일 실시예에서 가중치는 물리적 프로파일 모델링을 요구하지 않는 데이터-구동 기법을 사용하여 유도될 수 있거나 물리적 프로파일 모델을 보완하는 데이터-구동 기법으로 유도될 수 있다. 그러므로, 일 실시예에서, 데이터-구동 기법은 바람직하게는 물리적 프로파일 모델을 요구하지 않을 수 있다; 이것은, 예를 들어, 물리적 프로파일 모델링이 유닛 셀이 디바이스 패턴 구조체인 경우에는 민감한 정보일 수 있는 유닛 셀(및 따라서 타겟)에 관련된 세부사항을 가지고 시작하거나 그것을 결정하기 때문에, 기밀 정보의 공유를 제한하기 위해서 유용할 수 있다. 일 실시예에서, 데이터-구동 기법은, 예를 들어 위에서 논의된 바와 같이 가중치의 상대적으로 빠른 결정을 가능하게 하여, 측정된 광학적 정보(예를 들어, 퓨필 세기)를 패터닝 프로세스 파라미터(예를 들어, 오버레이)로 변환할 수 있다. 일 실시예에서, 데이터-구동 기법은, 후술되는 바와 같이 데이터-구동 기법이 측정된 데이터 및 연관된 레퍼런스만을 필요로 할 수 있기 때문에, 빠른 스테이지에서 패터닝 프로세스 파라미터가 결정될 수 있게 한다.
그러므로, 일 실시예에서, 데이터-구동 기법은, 관심 대상 패터닝 프로세스 파라미터(예를 들어, 오버레이)의 하나 이상의 특정한 설정 값을 가지고, 그 위에 패터닝된 관심 유닛 셀의 물리적 인스턴스를 가지는 하나 이상의 기판으로부터 측정된 데이터("겟(get)" 데이터)를 처리하는 것을 수반한다. 이러한 조합 특정 패터닝 프로세스 파라미터(예를 들어, 오버레이)의 "설정된(set)" 의도적인 값들을 이렇게 조합하여 패턴과 함께 그러한 패턴으로부터 측정된 데이터("겟" 데이터)를 생성하는 것은 "셋-겟(set-get)" 프로세스라고 불린다. 예를 들어, 유닛 셀의 특정 양의 물리적 인스턴스의 오버레이는 패터닝 프로세스의 일부로서 생성되고, 그러면 유닛 셀의 물리적 인스턴스를 가지는 타겟이, 예를 들어 그것의 퓨필 이미지(즉, "겟" 데이터)를 획득하기 위해서 측정된다. 일 실시예에서, 복수 개의 기판은 이러한 방식으로 패터닝되고 측정될 수 있다. 일 실시예에서, 오버레이의 복수 개의 상이한 설정 값이 생성되는데, 오버레이의 그러한 상이한 값들은 하나의 기판에 대한 것일 수 있고, 상이한 기판들에 걸쳐 있을 수도 있다. 일 실시예에서, 각각의 기판은 측정된 복수 개의 타겟을 가져서, 예를 들어 복수 개의 퓨필 이미지를 제공할 것이다. 일 실시예에서, 오버레이는 유닛 셀의 물리적 인스턴스의 상이한 부분들을 패터닝하는 사이의 설계 확대(design magnification)로부터 확대 변화를 유도함으로써 생성될 수 있다. 일 실시예에서, 오버레이는 유닛 셀의 물리적 인스턴스의 상이한 부분들을 패터닝하는 사이의 설계 위치설정(design positioning)으로부터 의도적인 병진을 제공함으로써 생성될 수 있다. 따라서, 그 결과는, 예를 들어 리소그래피 장치에 의해 유도된 타겟 내의 의도적으로 인가된 오버레이이다.
일 실시예에서, 일반적으로, 획득된 측정 데이터 및 연관된 레퍼런스 값이 존재한다. 그러므로, 일 실시예에서, 상이한 오버레이가 존재하지만 그러한 오버레이들이 다른 수단에 의해(예를 들어, 스캐닝 전자 현미경으로부터) 결정된다면, 의도적 오버레이는 제공될 필요가 없다. 일 실시예에서, 대응하는 레퍼런스 데이터(예를 들어 CD-SEM으로부터 얻어진 데이터)가 있는 임계 치수 균일성 기판이 입력 데이터로서 사용될 수 있다. 측정된 데이터 및 레퍼런스 값이 있으면, 데이터-구동 접근법은 본 명세서에서 논의된 바와 같이, 유추된 오버레이 값이 레퍼런스 값과 비슷하게 되도록 가중치를 찾아낼 수 있다. 그러므로, 데이터-구동 기법의 논의가 의도적으로 설정된 오버레이 값에서의 측정된 광학적 정보 및 퓨필 표현에 중점을 두고 있지만, 이들은 더 일반적인 측정 데이터 및 연관된 레퍼런스 값(측정되거나 의도적으로 설정됨)에 적용될 수 있다.
더 나아가, 본 발명의 기법이 특정 오버레이(예를 들어, X-방향의 오버레이)에 관련되지만, 본 발명의 기법이 대응하는 측정 데이터 및 레퍼런스 값을 사용하여 상이한 오버레이(예를 들어, Y-방향의 오버레이, 다른 층들에 있는 구조체들 사이의 오버레이 등)에 대해서 반복될 수 있다는 것이 이해될 것이다. 따라서, 상이한 오버레이에 대해서 상이한 가중치 세트가 결정될 수 있다.
그러므로, 도 13 을 참조하면, 데이터 구동 기법의 일 실시예의 고수준 흐름도가 도시된다. 1300 에서, 위에서 논의된 바와 같이 가중치를 유도하기 위하여 계산이 수행되어, 측정된 광학적 정보(예를 들어, 퓨필 세기)를 패터닝 프로세스 파라미터(예를 들어, 오버레이)로 변환한다. 특히, 이러한 계산은 여러 입력을 사용한다. 입력 중 하나는 관심 유닛 셀의 물리적 인스턴스를 가지는 타겟에 대한 셋-겟 프로세스의 설정 값(1320)이다. 위에서 언급된 바와 같이, 타겟의 복수 개의 인스턴스는 하나 이상의 기판에 걸쳐서 측정될 수 있는데, 타겟의 하나 이상의 인스턴스는 타겟의 하나 이상의 다른 인스턴스와는, 패터닝 프로세스 파라미터의 의도적인 설정 값의 다른 값을 가진다. 추가적인 입력은 상이한 설정 값에서의 타겟의 그러한 인스턴스에 대한 측정된 광학적 정보(1310)이다. 일 실시예에서, 광학적 정보(1310)는 복수 개의 퓨필 표현이고, 각각은 타겟의 한 인스턴스에 대응한다. 그러면, 입력(1310 및 1320)이 데이터-구동 기법으로 처리되어 가중치(1330)에 도달한다. 이러한 데이터-구동 기법의 예들이 이제부터 후술된다.
일 실시예에서, 가중치들의 벡터
Figure pct00064
를 찾기 위한 데이터-구동 기법의 일 예는 후속하는 목적 함수 또는 메리트 함수를 최소화하여 가중치
Figure pct00065
에 이르게 하는 것이다:
Figure pct00066
여기에서
Figure pct00067
는 측정된 광학 특성(예를 들어, 세기)의 값과 조합되어 패터닝 프로세스 파라미터(예를 들어, 오버레이)를 결정하기 위한 가중치의 벡터이고, 각각의 가중치는 퓨필의 픽셀 값에 대응하며,
Figure pct00068
는 패터닝 프로세스 파라미터의 특정 설정 값을 얻도록 패터닝된 기판
Figure pct00069
으로부터 획득된 타겟의 인스턴스의 측정된 퓨필로부터 얻은 측정된 광학 특성의 픽셀 값을 각 열이 보유하는 행렬이고(그러면 이러한 행렬은 열이 퓨필의 픽셀이 되고, 행이 기판 상의 타겟의 하나 이상의 인스턴스가 되도록 전치되고, 행렬의 값은 각각의 픽셀에서의 측정된 광학 특성의 값이다),
Figure pct00070
는 하나 이상의 기판
Figure pct00071
상의 타겟의 하나 이상의 인스턴스에 대한 패터닝 프로세스 파라미터의 대응하는 설정 값을 보유하는 벡터이며, 각각의 설정 값은 패터닝 프로세스 파라미터 값에 대응하고,
Figure pct00072
은 설정 값의 개수만큼의 크기인 단위 벡터이며,
Figure pct00073
는 각각의 기판에 대한 패터닝 프로세스 파라미터의 설정값과 패터닝 프로세스 파라미터(
Figure pct00074
)의 추론된 값 사이의 오프셋 차분이며, D는 측정되는 기판의 개수이다. 행렬
Figure pct00075
는 타겟의 각각의 인스턴스에 대한 상이한 결과들의 조합일 수 있다. 예를 들어, 타겟은 상이한 파장, 상이한 편광 등으로 측정될 수 있다. 그러므로, 이러한 결과는 각각의 열에 연쇄될 수 있어서, 예를 들어 하나의 열은 제 1 파장 및 제 1 편광으로 측정된 타겟의 퓨필의 픽셀에 대한 값들을 가질 수 있고, 이들 뒤에는 제 2 의 다른 파장으로 측정된 타겟의 퓨필의 픽셀에 대한, 열 내의 값들이 후속되거나, 제 2 의 다른 편광으로 측정된 타겟의 퓨필의 픽셀에 대한, 열 내의 값들이 후속될 수 있다(이들 뒤에도 하나 이상의 상이한 편광 및/또는 파장에서의 추가적인 값들이 후속할 수 있다).
그러므로, 결과적으로, 이러한 함수는 가중치 벡터
Figure pct00076
를 찾아내어, 각각의 기판
Figure pct00077
에 대한 추론 값
Figure pct00078
가 오프셋
Figure pct00079
로부터 떨어진 설정-값
Figure pct00080
와 가능한 한 비슷해 보이게(L2 정규화 놈(norm)의 의미에서) 한다. 이론상, 최적의 가중치 및 오프셋이 행렬 반전에 의하여 계산될 수 있다. 측정된 광학 특성의 픽셀 값들이 하나 이상의 특정 계측 장치로 얻어지기 때문에, 획득된 가중치는 캘리브레이션 데이터에 의해 정규화되어 특정 계측 장치 자체가 결과에 미치는 영향을 감소시킬 수 있다.
전술된 바와 같이 데이터-구동 기법과 같이 목적 함수 또는 메리트 함수를 사용하여 가중치를 찾아내는 대신에 또는 이에 추가하여, 데이터-구동 기법은 신경망과 같은 머신 러닝 알고리즘, 또는 비선형 방법을 사용하여 관심 대상인 패터닝 프로세스 파라미터(예를 들어, 오버레이) 내의 의도적으로 제공된 차분과 함께 타겟의 측정된 퓨필에 기초하여 가중치를 결정할 수 있다.
일 실시예에서, 훈련(즉, 목적 함수 또는 메리트 함수 또는 머신 러닝 알고리즘을 사용한 훈련) 이후에, 가중치는 다른 데이터를 사용하여 점검될 수 있다. 훈련의 결과로 오버핏(overfit)이 생길 수 있다; 데이터-구동 접근법은 데이터를 설정 값에 "정확하게(just)" 피팅한다. 그러므로, 교차 인증이 완료된다. 알려진 설정 값을 가지는 새로운 데이터가 가중치를 점검하기 위하여 사용된다. 이러한 새로운 데이터는 당장의 기판의 서브세트일 수 있다. 그러므로, 일 실시예에서, 기판의 서브세트에 훈련이 수행되고, 기판의 다른(구별되는(disjunct)) 서브세트에는 인증이 수행된다.
도 14 는 물리적 기하학적 모델과 조합된 데이터-구동 기법의 일 실시예의 고레벨 흐름을 도시한다. 이러한 실시예에서, 도 13 과 관련하여 설명된 것과 같은 데이터-구동 기법이 가중치를 유도하기 위하여 사용될 수 있고, 이들은 물리적 기하학적 모델(예를 들어, 물리적 기하학적 모델의 야코비안(의 무어-펜로즈 의사 역행렬))로부터 얻어진 가중치들이 데이터-구동 기법에 의해 결정된 가중치와 동일하거나 유사해 지도록(예를 들어, 값에 의해서나 통계적으로 등), 물리적 기하학적 모델을 튜닝(예를 들어, 헤시안을 사용하여 더 양호한 모델 공칭 값을 얻음으로써, 모델 공칭 값의 변경함으로써, 등)하기 위하여 사용된다. 따라서, 일 실시예에서, (스케일링된) 가중치 벡터
Figure pct00081
는, 야코비안(의 무어-펜로즈 의사 역행렬)이 (스케일링된) 가중치 벡터
Figure pct00082
와 유사하게 되게끔 물리적 기하학적 모델이 튜닝되도록, 물리적 기하학적 모델을 미세 튜닝하기 위해서 사용될 수 있다.
그러므로, 일 실시예에서, 1400 에서 데이터-구동 기법(그 예들은 전술됨)이 수행되어 위에서 논의된 바와 같이 가중치를 유도한다. 이러한 계산은 여러 입력을 사용한다. 입력 중 하나는 관심 유닛 셀의 물리적 인스턴스를 가지는 타겟에 대한 셋-겟 프로세스의 설정 값(1420)이다. 위에서 언급된 바와 같이, 타겟의 복수 개의 인스턴스는 하나 이상의 기판에 걸쳐서 측정될 수 있는데, 타겟의 하나 이상의 인스턴스는 타겟의 하나 이상의 다른 인스턴스와는, 패터닝 프로세스 파라미터의 의도적인 설정 값의 다른 값을 가진다. 추가적인 입력은 상이한 설정 값에서의 타겟의 그러한 인스턴스에 대한 측정된 광학적 정보(1410)이다. 일 실시예에서, 광학적 정보(1410)는 복수 개의 퓨필 표현이고, 각각은 타겟의 한 인스턴스에 대응한다. 그러면, 입력(1410 및 1420)이 데이터-구동 기법으로 처리되어 가중치(1430)에 도달한다.
가중치(1430)를 사용하여 물리적 기하학적 모델을 미세 튜닝하도록 가중치(1430)가 프로세스(1440)에 입력된다. 프로세스(1440)는 유닛 셀에 대한 물리적 프로파일(1450)(프로세스(1440)가 물리적 프로파일 모델을 유도하기 위해서 사용함)을 획득하거나 유닛 셀에 대한 물리적 프로파일 모델(1450)(프로세스(1440)가 사용함)을 획득한다. 일 실시예에서, 물리적 프로파일은 전술된 바와 같이, 유닛 셀의 유도된 공칭 프로파일 및/또는 유도된 공칭 프로파일 모델이다.
프로세스(1440)는 가중치(1430)에 대응하는 가중치를 유도하기 위하여 물리적 기하학적 모델을 사용한다. 그러면, 그러한 가중치들이 가중치(1430)와 비교된다. 이러한 비교는 크기들의 매칭, 통계적 분석, 피팅(fitting) 평가 등을 수반할 수 있다. 상당한 차이가 존재한다면(예를 들어, 임계치에 대한 비교를 평가함으로써), 물리적 프로파일의 하나 이상의 파라미터가 튜닝될 수 있다. 예를 들어, 하나 이상의 물리적 프로파일 파라미터(예를 들어, CD, 측벽 각도, 재료 높이 등)가, 비교 결과가, 예를 들어 특정 임계치에 더 가까워지거나 가까워지도록 튜닝될 수 있다. 일 실시예에서, 헤시안은 이러한 미세 튜닝을 수행하기 위하여 사용될 수 있고, 또는 비선형 솔버(하나 이상의 순방향 콜(call)을 포함하는 솔버(예를 들어, 맥스웰 솔버))를 사용하여 수행될 수 있다. 튜닝 및 비교는 임계치가 만족되거나 통과될 때까지 반복될 수 있다. 그러면, 튜닝된 물리적 기하학적 모델은, 패터닝 프로세스 파라미터 값을 유도하기 위하여 관심 타겟의 측정된 광학적 정보와 조합하는 데에 사용되기 위한 업데이트된 가중치(1460)를 출력할 수 있다.
도 15 는 물리적 기하학적 모델과 조합된 데이터-구동 기법의 다른 실시예의 고레벨 흐름을 도시한다. 물리적 기하학적 모델이 측정된 데이터와 유사한 거동을 보이는 경우, 물리적 기하학적 모델은 프로세스 변동의 영향을 예측하기 위하여 사용될 수 있다. 그러므로, 일 실시예에서, 물리적 기하학적 모델의 헤시안은 가중치를 튜닝하여, 물리적 기하학적 모델을 튜닝하기 위하여 사용된 가중치를 얻기 위해서 데이터-구동 기법에서 사용된 데이터에는 존재하지 않았던 프로세스 변동에 가중치가 (더) 직교하도록 하기 위하여 사용될 수 있다.
가중치를 튜닝하기 위하여 헤시안을 사용하는 이러한 접근법은 데이터-구동 기법이 없이 수행될 수도 있다. 즉, 가중치를 업데이트하기 위하여 헤시안을 사용하는 이러한 기법은 도 11 과 연관되어 설명된 물리적 기하학적 모델 접근법과 함께 수행될 수 있다. 이러한 경우에, 예를 들어 가중치는, 전술된 바와 같이 유닛 셀의 유도된 공칭 프로파일 및/또는 유도된 공칭 프로파일 모델을 얻기 위해서 사용된 데이터에는 존재하지 않았던 프로세스 변동에 가중치가 (더) 직교하도록 하기 위하여 튜닝될 수 있다. 이러한 튜닝을 통하여, 가중치는 물리적 기하학적 모델을 생성하도록 사용된 측정된 데이터에서는 관찰되지 않는 프로세스 변동에 대하여 더욱 견실해진다.
그러므로, 일 실시예에서, 1500 에서 데이터-구동 기법(그 예들은 전술됨)이 수행되어 위에서 논의된 바와 같이 가중치를 유도한다. 이러한 계산은 여러 입력을 사용한다. 입력 중 하나는 관심 유닛 셀의 물리적 인스턴스를 가지는 타겟에 대한 셋-겟 프로세스의 설정 값(1510)이다. 위에서 언급된 바와 같이, 타겟의 복수 개의 인스턴스는 하나 이상의 기판에 걸쳐서 측정될 수 있는데, 타겟의 하나 이상의 인스턴스는 타겟의 하나 이상의 다른 인스턴스와는, 패터닝 프로세스 파라미터의 의도적인 설정 값의 다른 값을 가진다. 추가적인 입력은 상이한 설정 값에서의 타겟의 그러한 인스턴스에 대한 측정된 광학적 정보(1505)이다. 일 실시예에서, 광학적 정보(1505)는 복수 개의 퓨필 표현이고, 각각은 타겟의 한 인스턴스에 대응한다. 그러면, 입력(1505 및 1510)이 데이터-구동 기법으로 처리되어 가중치(1515)에 도달한다.
가중치(1515)를 사용하여 물리적 기하학적 모델을 미세 튜닝하도록 가중치(1515)가 프로세스(1520)에 입력된다. 프로세스(1520)는 유닛 셀에 대한 물리적 프로파일(1525)(프로세스(1520)가 물리적 프로파일 모델을 유도하기 위해서 사용함)을 획득하거나 유닛 셀에 대한 물리적 프로파일 모델(1525)(프로세스(1520)가 사용함)을 획득한다. 일 실시예에서, 물리적 프로파일은 전술된 바와 같이, 유닛 셀의 유도된 공칭 프로파일 및/또는 유도된 공칭 프로파일 모델이다.
프로세스(1520)는 가중치(1515)에 대응하는 가중치를 유도하기 위하여 물리적 기하학적 모델을 사용한다. 그러면, 그러한 가중치들이 가중치(1515)와 비교된다. 이러한 비교는 크기들의 매칭, 통계적 분석, 피팅(fitting) 평가 등을 수반할 수 있다. 상당한 차이가 존재한다면(예를 들어, 임계치에 대한 비교를 평가함으로써), 물리적 프로파일의 하나 이상의 파라미터가 튜닝될 수 있다. 예를 들어, 하나 이상의 물리적 프로파일 파라미터(예를 들어, CD, 측벽 각도, 재료 높이 등)가, 비교 결과가, 예를 들어 특정 임계치에 더 가까워지거나 가까워지도록 튜닝될 수 있다. 일 실시예에서, 헤시안은 이러한 미세 튜닝을 수행하기 위하여 사용될 수 있고, 또는 비선형 솔버(하나 이상의 순방향 콜(call)을 포함하는 솔버(예를 들어, 맥스웰 솔버))를 사용하여 수행될 수 있다. 튜닝 및 비교는 임계치가 만족되거나 통과될 때까지 반복될 수 있다.
하지만, 이해될 수 있는 것처럼, 패터닝 프로세스는 실행 중에 그리고 패터닝 프로세스의 상이한 실행에 대해서 다르게 변동할 수 있다. 따라서, 데이터-구동 기법에 대해서 획득된 데이터는 가능한 패터닝 프로세스 변동을 모두 고려하지 않는다. 하지만, 물리적 기하학적 모델을 튜닝해서 이러한 모델이 측정된 데이터와 유사하게 거동하게 되는 경우, 물리적 기하학적 모델은 프로세스 변동의 영향을 예측하고 이에 따라서 가중치를 조절하기 위하여 사용될 수 있다.
그러므로, 일 실시예에서, 튜닝된 물리적 기하학적 모델(1530)은 1535 에서, 튜닝된 물리적 기하학적 모델의 헤시안을 계산하기 위하여 사용된다. 그러면, 헤시안(1540)은, 1545 에서 가중치를 튜닝하여, 물리적 기하학적 모델을 튜닝하기 위하여 사용된 가중치를 얻기 위해서 데이터-구동 기법에서 사용된 데이터에는 존재하지 않았던 프로세스 변동에 대해 가중치가 (더) 직교하도록(즉, 견실하도록) 하기 위하여 사용될 수 있다. 다르게 말하면, 가중치는, 기판이 프로세스 변동을 겪는 경우에도 기판으로부터의 측정 데이터와 조합되면 정확한 결과를 산출할 가능성이 높아지도록 튜닝된다.
헤시안이 가중치를 미세 튜닝하기 위하여 어떻게 사용될 수 있는지의 비한정적인 예가 본 명세서에서 오버레이의 콘텍스트에서 기술된다; 상이한 패터닝 프로세스 파라미터도 적절하게 사용될 수 있다. 이러한 예에서, 하나의 오버레이 타입(예를 들어, X 방향의 오버레이)만이 평가된다고 가정된다. 다수의 오버레이 타입이 있는 미세-튜닝도 역시 가능하다.
가중치를 미세 튜닝하기 위하여 헤시안을 사용하는 이러한 실시예에서, 오버레이 응답은, 이러한 데이터에 단일 값 분해(decomposition)를 적용함으로써 하나 이상의 셋-겟 기판으로부터 측정된 데이터로부터 추정된다. 고유벡터
Figure pct00083
(길이 1 을 가짐)가 오버레이 응답에 대응한다고 가정된다. 그러면 벡터
Figure pct00084
를 찾기 위해서 다음 수학식을 푼다:
Figure pct00085
여기에서
Figure pct00086
는 오버레이 파라미터에 대한 야코비안이고, 헤시안
Figure pct00087
는 열들이 프로세스 변동(예를 들어, CD, 재료 높이 등의 변동) 및 오버레이 파라미터에 대한 편도함수를 포함하는 행렬이다(야코비안 및 헤시안 양자 모두는 전술된 바와 같은 모델로부터 획득된다). 그러면, 결정된 벡터
Figure pct00088
는 업데이트된(예를 들어, 더 양호한) 모델을 얻기 위해서 모델 내의 비-오버레이 파라미터에 적용될 델타 파라미터에 대응한다.
가중치가 프로세스 변동에 견실하게(즉 프로세스 변동에 직교하게) 하기 위해서, 후속하는 기법이 사용될 수 있다. 퓨필
Figure pct00089
는 다음의 2차 테일러 전개식에 의해 규정될 수 있다:
Figure pct00090
여기에서
Figure pct00091
는 오버레이 파라미터에 대한 야코비안이고,
Figure pct00092
는 열들이 프로세스 변동(예를 들어, CD, 재료 높이 등의 변동) 및 오버레이 파라미터에 대한 편도함수를 포함하는 행렬이다. 벡터
Figure pct00093
는 대응하는 프로세스 변동을 포함한다. 따라서, 오버레이 값 ο를 가지는 주어진 구조체 및 주어진 프로세스 변동 인스턴스
Figure pct00094
에 대하여, 퓨필은 (근사적으로)
Figure pct00095
와 같아진다. 이해될 수 있는 것처럼, 위의 공식은 이러한 기여분을 함께 추가함으로써 더 많은 오버레이 파라미터로 확장될 수 있다. 더욱이, 테일러 전개식의 더 높은 차수가 무시되기 때문에, 이러한 공식은 근사화이다.
이제, 프로세스 변동의 영향이 작다면, 가중치는 야코비안
Figure pct00096
의 펜로즈-무어 역행렬을 사용하여 계산된다. 오직 하나의 오버레이 파라미터만 있는 경우에, 가중치는
Figure pct00097
과 같아진다. 그리고 사실상, 퓨필과의 가중된 평균(내적)은 오버레이 값 ο(
Figure pct00098
)가 되고, 즉
Figure pct00099
이다. 그러나, 프로세스 변동의 영향이 크면, 오버레이 응답은 다음과 같이 변한다:
Figure pct00100
가중치가 이러한 변동에 대해 견실해지게 하려면,
Figure pct00101
이다. 이것은 가중치
Figure pct00102
를 행렬
Figure pct00103
의 의사 역행렬의 제 1 행과 같게 만듦으로써 달성될 수 있다. 또는 다르게 말하면, 헤시안 행렬
Figure pct00104
는 반전되기 전에 야코비안에 연쇄된다. 이러한 방식으로, 가중치는 프로세스 변동에 직교하게 된다(하지만 정밀도가 일부 희생됨).
따라서, 튜닝(1545)으로부터의 튜닝된 가중치(1550)가, 패터닝 프로세스 파라미터 값을 유도하기 위하여 관심 타겟의 측정된 광학적 정보와 조합하는 데에 사용되기 위하여 출력된다.
도 16 은 물리적 기하학적 모델과 조합된 데이터-구동 기법의 다른 실시예의 고레벨 흐름을 도시한다. 이러한 실시예에서, 데이터-구동 기법에 입력되는 데이터는, 패터닝 프로세스에 대한 프로세스 변동(예를 들어 패터닝 프로세스 변동은 CD 측정으로부터 획득될 수 있음)을 포함하는 합성 광학적 정보(예를 들어, 퓨필 표현)를 포함시킴으로써 확장된다. 합성 광학적 정보는 홀로 또는 측정된 광학적 정보와 조합되어, 데이터-구동 기법을 사용하여 새로운 가중치를 찾기 위하여 사용될 수 있다.
그러므로, 일 실시예에서, 1500 에서 데이터-구동 기법(그 예들은 전술됨)이 수행되어 위에서 논의된 바와 같이 가중치를 유도한다. 이러한 계산은 여러 입력을 사용한다. 입력 중 하나는 관심 유닛 셀의 물리적 인스턴스를 가지는 타겟에 대한 셋-겟 프로세스의 설정 값(1510)이다. 위에서 언급된 바와 같이, 타겟의 복수 개의 인스턴스는 하나 이상의 기판에 걸쳐서 측정될 수 있는데, 타겟의 하나 이상의 인스턴스는 타겟의 하나 이상의 다른 인스턴스와는, 패터닝 프로세스 파라미터의 의도적인 설정 값의 다른 값을 가진다. 추가적인 입력은 상이한 설정 값에서의 타겟의 그러한 인스턴스에 대한 측정된 광학적 정보(1505)이다. 일 실시예에서, 광학적 정보(1505)는 복수 개의 퓨필 표현이고, 각각은 타겟의 한 인스턴스에 대응한다. 그러면, 입력(1505 및 1510)이 데이터-구동 기법으로 처리되어 가중치(1515)에 도달한다.
가중치(1515)를 사용하여 물리적 기하학적 모델을 미세 튜닝하도록 가중치(1515)가 프로세스(1520)에 입력된다. 프로세스(1520)는 유닛 셀에 대한 물리적 프로파일(1525)(프로세스(1520)가 물리적 프로파일 모델을 유도하기 위해서 사용함) 또는 유닛 셀에 대한 물리적 프로파일 모델(1525)(프로세스(1520)가 사용함)을 획득한다. 일 실시예에서, 물리적 프로파일은 전술된 바와 같이, 유닛 셀의 유도된 공칭 프로파일 및/또는 유도된 공칭 프로파일 모델이다.
프로세스(1520)는 가중치(1515)에 대응하는 가중치를 유도하기 위하여 물리적 기하학적 모델을 사용한다. 그러면, 그러한 가중치들이 가중치(1515)와 비교된다. 이러한 비교는 크기들의 매칭, 통계적 분석, 피팅(fitting) 평가 등을 수반할 수 있다. 상당한 차이가 존재한다면(예를 들어, 임계치에 대한 비교를 평가함으로써), 물리적 프로파일의 하나 이상의 파라미터가 튜닝될 수 있다. 예를 들어, 하나 이상의 물리적 프로파일 파라미터(예를 들어, CD, 측벽 각도, 재료 높이 등)가, 비교 결과가, 예를 들어 특정 임계치에 더 가까워지거나 가까워지도록 튜닝될 수 있다. 튜닝 및 비교는 임계치가 만족되거나 통과될 때까지 반복될 수 있다.
그러므로, 일 실시예에서, 튜닝된 물리적 기하학적 모델(1530)은 1535 에서, 튜닝된 물리적 기하학적 모델의 헤시안을 계산하기 위하여 사용된다. 그러면, 합성 광학적 정보(예를 들어, 하나 이상의 퓨필 표현)를 1610 에서에서 생성하기 위하여 헤시안(1600)이 사용된다. 합성 광학적 정보는 시뮬레이션된 광학적 정보이다. 합성 광학적 정보는 패터닝 프로세스에서의 하나 이상의 기대된 프로세스 변동을 모사(mimic)하려는 의도를 갖는다. 일 실시예에서, 패터닝 프로세스에서의 하나 이상의 프로세스 변동에 관련된 데이터(1620)는 헤시안(1600)과 조합되어 사용되어 합성 광학적 정보를 유도할 수 있다. 일 실시예에서, 합성 퓨필
Figure pct00105
는 위의 수학식 8 에 상이한 오버레이 값 ο와 상이한 파라미터 변동
Figure pct00106
를 대입함으로써 생성될 수 있는데, 여기에서 가중치는
Figure pct00107
에 대응한다. 전술된 수학식 8 이 오버레이 파라미터에 직결되지만, 이러한 기법은 그러한 기여분을 함께 추가함으로써 더 많은 오버레이 파라미터로 확장될 수 있다. 더욱이, 테일러 전개식의 더 높은 차수가 무시되기 때문에, 수학식 8 을 사용하는 기법은 근사화이다. 데이터(1620)는, 예를 들어 프로세스 변동의 종류 및 치수를 기술하는 정보(예를 들어, 오버레이, CD 등이 어떤 퍼센티지만큼 변할 수 있다는 표시)를 포함할 수 있다. 데이터(1620)는 패터닝 프로세스에서의 측정, 예를 들어 오버레이, CD 등의 측정에 의하여 획득될 수 있다. 따라서, 데이터(1620)는 기대된 프로세스 변동을 포함하는 시뮬레이션된 광학적 정보(1630)를 생성하도록, 헤시안(1600)과 함께 사용된다. 합성 광학적 정보(1630)는 합성 광학적 정보(1630)와 연관된 하나 이상의 연관된 추정된 설정 값을 더 포함할 수 있다. 그러면, 합성 광학적 정보(1630)(및 임의의 연관된 설정 값)는, 데이터-구동 기법을 사용하여 새로운 가중치를 찾기 위해서, 분석을 위하여 홀로 또는 측정된 광학적 정보와 조합되어 데이터-구동 기법(1500)에 입력된다.
도 17 은 물리적 기하학적 모델과 조합된 데이터-구동 기법의 다른 실시예의 고레벨 흐름을 도시한다. 이러한 실시예는, 헤시안을 계산하는 대신에 합성 광학적 정보를 얻기 위해서 모든 프로세스 변동에 대해 비선형 솔버(예를 들어, 맥스웰 솔버)로 순방향 호가 이루어진다는 것을 제외하고는, 도 16 의 실시예와 유사하다.
그러므로, 일 실시예에서, 1500 에서 데이터-구동 기법(그 예들은 전술됨)이 수행되어 위에서 논의된 바와 같이 가중치를 유도한다. 이러한 계산은 여러 입력을 사용한다. 입력 중 하나는 관심 유닛 셀의 물리적 인스턴스를 가지는 타겟에 대한 셋-겟 프로세스의 설정 값(1510)이다. 위에서 언급된 바와 같이, 타겟의 복수 개의 인스턴스는 하나 이상의 기판에 걸쳐서 측정될 수 있는데, 타겟의 하나 이상의 인스턴스는 타겟의 하나 이상의 다른 인스턴스와는, 패터닝 프로세스 파라미터의 의도적인 설정 값의 다른 값을 가진다. 추가적인 입력은 상이한 설정 값에서의 타겟의 그러한 인스턴스에 대한 측정된 광학적 정보(1505)이다. 일 실시예에서, 광학적 정보(1505)는 복수 개의 퓨필 표현이고, 각각은 타겟의 한 인스턴스에 대응한다. 그러면, 입력(1505 및 1510)이 데이터-구동 기법으로 처리되어 가중치(1515)에 도달한다.
가중치(1515)를 사용하여 물리적 기하학적 모델을 미세 튜닝하도록 가중치(1515)가 프로세스(1520)에 입력된다. 프로세스(1520)는 유닛 셀에 대한 물리적 프로파일(1525)(프로세스(1520)가 물리적 프로파일 모델을 유도하기 위해서 사용함) 또는 유닛 셀에 대한 물리적 프로파일 모델(1525)(프로세스(1520)가 사용함)을 획득한다. 일 실시예에서, 물리적 프로파일은 전술된 바와 같이, 유닛 셀의 유도된 공칭 프로파일 및/또는 유도된 공칭 프로파일 모델이다.
프로세스(1520)는 가중치(1515)에 대응하는 가중치를 유도하기 위하여 물리적 기하학적 모델을 사용한다. 그러면, 그러한 가중치들이 가중치(1515)와 비교된다. 이러한 비교는 크기들의 매칭, 통계적 분석, 피팅(fitting) 평가 등을 수반할 수 있다. 상당한 차이가 존재한다면(예를 들어, 임계치에 대한 비교를 평가함으로써), 물리적 프로파일의 하나 이상의 파라미터가 튜닝될 수 있다. 예를 들어, 하나 이상의 물리적 프로파일 파라미터(예를 들어, 오버레이, CD, 측벽 각도 등)가, 비교 결과가, 예를 들어 특정 임계치에 더 가까워지거나 가까워지도록 튜닝될 수 있다. 튜닝 및 비교는 임계치가 만족되거나 통과될 때까지 반복될 수 있다.
그러므로, 일 실시예에서, 튜닝된 물리적 기하학적 모델(1700)은 1720 에서, 전술된 바와 같은 합성 광학적 정보를 계산하기 위하여 사용된다. 위에서 논의된 것과 유사하게, 패터닝 프로세스에서의 하나 이상의 프로세스 변동에 관련된 데이터(1710)는 튜닝된 물리적 기하학적 모델(1700)과 조합되어 사용되어 합성 광학적 정보를 유도할 수 있다. 예를 들어, 데이터(1710)는, 프로세스 변동의 종류 및 치수를 기술하는 정보(예를 들어, 오버레이, CD 등이 어떤 퍼센티지만큼 변할 수 있다는 표시)를 포함할 수 있다. 데이터(1710)는 패터닝 프로세스에서의 측정, 예를 들어 오버레이, CD 등의 측정에 의하여 획득될 수 있다. 위에서 언급된 바와 같이, 프로세스 1720 에서의 프로세스는 프로세스 변동에 대하여 비선형 솔버(예를 들어, 맥스웰 솔버)로의 순방향 호를 사용하여 합성 광학적 정보를 얻을 수 있다. 따라서, 데이터(1710)는 기대된 프로세스 변동을 포함하는 시뮬레이션된 광학적 정보(1730)를 생성하도록, 튜닝된 물리적 기하학적 모델(1700)과 함께 사용된다. 합성 광학적 정보(1730)는 합성 광학적 정보(1730)와 연관된 하나 이상의 연관된 추정된 설정 값을 더 포함할 수 있다. 그러면, 합성 광학적 정보(1730)(및 임의의 연관된 설정 값)는, 데이터-구동 기법을 사용하여 새로운 가중치를 찾기 위해서, 분석을 위하여 홀로 또는 측정된 광학적 정보와 조합되어 데이터-구동 기법(1500)에 입력된다.
도 10a 내지 도 10c 에서, 본질적으로 오직 하나의 방향의 오버레이가 유닛 셀의 대칭에 변화를 야기한, 유닛 셀의 상대적으로 간단한 예가 제공되었다. 특히, 도 10a 내지 도 10c 의 유닛 셀에서, X 방향의 오버레이 변화는 유닛 셀의 대칭/비대칭에 변화가 생기게 했지만, Y 방향의 오버레이 변화는 유닛 셀의 대칭에 변화가 생기게 하지 않는다. 이것은 도 10a 내지 도 10c 의 유닛 셀이 본질적으로 오직 하나의 방향의 오버레이가 유닛 셀의 대칭에 변화를 야기한 특정한 기하학적 방식으로 구성된 두 개의 구조체(1000, 1005)를 가지는 결과이다. 물론, 이것은 구조체를 적절한 선택함으로써 이러한 방식으로 설계될 수 있다. 그러나, 본질적으로 오직 하나의 방향의 오버레이가 유닛 셀의 대칭에 변화를 야기하도록 특정한 기하학적 구조를 가지는 현존하는 구조체, 예컨대 디바이스 구조체가 식별될 수 있다. 그러므로, 본질적으로 오직 하나의 방향(X 방향이어야 하는 것은 아님)의 오버레이를 결정할 수 있게 하는 다양한 유닛 셀이 선택되거나 설계될 수 있다.
그러나, 바람직하게는, 유닛 셀의 대칭에 변화가 생기면 두 개 이상의 상이한 오버레이를 초래하도록 구성되는 유닛 셀이 식별되거나 설계될 수 있다. 일 실시예에서, 상이한 오버레이한다는 상이한 방향일 수 있다. 구체적으로 설명하면, 일 실시예에서, 제 1 오버레이는 X 방향일 수 있는 반면에, 제 2 오버레이는 Y 방향일 수 있다. 일 실시예에서, 상이한 오버레이는 유닛 셀의 구조체들 또는 부분들의 상이한 조합들 사이에 각각 존재할 수 있다. 일 실시예에서, 그러한 구조체는 타겟의 동일한 층 및/또는 상이한 층에 있을 수 있다. 구체적으로 설명하면, 일 실시예에서, 제 1 오버레이는 유닛 셀의 제 1 구조체와 제 2 구조체 사이에 있을 수 있고, 제 2 오버레이는 유닛 셀의 제 1 구조체(또는 제 2 구조체)와 제 3 구조체 사이 또는 유닛 셀의 제 3 구조체와 제 4 구조체 사이에 있을 수 있다. 이러한 경우에, 제 1 오버레이 및 제 2 오버레이는 동일한 방향일 수 있다. 자연적으로, 상이한 방향의 상이한 오버레이 및 유닛 셀의 구조체들의 조합으로부터의 상이한 오버레이의 조합이 있을 수 있다. 예를 들어, 제 1 오버레이는 제 1 층의 제 1 구조체 및 아래에 있는 제 2 층의 제 2 구조체에 대해서 X 방향일 수 있고, 제 2 오버레이는 제 1 층의 제 1 구조체 및 제 2 층 아래에 있는 제 3 층의 제 3 구조체에 대해서 Y 방향일 수 있다. 따라서, 오버레이의 다수의 조합은 유닛 셀(따라서 타겟)의 적절한 식별 또는 설계를 통해서 결정될 수 있다.
더욱이, 이해될 수 있는 것처럼, X 방향과 Y 방향의 오버레이의 결정은 적절한 조합을 통해서 총 오버레이(X 및 Y 방향)를 결정할 수 있게 할 수 있다. 이와 유사하게, 그들 사이에 오버레이가 발생할 수 있는 다수의 상이한 구조체들에 대한 총 오버레이를 결정할 수 있게 하기 위해서는, 그러한 구조체들 각각에 대한 오버레이가 결정될 필요가 있다. 그러므로, 일 예로서, 그들 사이에 오버레이가 발생할 수 있는 4 개의 층들(층들 중 하나는 레퍼런스 층임)에 4 개의 별개의 구조체를 가지는 유닛 셀의 경우, 이제 유닛 셀에 대한 총 오버레이의 결정을 가능하게 하기 위해서 6 개의 오버레이(각각의 층마다 X 및 Y)가 결정될 수 있다. 물론, 4 개의 층들 사이에서 관심 대상인 하나 이상의 상이한 오버레이에 도달하기 위해서, 필요에 따라 서브-조합이 결정될 수 있다.
도 18 은 타겟의 다중 오버레이 유닛 셀의 예시적인 실시예를 도시한다. 도 10a 내지 도 10c 의 유닛 셀과 유사하게, 이러한 유닛 셀은 제 1 구조체(1000) 및 제 2 구조체(1005)를 포함한다. 추가적으로, 이러한 유닛 셀은, 이러한 실시예에서 Z 방향으로 제 1 및 제 2 구조체(1000, 1005) 위에 있는 층에 있는 제 3 구조체(1800)를 가진다. 이러한 실시예에서, 이러한 유닛 셀의 비대칭은 하나 이상의 상이한 오버레이에 의해서 생성될 수 있다. 예를 들어, X 방향에서 구조체(1005)와 구조체(1800) 사이의 상대적인 시프트는 비대칭을 초래하는 X 방향의 오버레이를 제공할 수 있다. 다른 예로서, Y 방향에서 구조체(1005)와 구조체(1000) 사이의 상대적인 시프트는 비대칭을 초래하는 Y 방향의 오버레이를 제공할 수 있다. 추가적인 예로서, Y 방향에서 구조체(1000)와 구조체(1800) 사이의 상대적인 시프트는 비대칭을 초래하는 Y 방향의 추가적인 오버레이를 제공할 수 있다.
도 19 는 타겟의 다중 오버레이 유닛 셀의 추가적인 예시적인 실시예를 도시한다. 도 10a 내지 도 10c 의 유닛 셀과 유사하게, 이러한 유닛 셀은 제 1 구조체(1000) 및 제 2 구조체(1005)를 포함한다. 추가적으로, 도 18 의 유닛 셀과 유사하게, 이러한 유닛 셀은, 이러한 실시예에서 Z 방향으로 제 1 및 제 2 구조체(1000, 1005) 위에 있는 층에 있는 제 3 구조체(1800)를 가진다. 더 나아가, 이러한 유닛 셀은, 이러한 실시예에서 Z 방향으로 제 1, 제 2 및 제 3 구조체(1000, 1005, 1800) 위에 있는 층에 있는 제 4 구조체(1900) 를 가진다. 도 18 의 유닛 셀과 유사하게, 이러한 실시예에서, 이러한 유닛 셀의 비대칭은 하나 이상의 상이한 오버레이에 의해서 생성될 수 있다. 예를 들어, X 방향에서 구조체(1005)와 구조체(1800) 사이의 상대적인 시프트는 비대칭을 초래하는 X 방향의 오버레이를 제공할 수 있다. 다른 예로서, X 방향에서 구조체(1005)와 구조체(1900) 사이의 상대적인 시프트는 비대칭을 초래하는 X 방향의 오버레이를 제공할 수 있다. 다른 예로서, Y 방향에서 구조체(1005)와 구조체(1000) 사이의 상대적인 시프트는 비대칭을 초래하는 Y 방향의 오버레이를 제공할 수 있다. 추가적인 예로서, Y 방향에서 구조체(1000)와 구조체(1800) 사이의 상대적인 시프트는 비대칭을 초래하는 Y 방향의 추가적인 오버레이를 제공할 수 있다.
따라서, 일 실시예에서, 도 18 또는 도 19 의 유닛 셀의 조명된 물리적 인스턴스의 측정은, 사실상 다수의 상이한 오버레이가 존재한다면 다수의 상이한 오버레이를 잠재적으로 포함할 수 있는 광학적 정보를 제공할 것이다. 예를 들어, 도 18 을 참조하면, 도 18 의 유닛 셀의 대칭이 제로 오버레이를 나타내고, 그 오버라잉 구조체에 상대적으로 그 제로 오버레이 위치로부터 구조체(1005)의 X 및 Y 시프트(예를 들어, 0, 90, 180 또는 270 도가 아닌 방향의 시프트)가 존재한다면, 그러한 시프트는 X 방향에서의 구조체(1005)와 구조체(1800) 사이의 상대적인 시프트 및 Y 방향에서의 구조체(1005)와 구조체(1000) 사이의 상대적인 시프트에 기인하여 비대칭을 초래할 것이다. 그러므로, X 및 Y 방향에서의 구조체(1005)에 대한 양자 모두의 오버레이(그 조합은 구조체(1005)의 총 오버레이를 제공할 것임)를 결정하는 것이 바람직할 것이다.
이제부터 논의되는 바와 같이, 광학 특성 값으로부터, 유닛 셀의 물리적 인스턴스에 대한 제 1 오버레이의 값을 유닛 셀의 물리적 인스턴스에 대한 것이고 동일한 광학 특성 값으로부터 역시 획득가능한 제 2 오버레이와 별개로 결정할 수 있는 기법이 제공되는데, 제 1 오버레이는 제 2 오버레이와 다른 방향이거나(예를 들어, X 방향 오버레이 및 Y 방향 오버레이) 또는 유닛 셀 중 제 2 오버레이와 상이한 조합의 부분들 사이에 있다(예를 들어, 제 1 오버레이는 구조체(1005)와 구조체(1800) 사이에 있고 제 2 오버레이는 구조체(1005)와 구조체(1000) 사이에 있거나 구조체(1000)와 구조체(1800) 사이에 있고, 제 1 오버레이 및 제 2 오버레이는 동일한 방향일 수 있음).
즉, 일 실시예에서, 광학 특성 값에 있는 제 1 오버레이 정보를 동일한 광학 특성 값에 있는 제 2 (또는 그 이상)의 오버레이 정보로부터 디커플링하기 위해서 가중치가 결정된다. 따라서, 일 실시예에서, 특별하게 선택된 가중치를 적용함으로써, 가중치를 광학 특성 값과 조합하면 동일한 광학 특성 값에 있는 다른 가능한 오버레이 정보로부터 구별되는 특정한 관심 오버레이가 제공될 것이다. 결과적으로, 가중치는 관심 오버레이는 강조하고 하나 이상의 다른 오버레이는 약화시킬 것이다. 물론, 상이한 관심 오버레이 각각에 대해 상이한 값을 제공하게끔 광학 특성 값들이 처리될 수 있도록, 가중치의 상이한 세트가 각각의 관심 오버레이에 대해서 구성될 수 있다.
이러한 기법은 도 20 의 가중치의 상이한 세트에 대해서 설명될 것이다. 도 20 의 그래프는 이러한 기법의 그래픽 표현을 제공하지만, 실무상 그래프를 생성할 필요가 없이 모든 처리가 수학적으로 이루어질 수 있기 때문에 이러한 그래프는 구성될 필요가 없다. 더 나아가, 이러한 기법은 도 11 의 모델에 대하여 설명된다. 하지만, 본 명세서의 다른 도면에 대해서 설명되는 모델(및 연관된 다른 기법)이 사용될 수도 있다.
더 나아가, 이러한 예는 모델로부터 가중치의 선형 버전을 유도하는 것에 대해서 제공된다. 즉, 일 실시예에서, 가중치는 야코비안(의 무어-펜로즈 의사 역행렬)으로부터 유도된다.
그러므로, 이러한 선형 케이스에서, 특정 방향의 오버레이와 같은 특정 파라미터를 재구성하기 위하여, 야코비안이 반전될 수 있다. 하지만, 관심 파라미터의 열이 잔여 열과 어떻게 상관되는지가 이러한 파라미터를 재구성하는 것이 얼마나 용이해질 것인지를 결정한다.
그러므로, 예를 들어 관심 유닛 셀(예를 들어, 도 18 의 유닛 셀)에 대한 공칭 프로파일 모델을 가지고 있으면, 적어도 두 개의 벡터가 생성될 수 있다. 제 1 오버레이 벡터
Figure pct00108
은 유닛 셀 내의 제 1 관심 오버레이(예를 들어, X-방향 오버레이)를 나타내고, 제 2 오버레이 벡터
Figure pct00109
는 제 2 관심 오버레이(예를 들어, Y-방향 오버레이)를 나타낸다. 이해될 수 있는 것처럼, 추가적인 관심 오버레이에 대해서는 추가적인 벡터가 생성될 수 있다.
더 나아가, 두 개의 오버레이 벡터들 각각에 대해서, 유닛 셀의 물리적 인스턴스의 기대된 측정에 대응하는 퓨필 표현의 하나 이상의 픽셀이 선택된다. 이러한 실시예에서, 픽셀들의 쌍이 각각의 오버레이 벡터에 대해서 선택되는데, 픽셀의 각각의 쌍은 전술된 바와 같은 대칭적으로 위치된 픽셀을 포함한다. 바람직하게는, 픽셀의 쌍이 위에서 논의된 바와 같이 퓨필 표현의 비대칭 방사선 분포 부분으로부터 선택된다.
이제, 제 1 오버레이 벡터
Figure pct00110
은 제 1 오버레이 벡터에 대한 제 1 관심 오버레이의 변화(모든 다른 파라미터는 변하지 않게 유지되고, 즉 제 2 관심 오버레이는 변화가 없음)에 대한, 픽셀의 쌍에서의 응답(이러한 경우에, 픽셀들 사이의 비대칭 신호는 쌍을 이룸)에 대응한다. 이러한 응답은 공칭 프로파일 모델을 사용하여, 제 1 관심 오버레이의 변화(예를 들어, 1 nm 변화)를 유도한 후 그러한 변화에 대한 픽셀의 쌍에서의 광학적 응답(예를 들어, 세기)을 계산함으로써 생성될 수 있다.
이와 유사하게, 제 2 오버레이 벡터
Figure pct00111
는 제 2 오버레이 벡터에 대한 제 2 관심 오버레이의 변화(모든 다른 파라미터는 변하지 않게 유지되고, 즉 제 1 관심 오버레이는 변화가 없음)에 대한, 픽셀의 쌍에서의 응답(이러한 경우에, 픽셀들 사이의 비대칭 신호는 쌍을 이룸)에 대응한다. 이러한 응답은 공칭 프로파일 모델을 사용하여, 제 2 관심 오버레이의 변화(예를 들어, 1 nm 변화)를 유도한 후 픽셀의 쌍에서의 광학적 응답(예를 들어, 세기)을 계산함으로써 생성될 수 있다.
결과적으로 얻어지는 벡터가 도 20 에 도시되는데, 수평 축
Figure pct00112
는 제 1 픽셀 쌍의 대칭적으로 위치된 픽셀들 사이의 비대칭 세기(Ii - Ii')에 대응하고, 수직 축
Figure pct00113
는 제 2 픽셀 쌍의 대칭적으로 위치된 픽셀들 사이의 비대칭 세기(Ii - Ii')에 대응한다. 그러므로, 도 20 은 두 개의 고도로 상관된 벡터
Figure pct00114
Figure pct00115
를 보여준다.
그러므로, 픽셀 쌍에 대한 제 1 및 제 2 관심 오버레이의 기여분을 디커플링하고 분리시키기 위하여, 벡터
Figure pct00116
은 벡터
Figure pct00117
에 직교하는 벡터인 벡터
Figure pct00118
상에 후방-투영되어 벡터
Figure pct00119
를 형성하고, 투영된 벡터
Figure pct00120
의 길이는 벡터
Figure pct00121
Figure pct00122
사이의 각도
Figure pct00123
의 코사인에 의해 분할된다. 그러면 이러한 벡터는 픽셀 쌍(확장에 의하면 퓨필 표현 내의 다른 픽셀 쌍)의 세기로부터 제 1 관심 오버레이를 격리시키는 것을 돕는다.
추가적으로 또는 대안적으로, 벡터
Figure pct00124
는 벡터
Figure pct00125
에 직교하는 벡터인 벡터
Figure pct00126
상에 후방-투영되어 벡터
Figure pct00127
를 형성하고, 투영된 벡터
Figure pct00128
의 길이는 벡터
Figure pct00129
Figure pct00130
사이의 각도
Figure pct00131
의 코사인에 의해 분할된다. 그러면 이러한 벡터는 픽셀 쌍(확장에 의하면 퓨필 표현 내의 다른 픽셀 쌍)의 세기로부터 제 2 관심 오버레이를 격리시키는 것을 돕는다.
그러므로, 다시 수학식 3 및 수학식 4 를 참조하면, Si는 픽셀 쌍의 대칭적으로 위치된 픽셀들 사이의 비대칭 세기(Ii - Ii')를 나타낸다. 그러므로, 제 1 오버레이 벡터
Figure pct00132
Figure pct00133
의 Si를 가지는 제 1 픽셀 쌍 및
Figure pct00134
의 Si를 가지는 제 2 픽셀 쌍에서의 제 1 관심 오버레이의 변화에 대한 응답에 대응할 수 있다. 이와 유사하게, 제 2 오버레이 벡터
Figure pct00135
는 제 2 관심 오버레이의 변화에 대한 그러한 제 1 및 제 2 픽셀 쌍에서의 응답에 대응할 수 있다. 따라서, 벡터
Figure pct00136
및/또는 벡터
Figure pct00137
가 구성될 수 있다; 여기에서 양자 모두는 예시를 위해서 구성된다. 벡터
Figure pct00138
및 벡터
Figure pct00139
Figure pct00140
에 대응하는 제 1 픽셀 쌍에 대응하는 세기
Figure pct00141
에 관하여 그리고
Figure pct00142
에 대응하는 제 2 픽셀 쌍에 대응하는 세기
Figure pct00143
에 관하여 규정된다. 그러므로, 벡터
Figure pct00144
및 벡터
Figure pct00145
는 다음과 같이 특정될 수 있다:
Figure pct00146
Figure pct00147
그러므로, 이제 전술된 선형 콘텍스트에서 그리고 수학식 4 를 참조하면, 이제 제 1 관심 오버레이의 오버레이 값이
Figure pct00148
,
Figure pct00149
, 및 벡터
Figure pct00150
Figure pct00151
에 기초하여 다음과 같이 규정될 수 있다:
Figure pct00152
추가적으로 또는 대안적으로, 이제 제 2 관심 오버레이의 오버레이 값이
Figure pct00153
,
Figure pct00154
및 벡터
Figure pct00155
Figure pct00156
에 기초하여 다음과 같이 규정될 수 있다
Figure pct00157
그러므로, 수학식 14 로부터, 제 1 관심 오버레이를 결정하기 위한 가중치는
Figure pct00158
Figure pct00159
각각에 대하여, 다음과 같다:
Figure pct00160
,
Figure pct00161
더 나아가, 수학식 15 로부터, 제 2 관심 오버레이를 결정하기 위한 가중치는
Figure pct00162
Figure pct00163
각각에 대하여 다음과 같다:
Figure pct00164
Figure pct00165
그러므로, 이해될 수 있는 것처럼, 제 1 관심 오버레이에 대한 가중치
Figure pct00166
의 세트(
Figure pct00167
)에 도달하고 및/또는 제 2 관심 오버레이에 대한 가중치
Figure pct00168
의 세트(
Figure pct00169
)에 도달하기 위하여, 이것은 퓨필 표현 내의 픽셀 쌍의 전부, 또는 실질적으로 전부에 대해서 반복될 수 있다. 그러면, 이들 중 하나 또는 양자 모두가 수학식 4 에 따라서 측정된 광학 특성 값에 적용되어, 각각의 관심 오버레이에 대한 오버레이 값에 도달할 수 있다. 물론, 하나 이상의 추가적 관심 오버레이가 평가될 수 있고 하나 이상의 적절한 가중치 세트가 그들로부터 결정된다. 이해될 수 있는 것처럼, 일 실시예에서, 상이한 관심 오버레이 모두에 대한 감도(예를 들어, 야코비안)는 특정 관심 오버레이에 대한 가중치 정의에 포함된다.
그러므로, 예를 들어 X 및 Y 방향에서의 층들 시프트에 시프트가 생기면 대칭에 변화를 야기할 수 있는(예를 들어, 비대칭이 생기게 하거나 비대칭이 심해지게 하거나, 비대칭 유닛 셀이 대칭적이 되게 함) 4 개의 층을 가지는 유닛 셀에 대하여(층들 중 하나는 레퍼런스 층임), 이제 6 개의 벡터가 생성될 수 있고(각각은 상이한 픽셀 쌍과 연관됨), 6 개의 벡터는 층들 각각에 대한 X-방향 오버레이 벡터 및 층들 각각에 대한 Y-방향 오버레이 벡터를 포함한다. 따라서, 각각의 오버레이를 유도하기 위해서 가중치의 6 개의 세트가 존재할 수 있다. 물론, 벡터들 중 하나가 관심 대상이 아니면, 가중치 세트들 모두를 유도해야 하는 것은 아니다(하지만 일 실시예에서, 상이한 관심 오버레이 모두에 대한 감도(예를 들어, 야코비안)가 특정 관심 오버레이에 대한 가중치 정의에 포함됨). 그러면, 임의의 다른 오버레이는 이러한 오버레이들 중 두 개 이상의 적절한 수학적 조합에 의해 결정될 수 있다.
이해될 수 있는 것처럼, 유닛 셀 내의 한 층에 일부 시프트가 생기면 대칭이 변하게 될 것이고, 따라서 그러한 시프트에 대응하는 오버레이는 유닛 셀로부터 결정될 수 없다. 그러므로, 이러한 시프트에 대해서는 어떠한 벡터도 규정되지 않을 것이라는 것이 명백하다. 그러므로, 도 18 을 일 예로서 참고하면, 세 개의 벡터들이 해당 유닛 셀에 대해서 규정될 수 있다 - 하나는 X-방향 오버레이에 대한 것이고 두 개는 상이한 Y-방향 오버레이에 관한 것이다. 그러므로, 측정된 광학 특성 값과 조합될 경우 X-방향의 오버레이를 제공할 가중치의 하나의 세트가 결정될 수 있다. 또는, 측정된 광학 특성 값과 조합될 경우 Y-방향의 오버레이 중 하나를 제공할 가중치의 하나의 세트가 결정될 수 있고, 및/또는 측정된 광학 특성 값과 조합될 경우 Y-방향의 오버레이 중 다른 것을 제공할 가중치의 하나의 세트가 결정될 수 있다. 물론, 가중치들의 세 개의 세트 모두 또는 두 개만이 결정될 수도 있다.
전술된 논의는 디바이스의 구조체들로 이루어진 대칭적 유닛 셀의 하나 이상의 인스턴스에 의해 형성된 타겟에 중점을 두었다. 이러한 타겟은, 온-제품 타겟에 의해 재지향된 방사선의 온-제품 측정을 통하여, 패터닝 프로세스 파라미터의 온-제품 값이 결정되게 할 수 있다. 그러나, 전술된 바와 같이, 타겟은 디바이스 구조체들로만 이루어질 필요는 없다. 다르게 말하면, 그 구조체가 디바이스 구조체를 포함하지 않는 비-제품 타겟이 제공될 수 있다. 예를 들어, 일 실시예에서, 타겟은 디바이스를 형성하기 위해 사용되지 않고 오히려 측정만을 위해서 사용되는 구조체로 특별하게 생성될 수 있다. 이러한 타겟은, 예를 들어 디바이스로부터 떨어져 있는 스크라이브 레인 내에 제공될 수 있다(따라서 디바이스 패턴으로부터 떨어진 디바이스 패터닝 패턴의 일부에 제공됨). 일 실시예에서, 타겟은 디바이스 패턴들 사이에 제공될 수 있다(따라서 패터닝 디바이스 패턴의 디바이스 패턴의 피쳐들 사이에 제공됨). 적절한 경우, 비-제품 타겟은 하나 이상의 디바이스 구조체 및 디바이스를 형성하기 위해 사용되지 않고 오히려 측정만을 위해 사용되는 하나 이상의 특별하게 생성된 구조체를 포함할 수 있다.
비-제품 타겟은, 예를 들어 패터닝 프로세스 파라미터가 대칭적 유닛 셀 인스턴스를 제공할 수 없는 디바이스 패턴에 대해서 결정되고 있다면 유용할 수 있다. 다른 예로서, 비-제품 타겟은, 예를 들어 패터닝 프로세스 파라미터가 해당 패터닝 프로세스 파라미터의 측정치를 제공할 수 있는 전술된 바와 같은 대칭적 유닛 셀을 가지지 않는 디바이스 패턴의 일부에 대해서 결정되고 있는 경우에, 유용할 수 있다. 예를 들어, 에칭 후의 오버레이에 대한 구조체가, 전술된 대칭적 유닛 셀 방법을 사용하여 결정되는 것이 소망되지만 대칭을 가지지 않는 경우가 있을 수 있다. 예를 들어, 논리 회로 또는 구조체는, 구조체의 대칭을 깰 수 있는 상이한 오버레이 성분을 각각 도입할 수 있는 많은 프로세스 층/스텝들을 가진다. 예를 들어 논리 회로의 경우에, 디바이스 패턴에 대한 측정은 통상적으로 논리 회로 구조체의 대칭적 유닛 셀이 부족하기 때문에 수행될 수 없다.
추가적인 예로서, 대칭적 유닛 셀 인스턴스를 제공할 수 있는 디바이스 패턴과 연관되어 비-제품 타겟이 사용될 수 있다(유닛 셀이 모든 관심 대상인 패터닝 프로세스 파라미터의 측정치를 제공할 수 있는 경우에도). 예를 들어, 이것은 디바이스 패턴이 복잡한 경우일 수 있는데, 그러면 긴 계산 시간이 필요할 수 있다. 더 나아가, 디바이스 패턴은 관심 대상이 아닌 패터닝 프로세스 파라미터의 신호와의 잠재적인 크로스-토크를 제공할 수 있다. 일 예로서, 상이한 오버레이 성분의 퓨필 상관이 너무 커서 상이한 오버레이 오차들을 분리하는 것이 불가능할 수 있다.
따라서, 비-제품 타겟은 빔 스폿에 대해 대칭적 유닛 셀의 인스턴스를 가지는 디바이스 패턴 또는 빔 스폿에 대해 대칭적 유닛의 인스턴스를 제공할 수 없는 디바이스 패턴과 함께 사용될 수 있다.
그러므로, 일 실시예에서, 비-제품 타겟은, 특정 타입의 관심 패터닝 프로세스 파라미터(예를 들어, 오버레이)가 비-제품 타겟의 특정 타입의 (퓨필) 대칭을 깨뜨리도록 설계될 수 있다; 이것은 전술된 기법과 유사하다. 그리고, 위에서 논의된 바와 유사하게 오버레이가 논의의 초점일 것이지만, 오버레이와 다른 하나 이상의 패터닝 프로세스 파라미터가 결정될 수도 있다.
물론, 비-제품 타겟이 패터닝 프로세스 파라미터의 측정치를 제공하려면, 비-제품 타겟은 관심 패터닝 프로세스 파라미터에 대한 주된 기여자인 것으로 간주되는 그러한 프로세스 스텝들을 따라갈 것이다. 따라서, 위에서 논의된 바와 같이, 예를 들어 별개의 패터닝 프로세스에서 생성된 두 개의 구조체들 사이의 오버레이가 관심 대상이라면, 비-제품 타겟은 별개의 패터닝 프로세스 각각에서 그리고 바람직하게는 동일하거나 비견되는 프로세스에서 생성된 구조체를 포함한다.
더 나아가, 어떤 타입의 기하학적 대칭(예를 들어, Y-대칭)이 깨지면 퓨필 도메인에서의 동일한 타입의 대칭이 깨지게 된다. 그러므로, 비-제품 타겟은, 대응하는 특정 패터닝 프로세스 파라미터 값이 대칭을 깨뜨리도록, 특정 타입의 기하학적 대칭에 대해서 설계될 수 있다. 예를 들어, Y-대칭은 X-오버레이에 의해 깨진다. 더 나아가, 두 개 이상의 방향에 대칭이 있는 경우, 상이한 타입의 패터닝 프로세스 파라미터(예를 들어, X에서의 오버레이와 Y에서의 오버레이와 같은 상이한 오버레이 타입)가 상이한 타입의 대칭을 깨뜨리도록 설계된 타겟을 사용하면, 유도된 비대칭을 모니터링하여(관련된 타입의 대칭에 따라서) 한 번에 하나의 패터닝 프로세스 파라미터(예를 들어, 오버레이)를 결정할 수 있게 된다.
비-제품 타겟은 하나 이상의 장점을 가질 수 있다. 예를 들어, 비-제품 타겟 디자인은 온-제품 타겟으로부터의 방사선의 측정을 사용하는 것과 비교할 때 감소되거나 최소화된 퓨필 상관을 가질 수 있고, 그 결과로서, 관심 패터닝 프로세스 파라미터가 측정된 방사선으로부터 더 쉽게 결정된다. 일 실시예에서, 비-제품 타겟 디자인은 동일한 패터닝 프로세스 파라미터의 상이한 타입들 사이 또는 패터닝 프로세스 파라미터의 상이한 종류들 사이의 크로스-토크를 감소시키거나 최소화할 수 있다. 따라서, 더 깨끗한 신호가 얻어질 수 있다. 비-제품 타겟 디자인은, 빔 스폿에 대한 대칭적 유닛 셀의 인스턴스를 가지지 않는 디바이스 패턴에 대한 패터닝 프로세스 파라미터를 측정하는 장점을 가질 수 있다. 따라서, 비-제품 타겟 디자인은 본 명세서에서 설명된 측정 및 결정 기법들이 디바이스 패턴이 유용한 대칭적 유닛 셀의 인스턴스들을 가지지 않을 수 있는 논리 회로 및/또는 진보된 메모리와 같은 응용예로 확장될 수 있게 한다. 비-제품 타겟 디자인은 상대적으로 단순화된 구조체를 가질 수 있고, 그 결과, 예를 들어 본 명세서에서 설명된 바와 같은 모델링이 쉬워질 수 있다. 그러면 단일 타겟으로부터 두 개 이상의 패터닝 프로세스 파라미터 타입을 더 쉽게 분리하고 결정할 수 있다. 더 나아가, 비-제품 타겟 디자인은, 단일 패터닝 프로세스 파라미터 타입만을 결정하거나 패터닝 프로세스 파라미터 타입들의 특정한 조합만을 결정하도록 특별하게 구성될 수 있다.
하지만, 비-제품 타겟 디자인을 너무 단순화하면 패터닝 프로세스 파라미터(예를 들어 오버레이)에 대한 중요한 기여자가 사라질 수도 있다. 이러한 위험을 완화하려면, 비-제품 타겟 디자인은 디바이스 제품 패턴과 실질적으로 동일한 프로세스 단계를 취해야 한다. 더 나아가, 관심 패터닝 프로세스 파라미터에 대한 메인 기여자가 인식됨으로써, 이들이 비-제품 타겟 디자인 및/또는 및 연관된 모델로 구분될 수 있어야 한다.
그러므로, 온-제품 타겟 디자인과 유사하게, 비-제품 타겟 디자인의 일 실시예가 기하학적 대칭을 가지는 구조체를 포함하는 유닛 셀에 관하여 규정된다. 일 실시예에서, 대칭은 제 1 방향(예를 들어, X-방향), 제 2 의 직교하는 방향(예를 들어, Y-방향), 또는 양자 모두에 있을 수 있다. 일 실시예에서, 유닛 셀은 유닛 셀 내의 구조체에 변화가 생기면 대칭이 깨지도록 생성되는데, 이렇게 대칭이 깨지면 특정 방사선 분포 내에 대칭 결과가 생기고, 이것이 전술된 바와 같이 관심 패터닝 프로세스 파라미터의 값을 결정하기 위해 처리될 수 있다. 따라서, 실질적으로 계측 타겟으로서의 유닛 셀은, 일 실시예에서 관심 패터닝 프로세스 파라미터를 결정하기 위한 신호를 제공하기 위하여 사용되는 구조체의 최소 영역을 보유한다.
일 실시예에서, 비-제품 타겟 디자인은 적어도 두 번의 패터닝 프로세스(예를 들어, 동일한 타입의 패터닝 프로세스의 적어도 두 번의 실행, 상이한 타입의 패터닝 프로세스의 적어도 두 번의 실행 등)에서 생성된 구조체를 포함한다. 일 실시예에서, 복수 개의 패터닝 프로세스 실행의 결과 관심 패터닝 프로세스 파라미터가 결정되는 상이한 층에 있는 구조체가 생기면, 비-제품 타겟 디자인 유닛 셀은 복수 개의 관심 층들 각각으로부터 하나의 구조체를 포함한다. 일 실시예에서, 패터닝 프로세스 실행의 결과 관심 패터닝 프로세스 파라미터가 결정되는 동일한 층에 있는 구조체가 생기면, 비-제품 타겟 디자인 유닛 셀은 적용가능한 상이한 관심 패터닝 프로세스 실행들 각각으로부터 하나의 구조체를 포함한다. 일 실시예에서, 제 1 패터닝 프로세스에 의해 생성된 제 1 구조체 및/또는 제 2 패터닝 프로세스에 의해 생성된 제 2 구조체는 디바이스 패턴의 기능성 양태를 생성하기 위하여 사용되지 않는다.
그러므로, 일 실시예에서 그리고 유닛 셀에 대하여, 복수 개의 패터닝 프로세스들로부터 생긴 구조체들은 유닛 셀의 하나의 인스턴스를 함께 형성하고 유닛 셀은 공칭 물리적 구성에서 기하학적 대칭을 가지는데, 유닛 셀은 공칭 물리적 구성과 다른 상이한 물리적 구성에서, 예를 들어 제 1 패터닝 프로세스, 제 2 패터닝 프로세스 및/또는 다른 패터닝 프로세스에서의 패턴 배치의 상대적인 시프트 때문에 유닛 셀에 비대칭을 야기하는 피쳐를 가진다. 이러한 피쳐의 일 예는, 하나의 층에 있는 구조체의 다른 층에 있는 구조체에 대한 오프셋에 응답하여 유닛 셀에 비대칭을 야기하는 피쳐이다.
일 실시예에서, 비-제품 타겟 디자인은 유닛 셀의 반복을 포함한다. 즉, 일 실시예에서, 비-제품 타겟의 물리적 인스턴스 상의 빔 스폿은 해당 빔 스폿을 채우는 유닛 셀의 복수 개의 인스턴스를 조명할 것이다. 일 실시예에서, 비-제품 타겟 디자인은 유닛 셀의 적어도 4 개의 인스턴스, 적어도 8 개의 인스턴스, 적어도 10 개의 인스턴스, 적어도 20 개의 인스턴스, 적어도 40 개의 인스턴스, 적어도 80 개의 인스턴스, 적어도 100 개의 인스턴스, 적어도 200 개의 인스턴스, 적어도 400 개의 인스턴스, 또는 적어도 1000 개의 인스턴스를 포함한다.
일 실시예에서, 기판 상에 생성된 비-제품 타겟은 작은 크기를 가진다. 예를 들어, 비-제품 타겟은 100 평방 마이크론 이하, 50 평방 마이크론 이하, 또는 25 평방 마이크론 이하의 면적을 가질 수 있다. 일 실시예에서, 비-제품 타겟은 10 마이크론 이하 또는 5 마이크론 이하의 십자형(cross-wise) 치수를 가진다. 일 실시예에서, 비-제품 타겟에 대한 빔 스폿은 타겟의 최대 십자형 치수보다 작은 십자형 치수를 가진다. 일 실시예에서, 비-제품 타겟에 대한 빔 스폿은 10 마이크론 이하, 5 마이크론 이하 또는 2 마이크론 이하의 십자형 치수를 가진다. 일 실시예에서, 비-제품 타겟에 대한 빔 스폿은 100 평방 마이크론 이하, 50 평방 마이크론 이하, 또는 25 평방 마이크론 이하의 단면적을 가진다. 일 실시예에서, 비-제품 타겟의 유닛 셀은 250,000 평방 나노미터이하, 150,000 평방 나노미터이하, 100,000 평방 나노미터이하, 또는 50,000 평방 나노미터이하의 면적을 가진다. 일 실시예에서, 비-제품 타겟의 유닛 셀은 500 나노미터 이하, 300 나노미터 이하, 200 나노미터 이하, 또는 150 나노미터 이하의 십자형 치수를 가진다. 일 실시예에서, 비-제품 타겟의 유닛 셀은 비-제품 타겟과 연관된 디바이스 패턴의 유닛 셀보다 작은 크기를 가진다.
일 실시예에서, 유닛 셀은, 제 1 패터닝 프로세스를 사용하여 제조된 디바이스의 피쳐(예를 들어, 구조체, 보이드 등) 및 제 2 패터닝 프로세스를 사용하여 제조된 디바이스의 피쳐(예를 들어, 구조체, 보이드 등)에 대응하는 피쳐(예를 들어, 구조체, 보이드 등)를 포함한다. 예를 들어, 유닛 셀의 구조체는 디바이스의 대응하는 디바이스 피쳐를 생성하는 제 1 패터닝 프로세스에 의해 생성되고, 유닛 셀의 다른 구조체는 디바이스의 대응하는 디바이스 피쳐를 생성하는 제 2 패터닝 프로세스에 의해 생성된다. 일 실시예에서, 유닛 셀 내에 생성된 하나 이상의 피쳐는, 패터닝 프로세스 파라미터를 결정하기 위해서 유닛 셀 피쳐가 사용되고 있는 디바이스 내의 피쳐의 중요한 프로세스 단계를 공유한다. 일 실시예에서, 각각의 대응하는 패터닝 프로세스에 의해 생성된 유닛 셀의 피쳐는, 예를 들어 유닛 셀의 피쳐(예를 들어, 선)에 본질적으로 평행한 방향에서 연장되거나 기다란 디바이스의 하나 이상의 피쳐(예를 들어, 구조체 예컨대 선)에 대응한다. 그러므로, 예를 들어, Y-방향으로 연장되는 구조체를 포함하는 유닛 셀은 Y-방향에서 연장되는 디바이스 내의 대응하는 구조체의 오버레이를 결정하기 위하여 사용될 수 있다.
일 실시예에서, 후술되는 예들에서 더 설명되는 바와 같이, 유닛 셀은 동일한 패터닝 프로세스 파라미터(예를 들어, 오버레이)의 여러 상이한 타입을 결정할 수 있게 한다. 예를 들어, 유닛 셀은 오버레이의 2 개 이상의 타입, 오버레이의 3 개 이상의 타입 등의 결정이 가능하게 한다. 예를 들어, 상이한 방향(예를 들어, X 및 Y)에서의 오버레이의 타입 외에, 유닛 셀은 피쳐들의 상이한 조합들 사이 및/또는 층들의 상이한 조합들 사이의 오버레이가 결정되게 할 수 있다.
일 실시예에서, 유닛 셀은 디바이스의 대응하는 피쳐와 비견되는 치수(예를 들어, 폭 및/또는 피치)를 가지는 피쳐를 가진다. 비견되는 치수란 동일하거나 디바이스 피쳐 치수로부터 ±5% 내(즉, 디바이스 피쳐 치수의 95% 내지 105%), 디바이스 피쳐 치수로부터 ±10% 내, 디바이스 피쳐 치수로부터 ±15% 내, 디바이스 피쳐 치수로부터 ±20% 내, 디바이스 피쳐 치수로부터 ±25% 내를 의미한다. 일 실시예에서, 하나 이상의 유닛 셀 피쳐의 치수는 측정 신호를 개선하도록 그리고 따라서 디바이스 패턴의 피쳐의 대응하는 치수와 매칭되지 않도록 선택될 수 있다. 이것은, 예를 들어 타겟 피쳐의 치수의 변화에 대한 신호 출력의 감도를 평가함으로써 이루어질 수 있고, 따라서 치수는 특정 상황에서 신호를 최대화하거나 임계를 만족하거나 임계를 지나는 신호를 제공하도록 선택될 수 있다.
일 실시예에서, 비-제품 타겟은 온 제품 타겟과 공동으로 사용될 수 있다. 예를 들어, 오버레이는 비-제품 타겟을 사용하여 결정될 수 있고, 그 결과는 온-제품 타겟을 사용한 오버레이를 결정하도록 피드포워드될 수 있다.
도 21 을 참조하면, 비-제품 타겟 디자인의 유닛 셀의 비한정적인 예가 본 명세서에서 설명된 기법들을 사용하여 패터닝 프로세스 파라미터(예를 들어, 가중치 및 퓨필 분포)를 결정하기 위해서 도시된다. 이러한 경우에, 유닛 셀은 오버레이를 결정하기 위한 것이다. 도 21a 에서, 유닛 셀(2000)의 일 예가 도시된다. 유닛 셀(2000)은 제 1 패터닝 프로세스에서 생성된 구조체(2010)(이러한 경우에는 복수 개의 선(2010)) 및 제 2 패터닝 프로세스에서 생성된 구조체(2020)(이러한 경우에는 제 2 복수 개의 선(2020))을 포함한다. 앵커(2030)는 유닛 셀의 대칭을 보여주도록 표시된다. 이러한 경우에, 유닛 셀(2000)은 Y 방향에서 대칭을 가진다. 도 21a 는 대칭 형태인 유닛 셀을 도시하고, 특정 공칭 오버레이 값(예를 들어, 제로 오버레이)에 대응할 것이다.
일 실시예에서, 구조체(2010)는 제 1 패터닝 프로세스를 사용하여 제조된 디바이스의 피쳐에 대응한다. 즉, 구조체(2010)는 디바이스의 대응하는 디바이스 피쳐를 생성하는 제 1 패터닝 프로세스에 의해 생성될 것이다. 예를 들어, 구조체(2010)의 생성은 디바이스 내의 구조체의 비견되는 생성에 대응한다. 이와 유사하게, 일 실시예에서, 구조체(2020)는 제 2 패터닝 프로세스에 의해 제조된 디바이스의 피쳐에 대응한다. 즉, 구조체(2020)는 디바이스의 대응하는 디바이스 피쳐를 생성하는 제 2 패터닝 프로세스에 의해 생성될 것이다. 예를 들어, 구조체(2020)의 생성은 디바이스 내의 구조체의 비견되는 생성에 대응한다. 그러므로, 일 실시예에서, 구조체(2010)는, 예를 들어 구조체(2010)의 피쳐(예를 들어, 선)에 본질적으로 평행한 방향으로 연장되는 디바이스의 하나 이상의 피쳐(예를 들어, 선과 같은 구조체)에 대응한다. 이와 유사하게, 구조체(2020)는, 예를 들어 구조체(2020)의 피쳐(예를 들어, 선)에 본질적으로 평행한 방향으로 연장되는 디바이스의 하나 이상의 피쳐(예를 들어, 선과 같은 구조체)에 대응한다. 일 실시예에서, 구조체(2010)는 구조체(2020)와는 다른 층에 생성된다. 그러므로, 일 실시예에서, Y-방향에서 연장되는 구조체(2010 및 2020)는 Y-방향에서 연장되는 디바이스의 대응하는 구조체의 오버레이를 결정하기 위하여 사용될 수 있다.
위에서 언급된 바와 같이, 일 실시예에서, 구조체(2010 및 2020)는 디바이스의 피쳐에 비견되는 폭 및/또는 피치를 가진다. 예를 들어, 구조체(2010)는 제 1 패터닝 프로세스에서 생성된 대응하는 디바이스 구조체의 피쳐에 비견되는 폭 및/또는 피치를 가진다. 이와 유사하게, 예를 들어 구조체(2020)는 제 2 패터닝 프로세스에서 생성된 대응하는 디바이스 구조체의 피쳐에 비견되는 폭 및/또는 피치를 가진다.
유닛 셀(2000)에서, 유닛 셀(2000) 내의 구조체의 상이한 물리적 구성에 대한 대칭을 깨뜨릴 피쳐는 구조체(2010)와 구조체(2020) 사이의 물리적 차분이다. 일 실시예에서, 이러한 차분은 도 21a 에 개략적으로 도시되는 바와 같이 X-방향의 구조체(2010 및 2020)의 폭들의 차이이다. 일 실시예에서, 이러한 차분은 구조체(2010 및 2020)의 재료 조성의 차이이고, 예를 들어 구조체(2010)는 구조체(2020)와는 다른 재료로 제조된다. 일 실시예에서, 물리적 차분의 조합, 예를 들어 폭 및 물리적 조성에서의 차분이 존재할 수 있다.
유닛 셀(2000)의 경우에 존재하는 물리적 차분의 결과는, 구조체들(2010 및 2020) 사이에 X-Y 평면에서 X-방향으로 상대적인 시프트(2040)가 생기면 유닛 셀(2000) 내에 비대칭이 야기된다는 것이다. 이것이 도 21b 에 도시된다. 도 21b 에서, 구조체(2010)는 제 2 패터닝 프로세스에서 생성되면 도 21a 에 도시되는 구조체(2010)의 자신의 공칭(예를 들어, 디자인) 위치로부터 시프트된다. 그 결과로 앵커(2030)로부터의 변위(2050)가 생긴다. 따라서, 유닛 셀(2000)이 오버레이가 존재하지 않는 상황에 대응한다고 가정하면, 변위(2050)는 바람직하게는 전술된 바와 같이 타겟을 포함하는 유닛 셀(2000)에 의해 재지향된 방사선을 처리(예를 들어, 가중치 및 퓨필 분포)함으로써 결정되는 오버레이에 대응한다.
유닛 셀(2000)이 Y 축에 대해서 비대칭을 나타내기 때문에, X-방향에서의 병진이 비대칭을 야기하는 피쳐(여기에서는 구조체들(2010 및 2020) 사이의 물리적 차분)와 조합되면, 그로부터 X-오버레이 값이 결정될 수 있는 방사선 분포가 제공된다. 일 실시예에서, 그러한 X-오버레이 값은 각각의 패터닝 프로세스를 사용하여 제조된 디바이스의 피쳐의 X-오버레이에 대응할 것이다. 이제, 물론, 유닛 셀(2000)은 앵커(2030) 중심으로 실질적으로 90 도 회전되어 구조체들(2010 및 2020) 사이의 Y-방향에서의 상대적인 시프트에 대한 Y-오버레이 값을 제공할 수 있다. 일 실시예에서, 그러한 Y-오버레이 값은 각각의 패터닝 프로세스를 사용하여 제조된 디바이스의 피쳐의 Y-오버레이에 대응할 것이다. 일 실시예에서, 그러한 경우에, 구조체(2010 및 2020)에 대응하는 디바이스 피쳐는 X-방향으로 연장될 것이다.
그러므로, 일 실시예에서, 유닛 셀의 구조체(2010 및 2020)는 동일한 방향에서 연장되는 디바이스의 각각의 피쳐에 대응한다. 결과적으로, 유닛 셀(2000)의 구조체는 디바이스의 피쳐의 연장 / 신장(elongation)의 방향에 직교하는 방향에서의 오버레이의 값을 제공할 것이다. 따라서, 그에 대한 직교 방향에서의 오버레이가 관심 대상인, 동일한 방향에서 연장되는 디바이스 피쳐를 식별함으로써, 유닛 셀(2000)은, 구조체(2010 및 2020)를 적절하게 선택하고 디바이스 피쳐가 생성될 때 구조체들이 생성되게 함으로써 이러한 오버레이를 모사하도록 설계될 수 있다.
도 21 에서, 유닛 셀(2000)은 구조체(예를 들어, 선) 자체가 형성되는 사이의 오버레이를 주로 결정하도록 설계되었다. 일부 패터닝 프로세스에서, 구조체를 가지는 기판으로 특정 패턴이 전사되어, 해당 패턴에 관련하여 에칭이 수행되는 경우에 구조체의 일부가 제거되게 한다. 이러한 프로세스 및 그 결과는 본 명세서에서 절삭부(cut)라고 불릴 것이다. 예를 들어, 디바이스 구조체(예를 들어, 선)는 복수 개의 조각으로 절단될 수 있고 및/또는 디바이스 구조체의 단부는 절삭되어 없어질 수 있다. 이해될 수 있는 것처럼, 절삭부가 정확하게 제조되었는지 여부를 아는 것이 소망될 수 있다. 따라서, 절삭부들 사이의 오버레이 및/또는 절삭부와 구조체 사이의 오버레이를 아는 것이 소망될 수 있다.
더욱이, 도 21 의 유닛 셀은 디바이스의 피쳐의 연장 / 신장의 방향에 직교하는 방향에서의 오버레이의 값을 결정할 수 있게 한다. 하지만, 디바이스의 피쳐의 연장 / 신장의 방향과 평행한 방향의 오버레이를 결정하는 것이 소망될 수 있다.
이제 도 22 를 참조하면, 비-제품 타겟 디자인의 유닛 셀의 비한정적인 예가 본 명세서에서 설명된 기법들을 사용하여 패터닝 프로세스 파라미터(예를 들어, 가중치 및 퓨필 분포)를 결정하기 위해서 도시된다. 이러한 경우에, 유닛 셀은 오버레이를 결정하기 위한 것이다. 도 22a 에서, 유닛 셀(2100)의 일 예가 도시된다. 유닛 셀(2100)은 구조체(2110)(이러한 경우에는 복수 개의 선(2110)) 및 구조체(2120)(이러한 경우에는 제 2 복수 개의 선(2120))을 포함한다. 더 상세히 후술되는 바와 같이, 이러한 실시예에서 이러한 비-제품 타겟 디자인으로부터 X 및 Y에서의 오버레이가 결정될 수 있다.
이러한 실시예에서, 유닛 셀(2100)은 도 21 의 유닛 셀(2000)의 피쳐를 가지고, 따라서, 구조체(2110)가 제 1 패터닝 프로세스에서 생성되고 구조체(2120)가 제 2 패터닝 프로세스에서 생성되며 구조체들(2110 및 2120) 사이에 물리적 차분이 존재한다면, 전술된 바와 같이 X 방향 오버레이가 결정되게 할 수 있다. 그러나, 예를 들어 X 방향 오버레이가 소망되지 않는다면, 구조체(2110 및 2120)는 동일한 패터닝 프로세스에서 생성될 수 있고 및/또는 구조체(2110 및 2120)는 동일한 물리적 특성을 가질 수 있으며, 즉, 물리적 차분을 가지지 않는다. 하지만, X 방향 오버레이가 소망되지 않는다고 해도, 구조체(2110 및 2120)는 상이한 물리적 특성을 가져서 더 양호한 측정 신호를 제공할 수 있다.
그러므로, X 및 Y에서의 오버레이를 결정할 수 있게 하는 이러한 실시예에서, 유닛 셀(2100)은 제 1 패터닝 프로세스에서 생성된 구조체(2110) 및 제 2 패터닝 프로세스에서 생성된 구조체(2120)를 포함한다. 앵커(2130)는 유닛 셀의 대칭을 보여주도록 표시된다. 이러한 경우에, 유닛 셀(2100)은 Y 방향의 대칭과 X 방향의 대칭을 가진다. 도 22a 는 대칭 형태인 유닛 셀을 도시하고, 특정 공칭 오버레이 값(예를 들어, 제로 오버레이)에 대응할 것이다.
일 실시예에서, 구조체(2110)는 전술된 바와 같이 제 1 패터닝 프로세스를 사용하여 제조된 디바이스의 피쳐에 대응하고, 구조체(2120)는 제 2 패터닝 프로세스를 사용하여 제조된 디바이스의 피쳐에 대응한다. 그리고, 유닛 셀(2100)에서, 유닛 셀(2100) 내의 구조체의 상이한 물리적 구성에 대한 대칭을 깨뜨릴 피쳐는 구조체(2110)와 구조체(2120) 사이의 물리적 차분이다. 일 실시예에서, 이러한 차분은 도 22a 에 개략적으로 도시되는 바와 같이 X-방향의 구조체(2110 및 2120)의 폭들의 차이이다. 일 실시예에서, 이러한 차분은 구조체(2110 및 2120)의 재료 조성의 차이이고, 예를 들어 구조체(2110)는 구조체(2120)와는 다른 재료로 제조된다.
위에서 논의된 바와 같이, 유닛 셀(2100)의 경우에 존재하는 물리적 차분의 결과는, 구조체들(2110 및 2120) 사이에 X-Y 평면에서 X-방향으로 상대적인 시프트(2180)가 생기면 유닛 셀(2100) 내에 비대칭이 야기된다는 것이다. 이것이 도 22c 에 도시된다. 도 22c 에서, 구조체(2110)는 제 2 패터닝 프로세스에서 생성되면 도 22a 에 도시되는 구조체(2110)의 자신의 공칭(예를 들어, 디자인) 위치로부터 시프트된다. 그 결과로 앵커(2130)로부터의 변위(2190)가 생긴다. 따라서, 유닛 셀(2100)이 오버레이가 존재하지 않는 상황에 대응한다고 가정하면, 변위(2190)는 바람직하게는 전술된 바와 같이 타겟을 포함하는 유닛 셀(2100)에 의해 재지향된 방사선을 처리(예를 들어, 가중치 및 퓨필 분포)함으로써 결정되는 오버레이에 대응한다.
유닛 셀(2100)이 Y 축에 대해서 비대칭을 나타내기 때문에, X-방향에서의 병진이 비대칭을 야기하는 피쳐(여기에서는 구조체들(2110 및 2120) 사이의 물리적 차분)와 조합되면, 그로부터 X-오버레이 값이 결정될 수 있는 방사선 분포가 제공된다. 일 실시예에서, 그러한 X-오버레이 값은 각각의 패터닝 프로세스를 사용하여 제조된 디바이스의 피쳐의 X-오버레이에 대응할 것이다. 이제, 물론, 유닛 셀(2100)은 앵커(2130) 중심으로 실질적으로 90 도 회전되어 구조체들(2110 및 2120) 사이의 Y-방향에서의 상대적인 시프트에 대한 Y-오버레이 값을 제공할 수 있다. 일 실시예에서, 그러한 Y-오버레이 값은 각각의 패터닝 프로세스를 사용하여 제조된 디바이스의 피쳐의 Y-오버레이에 대응할 것이다. 일 실시예에서, 그러한 경우에, 구조체(2110 및 2120)에 대응하는 디바이스 피쳐는 X-방향으로 연장될 것이다.
이제, 유닛 셀(2100)은 Y 방향에서의 오버레이의 결정도 가능하게 한다. 비-제품 타겟의 유닛 셀 내의 구조체가 어떻게 디바이스 내의 피쳐에 대응할 수 있는지와 유사하게, 비-제품 타겟 디자인 내의 절삭부는 디바이스 내의 피쳐(예를 들어, 절삭부)에 대응할 수 있다.
도 22a 를 참조하면, 유닛 셀(2100)은 제 1 패터닝 프로세스에서 생성된 절삭부(2150) 및 제 2 패터닝 프로세스에서 생성된 절삭부(2140)를 포함한다. 절삭부(2150 및 2140)는 공칭 물리적 구성 내의 유닛 셀에 대칭을 유지하도록 배치된다.
일 실시예에서, 절삭부(2150)는 제 1 패터닝 프로세스를 사용하여 제조된 디바이스의 피쳐에 대응한다. 즉, 절삭부(2150)는 디바이스의 대응하는 디바이스 피쳐를 생성하는 제 1 패터닝 프로세스에 의해 생성될 것이다. 예를 들어, 절삭부(2150)의 생성은 디바이스 내의 절삭부의 비견되는 생성에 대응한다. 이와 유사하게, 일 실시예에서, 절삭부(2140)는 제 2 패터닝 프로세스에 의해 제조된 디바이스의 피쳐에 대응한다. 즉, 절삭부(2140)는 디바이스의 대응하는 디바이스 피쳐를 생성하는 제 2 패터닝 프로세스에 의해 생성될 것이다. 예를 들어, 절삭부(2140)의 생성은 디바이스 내의 절삭부의 비견되는 생성에 대응한다. 그러므로, 일 실시예에서, 절삭부(2150)는, 예를 들어 절삭부(2150)에 본질적으로 평행한 방향으로 연장되는 디바이스의 하나 이상의 피쳐(예를 들어, 하나 이상의 절삭부)에 대응한다. 이와 유사하게, 일 실시예에서, 절삭부(2140)는, 예를 들어 절삭부(2140)에 본질적으로 평행한 방향으로 연장되는 디바이스의 하나 이상의 피쳐(예를 들어, 하나 이상의 절삭부)에 대응한다. 일 실시예에서, 절삭부(2150)는 절삭부(2140)와는 다른 층에 생성된다. 그러므로, 일 실시예에서, 절삭부(2150 및 2140)는 Y-방향의 디바이스 내의 대응하는 절삭부의 오버레이를 결정하기 위하여 사용될 수 있다.
일 실시예에서, 절삭부(2150 및 2140)는 디바이스의 피쳐에 비견되는 폭 및/또는 피치를 가진다. 예를 들어, 절삭부(2150)는 제 1 패터닝 프로세스에서 생성된 대응하는 디바이스 구조체의 피쳐(예를 들어 하나 이상의 절삭부)에 비견되는 폭 및/또는 피치를 가진다. 이와 유사하게, 예를 들어 절삭부(2140)는 제 2 패터닝 프로세스에서 생성된 대응하는 디바이스 구조체의 피쳐(예를 들어 하나 이상의 절삭부)에 비견되는 폭 및/또는 피치를 가진다.
유닛 셀(2100)에서, 유닛 셀(2100) 내의 구조체들의 상이한 물리적 구성에 대하여 대칭이 깨지게 할 피쳐는, 절삭부들(2150 및 2140) 사이의 상대적인 시프트 시에 비대칭을 생성할 절삭부(2150 및 2140)의 배치구성이다. 일 실시예에서, 절삭부(2140)는 각각의 구조체(2120) 내에 생성되는 반면에, 절삭부(2150)는 각각의 구조체(2110) 내에 생성되지 않는다. 이해될 수 있는 것처럼, 절삭부(2150)는 각각의 구조체(2110) 내에 생성될 수 있는 반면에, 절삭부(2140)는 각각의 구조체(2120) 내에 생성되지 않는다. 이해될 수 있는 것처럼, 절삭부의 상이한 위치 및/또는 절삭부의 상이한 크기를 포함하는 많은 상이한 변동이 절삭부와 관련하여 가능하다.
절삭부(2150 및 2140)의 이러한 배치구성의 결과는, 절삭부(2150 및 2140) 사이에 X-Y 평면에서 Y-방향으로 상대적인 시프트(2160)가 생기면 유닛 셀(2100) 내에 비대칭이 야기된다는 것이다. 이것이 도 22b 에 도시된다. 도 22b 에서, 절삭부(2150)는 제 1 패터닝 프로세스에서 생성되면 도 22a 에 도시되는 그의 공칭(예를 들어, 디자인) 위치로부터 시프트된다. 그 결과로 앵커(2130)로부터의 변위(2170)가 생긴다. 따라서, 유닛 셀(2100)이 오버레이가 존재하지 않는 상황에 대응한다고 가정하면, 변위(2170)는 바람직하게는 전술된 바와 같이 타겟을 포함하는 유닛 셀(2100)에 의해 재지향된 방사선을 처리(예를 들어, 가중치 및 퓨필 분포)함으로써 결정되는 오버레이에 대응한다.
유닛 셀(2100)이 X 축에 대해서 비대칭을 나타내기 때문에, Y-방향에서의 병진이 비대칭을 야기하는 피쳐(여기에서는 절삭부(2140 및 2150)의 배치구성)와 조합되면, 그로부터 Y-오버레이 값이 결정될 수 있는 방사선 분포가 제공된다. 일 실시예에서, 그러한 Y-오버레이 값은 각각의 패터닝 프로세스를 사용하여 제조된 디바이스의 피쳐의 Y-오버레이에 대응할 것이다. 이제, 물론, 유닛 셀(2100)은 앵커(2130) 중심으로 실질적으로 90 도 회전되어 절삭부들(2140 및 2150) 사이의 X-방향에서의 상대적인 시프트에 대한 X-오버레이 값을 제공할 수 있다. 일 실시예에서, 그러한 X-오버레이 값은 각각의 패터닝 프로세스를 사용하여 제조된 디바이스의 피쳐(예를 들어 절삭부)의 X-오버레이에 대응할 것이다. 일 실시예에서, 그러한 경우에, 절삭부(2140 및 2150)에 대응하는 디바이스 피쳐(예를 들어 절삭부)는 X-방향으로 연장될 것이다.
그러므로, 일 실시예에서, 유닛 셀의 절삭부(2140 및 2150)는 동일한 방향에서 연장되는 디바이스의 각각의 피쳐에 대응한다. 결과적으로, 유닛 셀(2100)의 구조체는 디바이스의 피쳐의 연장 / 신장의 방향에 평행한 방향에서의 오버레이의 값을 제공할 것이다. 따라서, 그에 대한 평행 방향에서의 오버레이가 관심 대상인, 동일한 방향에서 연장되는 디바이스 피쳐를 식별함으로써, 유닛 셀(2100)은, 절삭부(2140 및 2150)를 적절하게 선택하고 디바이스 피쳐가 생성될 때 구조체들이 생성되게 함으로써 이러한 오버레이를 모사하도록 설계될 수 있다.
위에서 언급된 바와 같이, 일 실시예에서, 절삭부(2140 및 2150)는 디바이스 피쳐 내에 절삭부가 제조되는 것과 유사한 방식으로 구조체(2110 및 2120) 내에 생성될 수 있다. 따라서, 절삭부(2140 및 2150)는 디바이스 구조체를 생성할 때 생긴 절삭부의 오버레이의 양호한 측정치를 제공할 수 있다. 하지만, 일 실시예에서, 절삭부(2140 및 2150)는 그 대신에 구조체(2110 및 2120)가 생성될 때에 생긴 보이드일 수 있고, 디바이스의 구조체를 생성하기 위한 대응하는 패터닝 프로세스의 일부로서 생성될 수 있다. 따라서, 이러한 경우에 보이드(2140 및 2150)는 디바이스를 제조할 때에 생긴 구조체의 오버레이의 양호한 측정치를 제공할 수 있다.
그리고, 도 22 가 오버레이의 결정을 가능하게 하는 절삭부 / 보이드를 도시하지만, 구조체(2110 및 2120)는 하나 이상의 돌출부 또는 변형물, 예를 들어 도시된 절삭부의 위치에 있는 돌출부를 가질 수 있다. 그러므로, 이러한 돌출부 또는 변형물들 사이의 상대적인 변위는, 절삭부(2140 및 2150)와 같이 유닛 셀 내에 비대칭을 야기할 수 있다. 돌출부 또는 변형물은 구조체(2110 및 2120)가 생성될 때 생성되거나 절삭 프로세스에 의해 생성될 수 있다. 따라서, 돌출부 또는 변형물은, 예를 들어 디바이스 구조체들 사이(예를 들어, 구조체(2110 및 2120)가 생성될 때에 생긴 돌출부 또는 변형물의 경우) 또는 디바이스 절삭부들 사이(예를 들어, 구조체(2110 및 2120)를 절삭함으로써 생긴 돌출부 또는 변형물의 경우)의 오버레이의 결정을 가능하게 하기 위하여 사용될 수 있다.
도 22d 는 유닛 셀의 복수 개의 인스턴스를 포함하는 비-제품 타겟을 개략적으로 도시한다. 이러한 비한정적인 예에서, 도 22d 는 유닛 셀의 적어도 4 인스턴스를 포함한다. 도 22d 는 대칭 형태인 유닛 셀의 인스턴스를 도시하고, 특정 공칭 오버레이 값(예를 들어, 제로 오버레이)에 대응할 것이다. 일 실시예에서, 구조체(2110)의 피치(2192)는 구조체(2120)의 피치(2194)와 비견된다.
도 22d 에서, 예를 들어 제 2 패터닝 프로세스가 X-방향에서 잘 정렬되지 않아서 구조체들(2110 및 2120) 사이에 상대적인 시프트가 생기면, Y-대칭이 깨지게 되고 퓨필 내의 Y-대칭도 깨진다. 따라서, 그러한 조건에서의 타겟의 측정은 X-오버레이 결정으로 전환될 수 있다. 결과적으로, 구조체(2110 및 2120)는 X-오버레이를 결정하기 위하여 사용된다. 이와 유사하게, 예를 들어 제 2 패터닝 프로세스가 Y-방향에서 잘 정렬되지 않아서 절삭부들(2140 및 2150) 사이에 상대적인 시프트가 생기면, X-대칭이 깨지게 되고 퓨필 내의 X-대칭도 깨진다. 따라서, 그러한 조건에서의 타겟의 측정은 Y-오버레이 결정으로 전환될 수 있다. 결과적으로, 절삭부(2140 및 2150)는 Y-오버레이를 결정하기 위하여 사용된다. 더 나아가, 도 22d 에서 볼 수 있는 바와 같이, Y 방향에서의 절삭부의 시프트는 Y 축에 대하여 대칭을 변경하지 않고, X 방향에서의 구조체의 시프트는 X 축에 대하여 대칭을 변경하지 않는다. 따라서, X 및 Y 방향 오버레이가 디커플링된다. 그러므로, X- 및 Y- 방향에서 열악하게 정렬된 패터닝 프로세스는 X- 및 Y- 대칭을 깨뜨리는 반면에, 상이한 오버레이는 해당 신호로부터 분리될 수 있다.
일 실시예에서, 구조체의 개수 및 그들의 크기, 피치 등은 디바이스 패턴의 패터닝 프로세스에 거의 비견되도록 구성될 수 있다. 이와 유사하게, 절삭부(또는 돌출부 / 변형물)의 개수 및 그들의 크기, 피치 등은 디바이스 패턴의 패터닝 프로세스에 거의 비견되도록 구성될 수 있다. 예를 들어, 절삭부는 가능한 경우 디바이스에서 사용되는 CD 및 피치에 비견될 것이다. 하지만, 일 실시예에서, 절삭부의 위치 및/또는 개수는 대칭적 유닛 셀을 제조하기 위하여 적응된다. 더 나아가, 비-제품 타겟 오버레이 감도는 구조체 및 절삭부(또는 돌출부 / 변형물)의 피치를 조절함으로써 조절될 수 있다.
도 23 을 참조하면, 비-제품 타겟 디자인의 유닛 셀의 비한정적인 예가 본 명세서에서 설명된 기법들을 사용하여 패터닝 프로세스 파라미터(예를 들어, 가중치 및 퓨필 분포)를 결정하기 위하여 도시된다. 이러한 경우에, 유닛 셀은 오버레이를 결정하기 위한 것이다. 도 23a 에서, 유닛 셀(2300)의 일 예가 도시된다. 유닛 셀(2300)은 구조체(2310)(이러한 경우에는 복수 개의 선(2310)) 및 구조체(2320)(이러한 경우에는 제 2 복수 개의 선(2320))을 포함한다. 도 21 및 도 22 와는 달리, 구조체(2310)는 구조체(2320)에 실질적으로 수직인 방향으로 연장된다. 앵커(2340)는 유닛 셀의 대칭을 보여주도록 표시된다. 이러한 경우에, 유닛 셀(2300)은 Y 방향에서 대칭을 가진다. 도 23a 는 대칭 형태인 유닛 셀을 도시하고, 특정 공칭 오버레이 값(예를 들어, 제로 오버레이)에 대응할 것이다.
그러므로, 유닛 셀(2300)의 이러한 실시예에서, 제 1 방향으로 연장되는 구조체와 제 1 방향에 본질적으로 직교하는 제 2 방향으로 연장되는 절삭부 또는 구조체 사이의 X-방향에서의 오버레이는 이러한 유닛 셀로부터 재지향된 방사선으로부터 결정될 수 있다.
특히, 전술된 원리와 유사하게, 유닛 셀(2300)은 제 1 패터닝 프로세스에서 생성된 구조체(2310) 및 제 2 패터닝 프로세스에서 생성된 절삭부(2330) 및/또는 구조체(2320)를 포함한다. 예를 들어 구조체(2310)와 절삭부(2330) 사이의 오버레이가 소망되는 경우, 구조체(2310)는 제 1 패터닝 프로세스에서 생성되고 절삭부(2330)는 제 2 패터닝 프로세스에서 생성된다(또는, 구조체(2320)도 역시 제 2 패터닝 프로세스에서 생성됨). 예를 들어 구조체들(2310 및 2320) 사이의 오버레이가 소망되는 경우, 그러면 구조체(2310)는 제 1 패터닝 프로세스에서 생성되고 구조체(2320)는, 예를 들어 절삭부(2330)에 비견되는 보이드를 가지고 제 2 패터닝 프로세스에서 생성된다. 구조체(2310 및 2320) 및 절삭부(2330)는 공칭 물리적 구성 내의 유닛 셀에 대칭을 유지하도록 배치된다.
전술된 실시예와 유사하게, 구조체(2310)는 제 1 패터닝 프로세스를 사용하여 제조된 디바이스의 피쳐에 대응한다. 즉, 구조체(2310)는, 디바이스의 대응하는 디바이스 피쳐를 생성하는 제 1 패터닝 프로세스에 의해서 생성될 것이다. 예를 들어, 구조체(2310)의 생성은 디바이스 내의 구조체의 비견되는 생성에 대응한다. 이와 유사하게, 일 실시예에서, 구조체(2320) 및/또는 절삭부(2330)는 제 2 패터닝 프로세스에 의해 제조된 디바이스의 피쳐에 대응한다. 즉, 구조체(2320) 및/또는 절삭부(2330)는 디바이스의 대응하는 디바이스 피쳐를 생성하는 제 2 패터닝 프로세스에 의해 생성될 것이다. 예를 들어, 절삭부(2330)의 생성은 디바이스 내의 절삭부의 비견되는 생성에 대응한다. 그러므로, 일 실시예에서, 구조체(2310)는, 예를 들어 구조체(2310)에 본질적으로 평행한 방향으로 연장되는 디바이스의 하나 이상의 피쳐(예를 들어, 하나 이상의 구조체)에 대응한다. 이와 유사하게, 구조체(2320) 및/또는 절삭부(2330)는, 예를 들어 제 1 방향에 본질적으로 수직인 제 2 방향에서 연장되는 디바이스의 하나 이상의 피쳐에 대응한다. 일 실시예에서, 구조체(2320) 및/또는 절삭부(2330)는 구조체(2310)와는 다른 층에 생성된다. 그러므로, 일 실시예에서, 절삭부(2330)(또는 구조체(2320)의 절삭부에 비견되는 보이드)는 디바이스 내의 대응하는 피쳐의 X-방향 오버레이를 결정하기 위하여 사용될 수 있다.
일 실시예에서, 구조체(2310) 및 구조체(2320) 및/또는 절삭부(2330)는 디바이스의 피쳐에 비견되는 폭 및/또는 피치를 가진다. 예를 들어, 구조체(2310)는 제 1 패터닝 프로세스에서 생성된 대응하는 디바이스 구조체의 피쳐(예를 들어 하나 이상의 구조체)에 비견되는 폭 및/또는 피치를 가진다. 이와 유사하게, 예를 들어 구조체(2320) 및/또는 절삭부(2330)는 제 2 패터닝 프로세스에서 생성된 대응하는 디바이스 구조체의 피쳐에 비견되는 폭 및/또는 피치를 가진다.
유닛 셀(2300)에서, 유닛 셀(2300) 내의 구조체들의 상이한 물리적 구성에 대하여 대칭이 깨지게 할 피쳐는, 구조체(2310)와 절삭부들(2330) 사이(또는 구조체들(2310 및 2320) 사이)의 상대적인 시프트 시에 비대칭을 생성할 절삭부(2330(또는 구조체(2320) 내의 비견가능한 보이드)의 배치구성이다. 이해될 수 있는 것처럼, 절삭부 / 보이드의 상이한 위치 및/또는 절삭부 / 보이드의 상이한 크기를 포함하는 많은 상이한 변동이 절삭부 / 보이드와 관련하여 가능하다.
본질적으로 수직인 구조체(2310 및 2320)와 조합하여 절삭부(2330)(또는 보이드(2330))를 배치한 결과는, 구조체(2310)와 절삭부(2330)의 사이(또는 보이드가 존재하는 구조체들(2310 및 2320)의 사이)의 X-Y 평면에서 X-방향의 상대적인 시프트(2350)가 유닛 셀(2300)에 비대칭을 야기한다는 것이다. 이것이 도 23b 에 도시된다. 도 23b 에서, 절삭부(2330)는 제 2 패터닝 프로세스에서 생성되면 도 23a 에 도시되는 그의 공칭(예를 들어, 디자인) 위치로부터 시프트된다. 그 결과로 앵커(2340)로부터의 변위(2360)가 생긴다. 따라서, 유닛 셀(2300)이 오버레이가 존재하지 않는 상황에 대응한다고 가정하면, 변위(2360)는 바람직하게는 전술된 바와 같이 타겟을 포함하는 유닛 셀(2300)에 의해 재지향된 방사선을 처리(예를 들어, 가중치 및 퓨필 분포)함으로써 결정되는 오버레이에 대응한다.
유닛 셀(2300)이 Y 축에 대해서 비대칭을 나타내기 때문에, X-방향에서의 병진이 비대칭을 야기하는 피쳐(여기에서는 본질적으로 수직인 구조체들(2310 및 2320)과 조합된 절삭부(2330)(또는 보이드(2330))의 배치구성)와 조합되면, 그로부터 X-오버레이 값이 결정될 수 있는 방사선 분포가 제공된다. 일 실시예에서, 그러한 X-오버레이 값은 각각의 패터닝 프로세스를 사용하여 제조된 디바이스의 피쳐의 X-오버레이에 대응할 것이다.
그러므로, 일 실시예에서, 유닛 셀의 구조체(2310) 및 구조체(2320) 및/또는 절삭부(2330)는 동일한 방향에서 연장되는 디바이스의 각각의 피쳐에 대응한다. 결과적으로, 유닛 셀(2300)의 구조체는 수직 방향으로 연장되거나 기다란 피쳐에 대한 오버레이의 값을 제공할 수 있다. 따라서, 그에 대한 특정 방향에서의 오버레이가 관심 대상인, 직교 방향에서 연장되는 디바이스 피쳐를 식별함으로써, 유닛 셀(2300)은, 직교 구조체(2310 및 2320)와 관련하여 절삭부(2330)(또는 보이드(2330))를 적절하게 선택하고 디바이스 피쳐가 생성될 때 그들이 생성되게 함으로써 이러한 오버레이를 모사하도록 설계될 수 있다.
그리고, 도 23 은 오버레이의 결정을 가능하게 하는 절삭부 / 보이드를 도시하지만, 구조체(2310 및 2320)는 하나 이상의 돌출부 또는 변형물, 예를 들어 도시된 절삭부의 위치에 있는 돌출부를 가질 수 있다. 그러므로, 이러한 돌출부 또는 변형물들 사이의 상대적인 변위는, 절삭부(2330)와 같이 유닛 셀 내에 비대칭을 야기할 수 있다. 돌출부 또는 변형물은 구조체(2310 및 2320)가 생성될 때 생성되거나 절삭 프로세스에 의해 생성될 수 있다. 따라서, 돌출부 또는 변형물은, 예를 들어 디바이스 구조체들 사이(예를 들어, 구조체(2310 및 2320)가 생성될 때에 생긴 돌출부 또는 변형물의 경우) 또는 절삭부와 구조체 사이의 오버레이의 결정을 가능하게 하기 위하여 사용될 수 있다.
이제, 물론, 유닛 셀(2300)은 앵커(2340) 중심으로 실질적으로 90 도 회전되어 구조체(2310) 및 구조체(2320) 및/또는 절삭부(2330) 사이의 Y-방향에서의 상대적인 시프트에 대한 Y-오버레이 값을 제공할 수 있다. 일 실시예에서, 그러한 Y-오버레이 값은 각각의 패터닝 프로세스를 사용하여 제조된 디바이스의 피쳐의 Y-오버레이에 대응할 것이다.
도 24 를 참조하면, 비-제품 타겟 디자인의 유닛 셀의 비한정적인 예가 본 명세서에서 설명된 기법들을 사용하여 패터닝 프로세스 파라미터(예를 들어, 가중치 및 퓨필 분포)를 결정하기 위하여 도시된다. 이러한 경우에, 유닛 셀은 오버레이를 결정하기 위한 것이다. 도 24a 에서, 유닛 셀(2400)의 일 예가 도시된다. 유닛 셀(2400)은 구조체(2410)(이러한 경우에는 복수 개의 선(2410)) 및 구조체(2420)(이러한 경우에는 제 2 복수 개의 선(2420))을 포함한다. 구조체(2410)는 구조체(2420)에 실질적으로 수직인 방향으로 연장된다. 앵커(2440)는 유닛 셀의 대칭을 보여주도록 표시된다. 이러한 경우에, 유닛 셀(2400)은 X 방향에서 대칭을 가진다. 도 24a 는 대칭 형태인 유닛 셀을 도시하고, 특정 공칭 오버레이 값(예를 들어, 제로 오버레이)에 대응할 것이다.
그러므로, 유닛 셀(2400)의 이러한 실시예에서, 제 1 방향으로 연장되는 구조체와 제 1 방향에 본질적으로 직교하는 제 2 방향으로 연장되는 절삭부 또는 구조체 사이의 Y-방향에서의 오버레이는 이러한 유닛 셀로부터 재지향된 방사선으로부터 결정될 수 있다.
도 24 는 실질적으로 도 23 의 반전 배치구성이다. 도 23 이 X 방향 오버레이를 결정하도록 설계되는 반면에, 도 24 는 Y 방향 오버레이를 결정하도 설계된다; 그러나, 도 23 과 유사하게 도 24 의 유닛 셀은 X 방향 오버레이를 결정하도록 90 도 회전될 수 있다. 하지만, 도 23 과는 달리, 절삭부(2430)(또는 생성된 구조체(2410)의 보이드(2430))는 도 24 의 실시예에서의 제 2 패터닝 프로세스와 비교할 때 제 1 패터닝 프로세스에서 생성된다.
그러므로, 유닛 셀(2400)에서, 유닛 셀(2400) 내의 구조체들의 상이한 물리적 구성에 대하여 대칭이 깨지게 할 피쳐는, 절삭부(2430)와 구조체(2420) 사이(또는 구조체들(2410 및 2420) 사이)의 상대적인 시프트 시에 비대칭을 생성할 절삭부(2430(또는 구조체(2410) 내의 비견가능한 보이드)의 배치구성이다. 이해될 수 있는 것처럼, 절삭부 / 보이드의 상이한 위치 및/또는 절삭부 / 보이드의 상이한 크기를 포함하는 많은 상이한 변동이 절삭부 / 보이드와 관련하여 가능하다.
본질적으로 수직인 구조체(2410 및 2420)와 조합하여 절삭부(2430)(또는 보이드(2430))를 배치한 결과는, 절삭부(2430)와 구조체(2420)의 사이(또는 보이드가 존재하는 구조체들(2410 및 2420)의 사이)의 X-Y 평면에서 Y-방향의 상대적인 시프트(2450)가 유닛 셀(2400)에 비대칭을 야기한다는 것이다. 이것이 도 24b 에 도시된다. 도 24b 에서, 절삭부(2430)는 제 1 패터닝 프로세스에서 생성되면 도 24a 에 도시되는 그의 공칭(예를 들어, 디자인) 위치로부터 시프트된다. 그 결과로 앵커(2440)로부터의 변위(2460)가 생긴다. 따라서, 유닛 셀(2300)이 오버레이가 존재하지 않는 상황에 대응한다고 가정하면, 변위(2460)는 바람직하게는 전술된 바와 같이 타겟을 포함하는 유닛 셀(2400)에 의해 재지향된 방사선을 처리(예를 들어, 가중치 및 퓨필 분포)함으로써 결정되는 오버레이에 대응한다.
유닛 셀(2400)이 X 축에 대해서 비대칭을 나타내기 때문에, Y-방향에서의 병진이 비대칭을 야기하는 피쳐(여기에서는 본질적으로 수직인 구조체들(2410 및 2420)과 조합된 절삭부(2430)(또는 보이드(2430))의 배치구성)와 조합되면, 그로부터 Y-오버레이 값이 결정될 수 있는 방사선 분포가 제공된다. 일 실시예에서, 그러한 Y-오버레이 값은 각각의 패터닝 프로세스를 사용하여 제조된 디바이스의 피쳐의 Y-오버레이에 대응할 것이다.
그리고, 도 24 는 오버레이의 결정을 가능하게 하는 절삭부 / 보이드를 도시하지만, 구조체(2410 및 2420)는 하나 이상의 돌출부 또는 변형물, 예를 들어 도시된 절삭부의 위치에 있는 돌출부를 가질 수 있다. 그러므로, 이러한 돌출부 또는 변형물들 사이의 상대적인 변위는, 절삭부(2430)와 같이 유닛 셀 내에 비대칭을 야기할 수 있다. 돌출부 또는 변형물은 구조체(2410 및 2420)가 생성될 때 생성되거나 절삭 프로세스에 의해 생성될 수 있다. 따라서, 돌출부 또는 변형물은, 예를 들어 디바이스 구조체들 사이(예를 들어, 구조체(2410 및 2420)가 생성될 때에 생긴 돌출부 또는 변형물의 경우) 또는 절삭부와 구조체 사이의 오버레이의 결정을 가능하게 하기 위하여 사용될 수 있다.
이제, 물론, 유닛 셀(2400)은 앵커(2440) 중심으로 실질적으로 90 도 회전되어 구조체(2410) 및/또는 절삭부(2430) 및 구조체(2420) 사이의 X-방향에서의 상대적인 시프트에 대한 X-오버레이 값을 제공할 수 있다. 일 실시예에서, 그러한 X-오버레이 값은 각각의 패터닝 프로세스를 사용하여 제조된 디바이스의 피쳐의 X-오버레이에 대응할 것이다.
도 25 를 참조하면, 비-제품 타겟 디자인의 유닛 셀의 비한정적인 예가 본 명세서에서 설명된 기법들을 사용하여 패터닝 프로세스 파라미터(예를 들어, 가중치 및 퓨필 분포)를 결정하기 위하여 도시된다. 이러한 경우에, 유닛 셀은 오버레이를 결정하기 위한 것이다. 도 25a 에서, 유닛 셀(2500)의 일 예가 도시된다. 유닛 셀(2500)은 제 1 패터닝 프로세스에서 생성된 구조체(2510)(이러한 경우에는 복수 개의 선(2510)) 및 제 2 패터닝 프로세스에서 생성된 구조체(2520)(이러한 경우에는 제 2 복수 개의 선(2520))을 포함한다. 구조체(2510)는 구조체(2520)에 실질적으로 평행한 방향으로 연장된다. 앵커(2530)는 유닛 셀의 대칭을 보여주도록 표시된다. 이러한 경우에, 유닛 셀(2500)은 Y 방향에서 대칭을 가진다. 도 25a 는 대칭 형태인 유닛 셀을 도시하고, 특정 공칭 오버레이 값(예를 들어, 제로 오버레이)에 대응할 것이다.
도 25 의 비-제품 타겟 디자인은 도 21 의 비-제품 타겟 디자인에 비견된다. 차이점은, 도 20 에 제공된 중심선(2010)과 비교할 때 중심선(2510)이 제공되지 않는다는 것이다. 이것은, 유닛 셀(2500) 및 비-제품 타겟이 도 20 보다 적은 구조체를 수반한다는 것을 의미하고, 따라서 예를 들어 관련된 모델링이 개선될 수 있다. 그러나, 이것은 디바이스 내의 대응하는 피쳐와는 다른 선들 사이의 피치를 수반할 수 있고, 예를 들어 구조체(2520)의 선들에 대한 피치는 디바이스 내의 비견되는 선들의 피치와는 다를 필요가 있을 수 있다.
일 실시예에서, 구조체(2510)는 적어도 두 개의 서브-구조체(예를 들어, 선-유사 구조체)를 포함한다. 대안적으로 또는 추가적으로, 구조체(2520)는 적어도 두 개의 서브-구조체(예를 들어, 선-유사 구조체)를 포함한다. 이것은 충분한 신호를 이네이블하기 위한 것이다. 이러한 원리는 본 명세서에서 설명되는 다른 실시예에도 적용할 수 있다.
도 21 과 유사하게, 대칭을 깨뜨리는 피쳐는 구조체들(2510 및 2520) 사이의 물리적 차분인데, 이것은 도시된 실시예에서는 구조체(2510 및 2520)의 폭의 차이다. 그러므로, 도 21 과 유사하고 도 25b 에 도시된 바와 같이, 구조체들(2510 및 2520) 사이의 상대적인 시프트(2540)는 Y-방향의 대칭이 깨지게 한다. 대칭이 깨지면 상대적인 변위(2550)를 결정하게 하는 특정한 방사선 분포가 초래된다. 상대적인 변위(2550)는 대응하는 디바이스 피쳐의 X 방향 오버레이에 대응할 수 있다.
도 26 을 참조하면, 비-제품 타겟 디자인의 유닛 셀의 비한정적인 예가 본 명세서에서 설명된 기법들을 사용하여 패터닝 프로세스 파라미터(예를 들어, 가중치 및 퓨필 분포)를 결정하기 위하여 도시된다. 이러한 경우에, 유닛 셀은 오버레이를 결정하기 위한 것이다. 도 26a 에서, 유닛 셀(2600)의 일 예가 도시된다. 유닛 셀(2600)은 제 1 패터닝 프로세스에서 생성된 구조체(2610)(이러한 경우에는 복수 개의 선(2610)) 및 제 2 패터닝 프로세스에서 생성된 구조체(2620)(이러한 경우에는 제 2 복수 개의 선(2620))을 포함한다. 구조체(2610)는 구조체(2620)에 실질적으로 평행한 방향으로 연장된다. 더 나아가, 구조체(2610)는 패터닝 프로세스에 의해 생성된 절삭부(2630)를 포함하고 구조체(2620)는 패터닝 프로세스에 의해 생성된 절삭부(2640)를 포함한다. 앵커(2650)는 유닛 셀의 대칭을 보여주도록 표시된다. 이러한 경우에, 유닛 셀(2600)은 Y 방향의 대칭과 X 방향의 대칭을 가진다. 도 26a 는 대칭 형태인 유닛 셀을 도시하고, 특정 공칭 오버레이 값(예를 들어, 제로 오버레이)에 대응할 것이다.
도 26 의 비-제품 타겟 디자인은 레이아웃에 있어서 그리고 X 및 Y 방향의 오버레이를 결정하기 위하여 사용될 수 있다는 점에서, 도 22 의 비-제품 타겟 디자인에 비견된다. 차이점은, 도 20 에 제공된 중심선(2010)과 비교할 때 중심선(2610)이 제공되지 않는다는 것이다. 이것은, 유닛 셀(2600) 및 비-제품 타겟이 도 20 보다 적은 구조체를 수반한다는 것을 의미하고, 따라서 예를 들어 관련된 모델링이 개선될 수 있다. 더 나아가, 절삭부(2630 및 2640)는 도 22 와는 다른 배치구성을 가진다. 절삭부의 배치구성은 비대칭을 제공하지만, 절삭부를 수반하는 상대적인 시프트가 존재하는 경우에는 또한 대칭이 깨지게 하기 위한 것이다.
도 26 의 이러한 상이한 배치구성의 결과로서, 이러한 디자인은 디바이스 내의 대응하는 피쳐와는 다른 선들 사이의 피치를 수반할 수 있고, 예를 들어 구조체(2620)의 선들에 대한 피치는 디바이스 내의 비견되는 선들의 피치와는 다를 필요가 있을 수 있다.
도 22 와 유사하게, 대칭을 깨뜨리는 피쳐는 구조체들(2610 및 2620) 사이의 물리적 차분인데, 이것은 도시된 실시예에서는 구조체(2610 및 2620)의 폭의 차이다. 그러므로, 도 22 와 유사하고 도 26c 에 도시된 바와 같이, 구조체들(2610 및 2620) 사이의 상대적인 시프트(2670)는 Y-방향의 대칭이 깨지게 한다. 대칭이 깨지면 상대적인 변위(2680)를 결정하게 하는 특정한 방사선 분포가 초래된다. 상대적인 변위(2680)는 대응하는 디바이스 피쳐의 X 방향 오버레이에 대응할 수 있다.
더 나아가, 도 22 와 유사하게, 대칭이 깨지게 하는 피쳐는 절삭부(2630 및 2640)의 배치구성이다. 그러므로, 도 22 와 유사하고 도 26b 에 도시된 바와 같이, 절삭부들(2630 및 2640) 사이의 상대적인 시프트(2650)는 X-방향의 대칭이 깨지게 한다. 대칭이 깨지면 상대적인 변위(2660)를 결정하게 하는 특정한 방사선 분포가 초래된다. 상대적인 변위(2660)는 대응하는 디바이스 피쳐의 Y 방향 오버레이에 대응할 수 있다.
도 27 을 참조하면, 비-제품 타겟 디자인의 유닛 셀의 비한정적인 예가 본 명세서에서 설명된 기법들을 사용하여 패터닝 프로세스 파라미터(예를 들어, 가중치 및 퓨필 분포)를 결정하기 위하여 도시된다. 이러한 경우에, 유닛 셀은 오버레이를 결정하기 위한 것이다. 도 27a 에서, 유닛 셀(2700)의 일 예가 도시된다. 유닛 셀(2700)은 제 1 패터닝 프로세스에서 생성된 구조체(2710)(이러한 경우에, 복수 개의 선(2710)), 제 2 패터닝 프로세스에서 생성된 구조체(2720)(이러한 경우에, 제 2 복수 개의 선(2720)), 및 제 3 패터닝 프로세스에서 생성된 구조체(2730)(이러한 경우에, 제 3 복수의 선(2730))를 포함한다. 구조체(2710)는 구조체(2720)에 실질적으로 평행한 방향으로 연장된다. 더 나아가, 구조체(2730)는 구조체(2710 및 2720)에 실질적으로 수직인 방향으로 연장된다. 더 나아가, 구조체(2710)는 패터닝 프로세스에 의해 생성된 절삭부(2740) 및 패터닝 프로세스에 의해 생성된 절삭부(2750)를 포함한다. 앵커(2750)는 유닛 셀의 대칭을 보여주도록 표시된다. 이러한 경우에, 유닛 셀(2700)은 Y 방향의 대칭과 X 방향의 대칭을 가진다. 도 27a 는 대칭 형태인 유닛 셀을 도시하고, 특정 공칭 오버레이 값(예를 들어, 제로 오버레이)에 대응할 것이다.
도 27 의 비-제품 타겟 디자인은 레이아웃에 있어서 그리고 X 및 Y 방향의 오버레이를 결정하기 위하여 사용될 수 있다는 점에서, 도 22 의 비-제품 타겟 디자인에 비견된다. 차이는 추가적인 구조체(2730)가 제 3 패터닝 프로세스에 제공된다는 것이다.
이러한 배치구성 때문에, 이러한 비-제품 타겟은, 예를 들어 디바이스의 3 개 이상의 층에 걸친 피쳐들 사이의 오버레이를 결정하는 것을 가능하게 할 수 있다; 예를 들어, 이러한 비-제품 타겟은 디바이스의 제 1 층에 있는 피쳐와 디바이스의 제 2 층에 있는 피쳐 사이의 오버레이 및 디바이스의 제 1 층에 있는 피쳐와 디바이스의 제 3 층에 있는 피쳐 사이의 오버레이를 결정하는 것을 가능하게 할 수 있다.
예를 들어, 도 22 에 대하여 설명된 바와 같이, 구조체(2710 및 2720)의 X 방향의 시프트는, 구조체(2710 및 2720)에 대응하는 디바이스 피쳐들 사이의 X 방향 오버레이의 결정을 가능하게 할 수 있다.
하지만, 도 22 의 배치구성에 추가하여, 절삭부(2750)와 구조체(2730) 사이의 Y 방향의 시프트는 절삭부(2750) 및 구조체(2730)에 대응하는 디바이스 피쳐들 사이의 Y 방향 오버레이를 결정하는 것을 가능하게 할 수 있다. 그리고, 이러한 실시예에서 구조체(2730)는 구조체(2710 및 2720)와는 다른 층에 있을 수 있다.
구조체(2730)에 관련하여 대칭을 깨뜨리는 피쳐는 구조체(2730)와 상대적인 절삭부(2750)의 배치구성이다. 그러므로, 도 27b 에 도시된 바와 같이, 구조체(2730)와 절삭부(2750) 사이의 상대적인 시프트(2760)는 X-방향의 대칭이 깨지게 한다. 대칭이 깨지면 상대적인 변위(2770)를 결정하게 하는 특정한 방사선 분포가 초래된다. 상대적인 변위(2670)는 대응하는 디바이스 피쳐의 Y 방향 오버레이에 대응할 수 있다.
따라서, 도 27 은 3 개의 상이한 프로세스 단계들 사이의 오버레이의 측정을 가능하게 하는 결합형 타겟을 나타낸다. 타겟은, 예를 들어 1층 피쳐-2층 피쳐 오버레이 측정(X 방향)과 1층 피쳐-3층 피쳐 오버레이 측정(Y-방향)을 가능하게 한다. 물론, 일 실시예에서, 도 27 의 타겟은 이산 타겟(예를 들어, 1층 피쳐-2층 피쳐 오버레이 측정을 위한 구조체(2710 및 2720) 및 절삭부(2740)를 가지는 타겟 및 1층 피쳐-3층 피쳐 오버레이 측정을 위한 구조체(2710 및 2730) 및 절삭부(2740)를 가지는 다른 타겟)으로 분리되어, 도 27 에 도시된 바와 같은 결합형 타겟이 아니라 층 쌍마다 하나의 타겟을 제공할 수 있다.
도 28 을 참조하면, 비-제품 타겟 디자인의 유닛 셀의 비한정적인 예가 본 명세서에서 설명된 기법들을 사용하여 패터닝 프로세스 파라미터(예를 들어, 가중치 및 퓨필 분포)를 결정하기 위하여 도시된다. 이러한 경우에, 유닛 셀은 오버레이를 결정하기 위한 것이다. 도 28a 에서, 유닛 셀(2800)의 일 예가 도시된다. 유닛 셀(2800)은 제 1 패터닝 프로세스에서 생성된 구조체(2810)(이러한 경우에, 복수 개의 폐곡선(2810), 예를 들어 본질적으로 원 또는 타원) 및 제 2 패터닝 프로세스에서 생성된 구조체(2820)(이러한 경우에, 복수 개의 폐곡선(2820), 예를 들어 본질적으로 원 또는 타원)를 포함한다. 구조체(2810)는 구조체(2820)에 실질적으로 평행한 방향으로 연장된다. 이러한 경우에, 유닛 셀(2800)은 Y 방향의 대칭과 X 방향의 대칭을 가진다. 도 28a 는 대칭 형태인 유닛 셀을 도시하고, 특정 공칭 오버레이 값(예를 들어, 제로 오버레이)에 대응할 것이다.
이러한 배치구성에서, 도 28b 에 도시된 바와 같이, 구조체들(2810 및 2820) 사이의 상대적인 시프트(2830)는 Y-방향의 대칭이 깨지게 한다. 대칭이 깨지면 상대적인 변위(2840)를 결정하게 하는 특정한 방사선 분포가 초래된다. 상대적인 변위(2840)는 대응하는 디바이스 피쳐의 X 방향 오버레이에 대응할 수 있다.
더 나아가, 이러한 배치구성에서, 도 28c 에 도시된 바와 같이, 구조체들(2810 및 2820) 사이의 상대적인 시프트(2850)는 X-방향의 대칭이 깨지게 한다. 대칭이 깨지면 상대적인 변위(2860)를 결정하게 하는 특정한 방사선 분포가 초래된다. 상대적인 변위(2860)는 대응하는 디바이스 피쳐의 Y 방향 오버레이에 대응할 수 있다.
구조체의 대칭을 깨뜨리는 피쳐는 구조체(2820)에 상대적인 구조체(2810)의 엇갈린(staggered) 배치구성이다. 이러한 실시예에서 구조체(2810)는 구조체(2820)와는 다른 폭을 가지는 것으로 도시되지만, 구조체들(2810 및 2820)이 도시된 바와 같은 엇갈린 배치를 하는 경우에는 이러한 차이를 가질 필요가 없다. 그렇지 않으면, 구조체(2810 및 2820)가 엇갈린 배치를 하지 않으면, 물리적 차분(예를 들어, 상이한 폭, 상이한 재료 등)이 대칭을 깨기 위해서 사용될 수 있다.
도 21 내지 도 28 에 있는 피쳐들의 상이한 조합이 유닛 셀 내로 조합되어, 다수의 타입의 파라미터(예를 들어, X 방향 오버레이 및 Y 방향 오버레이, 디바이스의 피쳐들의 상이한 조합들 사이의 오버레이 등)를 결정하는 것을 가능하게 한다. 일 실시예에서, 별개의 타겟들이 단일 타입의 파라미터에 대하여 각각 생성될 수 있고(예를 들어, X 방향 오버레이에 대한 타겟 및 Y 방향 오버레이에 대한 별개의 타겟, 피쳐의 제 1 조합들 사이의 오버레이에 대한 타겟 및 피쳐의 제 2 조합들 사이의 오버레이에 대한 별개의 타겟 등) 또는 다수의 타겟이 파라미터의 타입들의 조합을 결정하기 위하여 생성될 수 있다.
이제 도 29 를 참조하면, 도 29a 는 디바이스 패턴 피쳐의 일 예를 개략적으로 도시한다. 예를 들어, 디바이스 패턴 피쳐는 메모리 디바이스(예를 들어 SRAM)를 위한 것일 수 있다. 이해될 수 있는 것처럼, 풀 메모리 디바이스는 도시된 영역 내에 훨씬 더 많은 피쳐를 가질 수 있을 것이다. 그러나, 도 29a 에서 도시되는 디바이스 패턴 피쳐의 특정 조합의 오버레이를 결정하는 것이 소망될 수 있다. 이러한 오버레이는 본 명세서에서 더 상세하게 논의되는 바와 같이 패터닝 프로세스 제어, 패터닝 프로세스 내의 결함 예측 등을 위하여 사용될 수 있다.
도 29a 에서, 디바이스 패턴은 서로에 대해 실질적으로 평행하게 연장되는 복수 개의 선 피쳐(2900)를 포함한다. 더 나아가, 디바이스 패턴은 서로 실질적으로 평행하게 연장되고 선 피쳐(2900)와 인터리빙하는 복수 개의 선 피쳐(2910)를 포함한다. 이제부터 설명될 다중-패터닝 프로세스의 예시적인 일 실시예에서, 피쳐(2900)가 우선 생성되고, 예를 들어 해상도 한정 때문에 그 후에 피쳐(2910)가 생성된다.
더 나아가, 예를 들어 선 피쳐(2900)와 나란히 다수의 세그먼트를 가지는 것이 바람직하다. 그러므로, 다중-패터닝 프로세스에서, 이러한 세그먼트는 전술된 바와 같이 절삭부에 의해 생성될 수 있다. 그러므로, 디바이스 패턴은 선 피쳐(2900)에 대한 복수 개의 절삭부 피쳐(2920)를 포함한다. 더 나아가, 디바이스 패턴은 선 피쳐(2910)에 대한 복수 개의 절삭부 피쳐(2930)를 포함한다.
그러면, 디바이스 패턴 피쳐가 복수 개의 리소-에칭(LE) 프로세스에 의하여 생성될 수 있다. 도 29b, 도 29c, 도 29d 및 도 29e 는 디바이스 다중-패터닝 방법의 단계들의 일 예를 개략적으로 도시한다. 도 29b 에서, 복수 개의 선 피쳐(2900)가 생성된다. 그러면, 도 29c 에서, 절삭부(2920)가 피쳐(2900)에 적용되어 도 29a 에 도시된 바와 같은 세그멘트화된 선 피쳐(2900)가 생긴다.
도 29d 에서, 복수 개의 선 피쳐(2910)가 생성되는데, 여기에서 복수 개의 선 피쳐(2910)는 선 피쳐들(2900) 사이에서 인터리빙된 방식으로 생성된다. 그러면, 도 29e 에서, 절삭부(2930)가 피쳐(2910)에 적용되어 도 29a 에 도시된 바와 같은 세그멘트화된 선 피쳐(2910)가 생긴다.
따라서, 절삭부(2920)와 절삭부(2930)의 생성들 사이의 오버레이를 결정하는 것이 소망될 수 있다. 또는, 구조체들(2900 및 2910) 사이의 오버레이를 결정하는 것이 소망될 수 있다. 그러므로, 이해될 수 있는 것처럼, 바람직하게는 결정된 후 모니터링되고 제어 등이 될 수 있는 다양한 상이한 오버레이가 존재할 수 있다.
그러므로, 관심 층들이 식별되고, 결정되어야 하는 오버레이(예를 들어, X-방향의 오버레이, Y 방향의 오버레이, 또는 X 및 Y 방향 양자 모두의 오버레이)가 식별된다. 이러한 예에서, 구조체들(2900 및 2910) 사이의 X 방향 오버레이를 결정하고 절삭부들(2920 및 2930) 사이의 Y 방향 오버레이를 결정하는 것이 소망될 수 있다.
그러므로, 디바이스 내의 하나 이상의 특정한 관심 오버레이를 가지면, 비-제품 타겟이 오버레이를 결정하는 것을 돕도록 설계될 수 있다. 도 29a 의 디바이스 피쳐의 경우에는, 구조체의 선 공간 패턴이 관심 층과 비견되는 피치 및 CD를 가지고 생성될 수 있다. 비-제품 타겟 디자인의 이러한 구조체의 일 예가 도 29f 에 개략적으로 도시된다. 이러한 경우에, 예를 들어 구조체(2940)는 구조체(2900)가 생성되는 것과 동일한 패터닝 프로세스에서 생성될 것이고, 구조체(2950)는 구조체(2910)와 동일한 패터닝 프로세스에서 생성될 것이다. 도 21 내지 도 28 에 대해서 전술된 바와 같이, 구조체(2940 및 2950)가 생성되어 대칭이 깨지게 한 경우에, X 방향 오버레이 결정을 가능하게 하기 위해서, 구조체들(2940 및 2950) 사이에 물리적 차분이 제공되어 X 방향의 상대적인 시프트를 가능하게 할 수 있다. 구조체(2940 및 2950)가 실질적으로 구조체(2900 및 2910)에 대한 프록시로서의 역할을 하기 때문에, 해당 조건에서 비-제품 타겟에 의해 재지향된 방사선으로부터 구조체들(2940 및 2950) 사이의 X 방향의 상대적인 변위를 결정하는 것은, 구조체(2900 및 2910)에 대한 X 방향 오버레이에 대응할 수 있다.
더 나아가, 도 29g 를 참조하면, 하나 이상의 절삭부가 도 29f 의 비-제품 타겟 디자인의 구조체에 도입되어 Y 방향 오버레이의 결정을 가능하게 한다. 이를 가능하게 하기 위하여, 유닛 셀(2960)이 규정된다. 도시된 바와 같이, 유닛 셀은 구조체(2940 및 2950)를 가지고, 구조체(2940 및 2950)의 X 방향의 상대적인 변위에 의해 깨지는 Y 대칭을 가진다. 그러므로, Y 방향 오버레이 결정을 가능하게 하기 위하여, Y 방향에 상대적인 변위가 있는 경우에 피쳐가 도입되어 X 방향에서 비대칭을 생성한다. 위에서 언급된 바와 같이, 절삭부들(2920 및 2930) 사이에서 Y 방향의 오버레이를 결정하는 것이 소망된다. 그러므로, 절삭부(2920 및 2930)가 구조체(2900 및 2910)의 일부를 각각 제거하기 때문에, 비견되는 절삭부가 구조체(2940 및 2950) 각각에 도입된다. 이러한 실시예에서, 그러한 절삭부는 절삭부(2970 및 2980)이다. 절삭부(2970 및 2980)는 절삭부(2970 및 2980)가 생성되는 동안에 그들 사이의 상대적인 시프트에 기인한 Y 방향 오버레이를 결정하기 위한 레퍼런스를 생성한다. 절삭부(2970 및 2980)가 실질적으로 절삭부(2920 및 2930)에 대한 프록시로서의 역할을 하기 때문에, 따라서 해당 조건에서 비-제품 타겟에 의해 재지향된 방사선으로부터 절삭부들(2970 및 2980) 사이의 Y 방향의 상대적인 변위를 결정하는 것은, 절삭부(2920 및 2930)에 대한 Y 방향 오버레이에 대응할 수 있다.
일 실시예에서, 절삭부(2970 및 2980)는, 유닛 셀이 공칭 구성에서 X 방향으로 대칭이 되게 한다. 더 나아가, 일 실시예에서, 절삭부는, 전술된 바와 같은 X 오버레이 결정의 관점에서 유닛 셀의 대칭에 영향을 주지 않는다. 일 실시예에서, 절삭부(2970 및 2980)는 가능한 경우, 디바이스 패터닝 프로세스에서 절삭부에 비견되는 CD 및 피치를 가진다. 그러나, 절삭부의 크기, 개수 및 위치는 대칭적 유닛 셀을 만들도록 적응될 수 있다. 일 실시예에서, 도 29g 에 도시된 바와 같이, 유닛 셀은 복수 개의 인스턴스로서 반복되어 기판 상에 생성되기 위한 비-제품 타겟을 형성한다.
그러므로, 이러한 실시예에서, 공칭 구성에서는 유닛 셀(2960)이 X 및 Y 대칭 양자 모두를 가진다. 더 나아가, 피쳐들 사이에 Y 방향의 상대적인 시프트가 있으면, 유닛 셀 내의 X 대칭이 깨지게 되어(반면에 Y 대칭은 보존됨), Y 방향 오버레이가 결정될 수 있게 된다. 또한, 피쳐들 사이에 X 방향의 상대적인 시프트가 있으면, 유닛 셀 내의 Y 대칭이 깨지게 되어(반면에 X 대칭은 보존됨), X 방향 오버레이가 결정될 수 있게 된다.
이제 도 30 을 참조하면, 도 30a 는 디바이스 패턴 피쳐의 다른 예를 개략적으로 도시한다. 예를 들어, 디바이스 패턴 피쳐는 메모리 디바이스(예를 들어 SRAM)를 위한 것일 수 있다. 이해될 수 있는 것처럼, 풀 메모리 디바이스는 도시된 영역 내에 훨씬 더 많은 피쳐를 가질 수 있을 것이다. 그러나, 도 30a 에서 도시되는 디바이스 패턴 피쳐의 특정 조합의 오버레이를 결정하는 것이 소망될 수 있다. 이러한 오버레이는 본 명세서에서 더 상세하게 논의되는 바와 같이 패터닝 프로세스 제어, 패터닝 프로세스 내의 결함 예측 등을 위하여 사용될 수 있다.
도 30a 에서, 디바이스 패턴은 서로에 대해 실질적으로 평행하게 연장되는 복수 개의 선 피쳐(3000)를 포함한다. 더 나아가, 디바이스 패턴은 서로 실질적으로 평행하고 피쳐(3000)에 실질적으로 수직으로 연장하는 복수 개의 선 피쳐(3010)를 포함한다. 이제부터 설명될 다중-패터닝 프로세스의 예시적인 일 실시예에서, 피쳐(3010)가 우선 생성되고, 그 후에 피쳐(3000)가 생성된다.
더 나아가, 예를 들어 선 피쳐(3000)와 나란히 다수의 세그먼트를 가지는 것이 바람직하다. 그러므로, 다중-패터닝 프로세스에서, 이러한 세그먼트는 전술된 바와 같이 절삭부에 의해 생성될 수 있다. 그러므로, 디바이스 패턴은 선 피쳐(3000)에 대한 복수 개의 절삭부 피쳐(3020)를 포함한다. 그러면, 디바이스 패턴 피쳐는 이제 도 29b 내지 도 29e 에 대해서 설명된 것들과 다르거나 유사한 복수 개의 리소-에칭(LE) 프로세스에 의해서 생성될 수 있다.
따라서, 구조체들(3000 및 3010) 사이의 오버레이를 결정하는 것이 소망될 수 있다. 또는, 절삭부(3020)와 구조체(3010)의 생성들 사이의 오버레이를 결정하는 것이 소망될 수 있다. 그러므로, 이해될 수 있는 것처럼, 바람직하게는 결정된 후 모니터링되고 제어 등이 될 수 있는 다양한 상이한 오버레이가 존재할 수 있다.
그러므로, 관심 층들이 식별되고, 결정되어야 하는 오버레이(예를 들어, X-방향의 오버레이, Y 방향의 오버레이, 또는 X 및 Y 방향 양자 모두의 오버레이)가 식별된다. 이러한 예에서, 구조체(3010)와 절삭부(3020) 사이의 Y 방향 오버레이를 결정하는 것이 소망될 수 있다.
그러므로, 디바이스 내의 하나 이상의 특정한 관심 오버레이를 가지면, 비-제품 타겟이 오버레이를 결정하는 것을 돕도록 설계될 수 있다. 도 30a 의 디바이스 피쳐의 경우에는, 구조체의 선 공간 패턴이 관심 층과 비견되는 피치 및 CD를 가지고 생성될 수 있다. 비-제품 타겟 디자인의 이러한 구조체의 일 예가 도 30c 에 개략적으로 도시된다. 이러한 경우에, 예를 들어 구조체(3040)는 구조체(3010)가 생성되는 것과 동일한 패터닝 프로세스에서 생성될 것이고, 구조체(3030)는 구조체(3000)와 동일한 패터닝 프로세스에서 생성될 것이다. 도 24 에 대해서 위에서 논의된 바와 같이, 절삭부와 실질적으로 수직인 구조체 사이의 Y 방향 오버레이를 결정하기 위하여 절삭부가 제공될 수 있다. 즉, 절삭부는, 절삭부와 구조체가 생성될 때 이들 사이에 Y 방향 시프트가 생겨서 대칭이 깨지게 하여 Y 방향 오버레이 결정이 가능해지도록 할 수 있다.
그러므로, 도 30c 를 참조하면, 하나 이상의 절삭부가 도 30b 의 비-제품 타겟 디자인의 구조체에 도입되어 Y 방향 오버레이의 결정을 가능하게 한다. 이를 가능하게 하기 위하여, 유닛 셀(3050)이 규정된다. 도시된 바와 같이, 유닛 셀은 구조체(3030 및 3040)를 가진다. 더 나아가, 유닛 셀은 구조체(3030) 내에 절삭부(3060)를 가진다. 절삭부는, X 대칭이 절삭부(3060) 및 구조체(3040)의 생성 사이에 Y 방향의 상대적인 변위에 의해서 깨지도록 한다. 따라서, 절삭부(3060)는 절삭부(3060)와 구조체(3040) 사이에 Y 방향의 상대적인 변위가 존재하는 경우, X 방향의 비대칭이 생기게 할 수 있다. 절삭부(3060)는 절삭부(3060) 및 구조체(3040)가 생기는 중 그들 사이에 생기는 상대적인 시프트에 기인하는 Y 방향 오버레이를 결정하기 위한 레퍼런스를 생성한다. 절삭부(3060) 및 구조체(3040)가 구조체(3010) 및 절삭부(3020)에 대한 프록시로서의 역할을 하기 때문에, 상대적인 변위 조건에서 비-제품 타겟에 의해 재지향되는 방사선으로부터 절삭부(3060)와 구조체(3040) 사이의 Y 방향의 상대적인 변위를 결정하는 것은, 구조체(3010)와 절삭부(3020) 사이의 Y 방향 오버레이에 대응할 수 있다.
일 실시예에서, 절삭부(3060)는, 유닛 셀이 공칭 구성에서 X 방향으로 대칭이 되게 한다. 더 나아가, 일 실시예에서, 절삭부(3060)는 Y 방향의 유닛 셀의 대칭에 영향을 주지 않게 한다. 일 실시예에서, 절삭부(3060)는, 가능한 경우 디바이스 패터닝 프로세스 중의 절삭부(3020)에 비견되는 CD 및 피치를 가진다. 그러나, 절삭부의 크기, 개수 및 위치는 대칭적 유닛 셀을 만들도록 적응될 수 있다. 일 실시예에서, 도 30c 에 도시된 바와 같이, 유닛 셀은 복수 개의 인스턴스로서 반복되어 기판 상에 생성되기 위한 비-제품 타겟을 형성한다.
그러므로, 이러한 실시예에서, 공칭 구성에서는 유닛 셀(3060)이 X 및 Y 대칭 양자 모두를 가진다. 더 나아가, 피쳐들 사이에 Y 방향의 상대적인 시프트가 있으면, 유닛 셀 내의 X 대칭이 깨지게 되어(반면에 Y 대칭은 보존됨), Y 방향 오버레이가 결정될 수 있게 된다.
도 31 을 참조하면, 비-제품 타겟을 설계하기 위한 방법의 일 실시예가 개략적으로 도시된다. 여러 단계들이 기술되지만, 이러한 단계들 모두가 필수적인 것은 아니다. 따라서, 일 실시예에서, 단계들의 서브-조합이 선택될 수 있다. 더 나아가, 단계들(또는 단계들의 서브-조합)의 순서는 재정렬될 수 있다. 더 나아가, 디자인 방법은 오버레이(또는 이러한 타겟의 결과로부터 유도된 임의의 다른 파라미터)를 결정하기 위하여 비-제품 타겟 디자인을 생성하는 것과 관해서 설명된다. 그러나, 이러한 방법은 하나 이상의 다른 파라미터로 확장될 수 있다.
3100 에서, 하나 이상의 비-제품 타겟이 비-제품 타겟 레이아웃 설계 프로세스에서 설계된다. 하나 이상의 비-제품 타겟 설계는 본 명세서에서 설명되는 것들 중 임의의 하나 이상일 수 있다. 일 실시예에서, 본 명세서에서 설명된 바와 같은, 비-제품 타겟 디자인을 설계하는 하나 이상의 기법이 사용될 수 있다. 일 실시예에서, 비-제품 타겟 레이아웃 설계 프로세스는 비-제품 타겟의 유닛 셀의 기하학적 구조(및 따라서 비-제품 타겟의 기하학적 구조)를 주로 결정한다.
일 실시예에서, 비-제품 타겟 레이아웃 설계 프로세스는 디바이스 패턴을 평가하여 관심 오버레이를 식별하는 것을 수반한다. 흔히, 오버레이를 평가하기 위한 피쳐 및/또는 층의 다수의 조합이, 특히 LELE 처리에 존재한다. 그러므로, 피쳐 및/또는 층의 하나 이상의 오버레이-임계 조합을 결정하는 것이 소망될 수 있다.
하나 이상의 오버레이가 평가되는 피쳐 / 층 및 하나 이상의 방향(예를 들어, X, Y 또는 X 및 Y)에 대해서 식별되면, 반복 패턴(예를 들어, 선 공간 패턴, 예컨대 도 28 에서와 같은 폐곡선의 어레이)이 생성될 수 있다. 일 실시예에서, 반복 패턴은 디바이스 패턴으로부터의 관심 피쳐 / 층과 비견되는 피치 및/또는 CD를 가진다.
그러면, 측정할 디바이스 패턴 및 오버레이에 따라서, 비-제품 타겟 디자인의 유닛 셀의 기하학적 구조가 본 명세서에서 설명된 기법들 중 하나 이상을 사용하여 생성될 수 있다. 예를 들어, 관심 피쳐가 평행하고(예를 들어 Y 방향) 및 X 방향의 오버레이가 소망되면, 도 21 에서와 같은 타겟이 생성될 수 있고, 또는 타겟은 도 21 의 디자인 피쳐를 포함하여 오버레이가 결정될 수 있게 할 수 있다. 예를 들어, 관심 피쳐가 평행하고(예를 들어 Y 방향) 및 Y 방향의 오버레이가 소망되면, 도 22 에서와 같은 절삭부 / 돌출부 배치구성을 포함하는 타겟이 생성될 수 있고, 또는 타겟은 도 22 의 디자인 피쳐를 포함하여 오버레이가 결정될 수 있게 할 수 있다. 예를 들어, 관심 피쳐가 수직이고 X 방향의 오버레이가 소망되면, 도 23 에서와 같은 타겟이 생성될 수 있고, 또는 타겟은 도 23 의 디자인 피쳐를 포함하여 오버레이가 결정될 수 있게 할 수 있다. 예를 들어, 관심 피쳐가 수직이고 Y 방향의 오버레이 측정돼야 한다면, 도 24 에서와 같은 타겟이 생성될 수 있고, 또는 타겟은 도 24 의 디자인 피쳐를 포함하여 오버레이가 결정될 수 있게 할 수 있다.
적절한 경우 및 많은 경우에, 선 공간 패턴의 선 상에 있는 절삭부 / 돌출부는, X 및/또는 Y 방향의 대칭을 깨뜨려서 각각의 오버레이가 결정될 수 있게 하는 수단으로서 사용될 수 있다. 일 실시예에서, 절삭부 / 돌출부는 디바이스 패턴 내의 연관된 피쳐로서 CD 및/또는 피치의 관점에서 비견된다. 하지만, 일 실시예에서, 절삭부 / 돌출부의 위치는, 유닛 셀이 공칭 구성에서 대칭이 되게 해야 한다. 일 실시예에서, 절삭부 / 돌출부 및/또는 유닛 셀의 구조체는 유닛 셀을 가능한 작게 만들도록 선택된다.
일 실시예에서, 타겟은 디바이스의 프로세스 단계 모두를 반드시 따라야 하는 것은 아니다(예를 들어, 디바이스의 하나 이상의 프로세스 단계는, 예를 들어 그러한 단계가 모델링하기 어렵다면 타겟을 형성할 때 바이패스될 수 있다). 그러나, 디바이스와 타겟 사이의 프로세스 차분은 고려대상인 피쳐 / 층에 대한 오버레이에 영향을 주어서는 안 된다.
X 방향의 오버레이 및 Y 방향의 오버레이 양자 모두가 동일한 타겟에 대해서 요구되는 경우, 절삭부의 수직 시프트는 Y 축에 대한 대칭을 바꾸지 않아야 하고 구조체의 수평 시프트는 X 축에 대한 대칭을 바꾸지 않아야 한다. 그러면, X 및 Y 방향 오버레이들이, 타겟으로부터의 재지향된 방사선으로부터 그들이 결정될 때 디커플링되게 보장하는 것을 돕는다.
일 실시예에서, 층들 중 하나가 LELE로 처리된다면, 오버레이를 리소그래피 단계의 각각으로부터 디커플링하기 위해서 상이한 타겟들이 사용될 수 있다. 두 개의 층이 LELE로 처리되면, 예를 들어 네 개의 타겟이 사용될 수 있다.
일 실시예에서, 타겟의 오버레이 감도가 허용한다면, 세 개 이상의 층들 사이의 오버레이가 동일한 타겟(예를 들어, 도 27 의 타겟같은 타겟) 내에서 조합될 수 있다. 그러면 공간-효율성이 좋아질 것이지만, 예를 들어 타겟이 더 복잡해지는 것에 기인하여 모델링의 크로스-토크 또는 부정확성이 생기기 때문에 정확도의 손실이 존재할 수 있다.
일 실시예에서, 타겟은 클리어런스 영역 및 디바이스와 유사한 밀도의 패턴이 있는 패터닝된 영역을 가질 것이다. 일 실시예에서, 타겟 주위의 클리어런스 영역과 패터닝된 영역은, 예를 들어 적어도 0.2 μm의 클리어런스 영역 및/또는 적어도 2 μm의 패터닝된 영역일 수 있다.
공칭 타겟 디자인이 주어지면, 공칭 타겟 디자인을 튜닝하고 및/또는 공칭 타겟 디자인이 적합할 것인지 여부를 결정하기 위해서 다양한 평가 단계가 수행될 수 있다. 그러므로, 예를 들어 디바이스 피쳐의 오버레이 거동을 만족시키기 위한 타겟의 디자인 이외에, 타겟의 디자인은 인쇄가능성(예를 들어, 패터닝 프로세스의 일부로서 생성될 타겟의 능력), 검출가능성(예를 들어, 얼마나 양호한 신호가 타겟에 의해 생성되는지), 견실성(예를 들어, 타겟이 패터닝 프로세스에서 발생하는 변동에 대해 얼마나 안정적인지), 및/또는 디바이스 매칭(예를 들어, 디바이스의 오버레이의 표현이 타겟으로부터의 오버레이의 결정치에 얼마나 가까운지)의 관점에서 분석될 수 있다.
그러므로, 3110 에서, 타겟으로부터 측정된 오버레이가 디바이스의 오버레이를 대표한다고 결정하기 위하여 디바이스 매칭이 수행될 수 있다. 이것은, 시뮬레이터 또는 수학 모델을 사용하여 디바이스의 시뮬레이션되거나 모델링된 관심 오버레이가 타겟 디자인의 대응하는 시뮬레이션되거나 모델링된 관심 오버레이와 매칭되는지 여부를 결정함으로써 수행될 수 있다. 일 실시예에서, 매칭은 패터닝 프로세스의 리소그래피 단계에 대해서 수행될 수 있다(예를 들어, 필드내 매칭). 일 실시예에서, 매칭은 패터닝 프로세스의 에칭 단계에 대해서 수행될 수 있다(예를 들어, 필드간 매칭). 충분한 매칭이 존재하지 않으면, 타겟 디자인은, 예를 들어 폐기되거나 수정될 수 있다(여기에서 수정은 타겟의 피쳐의 피치의 변화, 타겟의 피쳐의 CD의 변화, 타겟의 구조체의 재료의 변화 등을 포함할 수 있음).
3120 에서, 타겟 디자인에 의해서 신호가 얼마나 양호하게 생성되는지를 결정하기 위하여 검출가능성 평가가 수행될 수 있다. 이것은, 시뮬레이터 또는 수학 모델을 사용하여 타겟 디자인으로부터 기대 신호를 결정하고, 이것이 임계치를 만족하는지 여부를 결정함으로써 수행될 수 있다. 일 실시예에서, 이것은 오버레이에 대한 타겟의 감도, 예컨대 본 명세서에서 논의된 바와 같은 감도들 중 임의의 것(예를 들어, 야코비안)을 평가하는 것을 수반할 수 있다. 일 실시예에서, 이러한 평가는 타겟 디자인의 퓨필 세기(예를 들어, 퓨필 세기의 제곱 평균 제곱근), 스택 감도 및/또는 회절 효율을 고려하고, 이것을 임계치에 대해서 평가할 수 있다. 충분한 매칭이 존재하지 않으면, 타겟 디자인은, 예를 들어 폐기되거나 수정될 수 있다(여기에서 수정은 타겟의 피쳐의 피치의 변화, 타겟의 피쳐의 CD의 변화, 타겟의 구조체의 재료의 변화 등을 포함할 수 있음). 일 실시예에서, 각각의 임계치가 만족될 때까지 단계(3110 및 3120)의 반복이 수행된다.
3130 에서, 인쇄가능성 평가는 타겟이 패터닝 프로세스의 일부로서 생성될 수 있는 가능성을 결정하기 위하여 수행될 수 있다. 이것은, 시뮬레이터 또는 수학 모델을 사용하여 타겟 디자인이 기판 상에 충분히 생성될 것인지 여부(예를 들어, 임계치를 지나거나 만족시킬지 여부)를 결정함으로써 수행될 수 있다. 충분한 인쇄가능성이 존재하지 않으면, 타겟 디자인은, 예를 들어 폐기되거나 수정될 수 있다(여기에서 수정은 타겟의 피쳐의 피치의 변화, 타겟의 피쳐의 CD의 변화, 타겟의 구조체의 재료의 변화 등을 포함할 수 있음).
3140 에서, 타겟이 패터닝 프로세스에서 발생하는 변동에 대해 얼마나 안정한지를 결정하기 위하여 견실성 평가가 수행될 수 있다. 이것은, 시뮬레이터 또는 수학 모델을 사용하여 타겟 디자인이 패터닝 프로세스에서 발생하는 변동에 민감해서(예를 들어, 임계치를 지나거나 만족하는지) 부정확한 결과를 생성할지 여부를 결정함으로써 수행될 수 있다. 예를 들어, 이러한 평가는, 예를 들어 시뮬레이터 또는 모델에 섭동을 도입함으로써, 타겟 결과의 프로세스 섭동에 대한 직교성을 결정할 수 있다. 충분한 견실성이 존재하지 않으면, 타겟 디자인은, 예를 들어 폐기되거나 수정될 수 있다(여기에서 수정은 타겟의 피쳐의 피치의 변화, 타겟의 피쳐의 CD의 변화, 타겟의 구조체의 재료의 변화 등을 포함할 수 있음).
3150 에서, 타겟은 타겟을 검증하기 위하여 패터닝 프로세스에 의해 생성될 수 있다. 타겟을 인쇄하는 패터닝 프로세스는 알려진 다양한 오버레이를 타겟에 유도하도록 설정될 수 있고, 그러면 타겟은 본 명세서의 기법을 사용하여 측정되어 오버레이를 결정할 수 있다. 그러면 설정된 오버레이는 획득된 오버레이와 비교될 수 있다. 충분한 매칭(예를 들어, 임계치를 지나거나 만족시킴)이 존재하지 않으면, 타겟 디자인은, 예를 들어 폐기되거나 수정될 수 있다(여기에서 수정은 타겟의 피쳐의 피치의 변화, 타겟의 피쳐의 CD의 변화, 타겟의 구조체의 재료의 변화 등을 포함할 수 있음).
결정된 패터닝 프로세스 파라미터 값(예를 들어, 오버레이 값) 및 본 명세서의 기법은 여러 목적을 위하여 사용될 수 있다. 예를 들어, 패터닝 프로세스가 가능해지게 하기 위한 중요한 양태들은, 프로세스 자체를 개선하는 것, 이것을 모니터링 및 제어를 위해 셋업하는 것 및 이제 해당 프로세스 자체를 실제로 모니터링하고 제어하는 것(즉, 패터닝 프로세스 파라미터 값에 기초하여 결함 가능성을 예측하는 것)을 포함한다. 패터닝 프로세스 파라미터 값 및 본 명세서의 기법은 이러한 양태들 중 임의의 것에서 사용될 수 있다. 더 나아가, 패터닝 프로세스의 근본의 구성을 가정하면(패터닝 디바이스 패턴(들), 레지스트 타입(들), 사후-리소그래피 프로세스 단계(현상, 에칭 등과 같음) 등과 같음), 패턴을 기판 상에 전사하기 위해 패터닝 프로세스에서 장치를 셋업하고, 프로세스를 모니터링하기 위한 하나 이상의 계측 타겟을 현상하며, 계측 타겟을 측정하기 위해 계측 프로세스를 셋업하며, 프로세스를 측정에 기초하여 모니터링 및/또는 제어하는 프로세스를 구현하는 것이 바람직하다. 패터닝 프로세스 파라미터 값 및 본 명세서의 기법은 그러한 프로세스 중 임의의 것에서 사용될 수 있다.
비록 본 출원에서의 논의에서 기판 상에 형성되고 있는 디바이스의 오버레이를 측정하도록 디자인된 계측 프로세스 및 계측 타겟의 일 실시예가 고려될 것이지만, 본 명세서의 실시예들은 다른 계측 프로세스 및 타겟, 예컨대 대칭적 구조체 내의, 측벽 각도 비대칭, 하단 바닥 틸트 각도의 비대칭, CD의 비대칭 등과 같은 다양한 다른 비대칭을 측정하기 위한 프로세스 및 타겟에도 마찬가지로 적용가능하다. 따라서, 본 명세서에서 오버레이 계측 타겟, 오버레이 데이터 등을 지칭하는 것은 다른 종류의 계측 프로세스 및 타겟을 가리킬 수 있도록 적절하게 수정되는 것으로 간주되어야 한다.
일 실시예에서, 패터닝 프로세스의 파라미터를 결정하는 방법으로서, 기판 상의 빔 스폿이 유닛 셀의 하나 이상의 물리적 인스턴스로 채워지도록 상기 기판을 방사선 빔으로 조명하는 단계 - 상기 유닛 셀은 상기 파라미터의 공칭 값에서 기하학적 대칭을 가짐 -; 상기 유닛 셀의 하나 이상의 물리적 인스턴스에 의해 재지향된 0차 방사선을 주성분으로 검출기를 사용하여 검출하는 단계; 및 하드웨어 컴퓨터 시스템에 의하여, 검출된 방사선의 광학 특성의 값으로부터 상기 유닛 셀의 파라미터의 비-공칭 값을 결정하는 단계를 포함하는, 오버레이 결정 방법이 제공된다.
일 실시예에서, 이러한 파라미터는 오버레이를 포함한다. 일 실시예에서, 이러한 방법은 상기 파라미터에 기초하여 에지 배치 오차를 결정하는 것을 포함한다. 일 실시예에서, 상기 파라미터에 의해 측정된 물리적 효과에 대해 더 큰 감도를 가지는 검출된 방사선의 픽셀로부터 얻어진 광학 특성 값은, 상기 파라미터에 의해 측정된 물리적 효과에 대해 더 낮은 감도를 가지는 검출된 방사선의 다른 픽셀로부터 얻어진 광학 특성 값보다 상기 파라미터의 비-공칭 값을 결정하는 데에 더 많이 기여한다. 일 실시예에서, 광학 특성의 값은 퓨필 표현을 형성한다. 일 실시예에서, 상기 광학 특성의 값은 검출된 방사선의 대칭 광학 특성 분포의 광학 특성 값을 감소시키거나 제거하게끔, 대칭축에 걸쳐 광학 특성 값을 감산하도록 처리된다. 일 실시예에서, 상기 파라미터의 비-공칭 값은, 검출된 방사선의 복수 개의 픽셀에 대하여, 각각의 픽셀에 대한 연관된 가중치를 승산한 해당 픽셀에 대한 광학 특성 값의 합산을 사용하여 결정된다. 일 실시예에서, 광학 특성은 세기 및/또는 위상이다. 일 실시예에서, 상기 유닛 셀의 하나 이상의 물리적 인스턴스는 디바이스 구조체이다. 일 실시예에서, 상기 유닛 셀의 하나 이상의 물리적 인스턴스는 디바이스 구조체를 포함하는 기판 다이 내의 비-디바이스 구조체이다. 일 실시예에서, 상기 방사선은 상기 유닛 셀의 하나 이상의 물리적 인스턴스를 생성하도록, 에칭 프로세스 이후에 검출된다. 일 실시예에서, 상기 파라미터는 오버레이를 포함하고, 상기 방법은, 상기 광학 특성 값으로부터, 동일한 광학 특성 값으로부터 역시 획득가능한 제 2 오버레이와 별개로 제 1 오버레이의 값을 결정하는 단계를 더 포함하며,
상기 제 1 오버레이는, 상기 제 2 오버레이와 상이한 방향이거나, 상기 유닛 셀 중 상기 제 2 오버레이와 상이한 조합의 부분들 사이에 있다.
일 실시예에서, 패터닝 프로세스의 파라미터를 결정하는 방법으로서, 공칭 물리적 구성에서 기하학적 대칭을 가지는 구조체에 의해 재지향된 방사선의 검출된 퓨필 표현을 획득하는 단계 - 상기 구조체의 상기 공칭 물리적 구성과 상이한 물리적 구성은 상기 퓨필 표현 내에 비대칭 광학 특성 분포를 초래함 -; 상기 퓨필 표현 내의 대칭 광학 특성 분포의 광학 특성 값을 감소시키거나 제거하게끔, 대칭축에 걸쳐 광학 특성 값을 감산하도록 상기 퓨필 표현을 처리하는 단계; 및 하드웨어 컴퓨터 시스템에 의하여, 처리된 퓨필 표현으로부터 얻어진 광학 특성 값에 기초하여 패터닝 프로세스 파라미터의 값을 결정하는 단계를 포함하는, 패터닝 프로세스 파라미터 결정 방법이 제공된다.
일 실시예에서, 상기 패터닝 프로세스 파라미터는 오버레이이고, 상기 상이한 물리적 구성은 상기 구조체의 다른 부분에 대한 상기 구조체의 적어도 일부의 상대적인 시프트이다. 일 실시예에서, 퓨필 표현은 주성분으로 0차 방사선에 대한 것이다. 일 실시예에서, 상기 상이한 물리적 구성에 대해 더 큰 감도를 가지는 처리된 퓨필 표현의 픽셀로부터 얻어진 광학 특성 값은 상기 상이한 물리적 구성에 대해 더 낮은 감도를 가지는 검출된 방사선의 다른 픽셀로부터 얻어진 광학 특성 값보다 상기 패터닝 프로세스 파라미터의 값을 결정하는 데에 더 많이 기여한다. 일 실시예에서, 상기 패터닝 프로세스 파라미터의 값은, 상기 퓨필 표현의 복수 개의 픽셀에 대하여, 각각의 픽셀에 대한 연관된 가중치를 승산한 해당 픽셀에 대한 광학 특성 값의 합산을 사용하여 결정된다. 일 실시예에서, 광학 특성은 세기 및/또는 위상이다. 일 실시예에서, 구조체는 디바이스 구조체이다. 일 실시예에서, 상기 구조체는 디바이스 구조체를 포함하는 기판 다이 내의 비-디바이스 구조체이다. 일 실시예에서, 방사선은 구조체를 생성하기 위한 에칭 프로세스 이후에 검출된다. 일 실시예에서, 상기 결정하는 단계는, 상기 광학 특성 값으로부터, 동일한 광학 특성 값으로부터 역시 획득가능한 제 2 패터닝 프로세스 파라미터의 값과 별개로 상기 구조체의 제 1 패터닝 프로세스 파라미터의 값을 결정하는 것을 포함하고, 상기 제 1 패터닝 프로세스 파라미터는, 상기 제 2 패터닝 프로세스 파라미터와 상이한 방향이거나, 상기 구조체 중 상기 제 2 오버레이와 상이한 조합의 부분들 사이에 있다.
일 실시예에서, 패터닝 프로세스의 파라미터를 결정하는 방법으로서, 공칭 물리적 구성에서 기하학적 대칭을 가지는 구조체에 의해 재지향된 방사선의 검출된 표현을 획득하는 단계 - 상기 방사선의 검출된 표현은, 상기 기판 상의 빔 스폿이 상기 구조체로 채워지도록 상기 기판을 방사선 빔으로 조명함으로써 획득된 것임 -; 및 하드웨어 컴퓨터 시스템에 의하여, 검출된 방사선 표현의 다른 부분보다 높은 가중치를 가지는 검출된 방사선 표현의 비대칭 광학 특성 분포 부분으로부터 얻어진 광학 특성 값에 기초하여 상기 패터닝 프로세스 파라미터의 값을 결정하는 단계 - 상기 비대칭 광학 특성 분포는 상기 구조체의 상기 공칭 물리적 구성과 상이한 물리적 구성으로부터 생기는 것임 - 을 포함하는, 파라미터 결정 방법이 제공된다.
일 실시예에서, 상기 패터닝 프로세스 파라미터는 오버레이이고, 상기 상이한 물리적 구성은 상기 구조체의 다른 부분에 대한 상기 구조체의 적어도 일부의 상대적인 시프트이다. 일 실시예에서, 검출된 방사선 표현은 퓨필 표현이다. 일 실시예에서, 검출된 방사선은 주성분으로 0차 방사선이었다. 일 실시예에서, 상기 검출된 방사선 표현은, 상기 검출된 방사선 표현의 대칭 광학 특성 분포의 광학 특성 값을 감소시키거나 제거하게끔, 대칭축에 걸쳐 광학 특성 값을 감산하도록 처리된다. 일 실시예에서, 상기 패터닝 프로세스 파라미터의 값은, 검출된 방사선 표현의 복수 개의 픽셀에 대하여, 각각의 픽셀에 대한 연관된 가중치를 승산한 해당 픽셀에 대한 광학 특성 값의 합산을 사용하여 결정된다. 일 실시예에서, 광학 특성은 세기 및/또는 위상이다. 일 실시예에서, 구조체는 디바이스 구조체이다. 일 실시예에서, 상기 구조체는 디바이스 구조체를 포함하는 기판 다이 내의 비-디바이스 구조체이다. 일 실시예에서, 가중치(weighting)는, 제 1 타입의 패터닝 프로세스 파라미터가 동일한 광학 특성 값으로부터 역시 획득가능한 제 2 타입의 패터닝 프로세스 파라미터와 별개로, 상이한 물리적 구성에 대해서 결정되게 하도록 구성되고, 상기 제 1 타입의 패터닝 프로세스 파라미터는 상기 제 2 타입의 패터닝 프로세스 파라미터와 다른 방향이거나, 상기 유닛 셀 중 상기 제 2 타입의 패터닝 프로세스 파라미터와 상이한 조합의 부분들 사이에 있다. 일 실시예에서, 상기 방법은, 제 2 타입의 패터닝 프로세스 파라미터가 상이한 물리적 구성에 대해서 결정되게 하도록 구성되는 가중치를 더 포함한다.
일 실시예에서, 패터닝 프로세스의 파라미터를 결정하는 방법으로서, 파라미터의 공칭 값에서 기하학적 대칭을 가지는 구조체에 의해 재지향된 방사선의 검출된 표현을 획득하는 단계 - 상기 방사선의 검출된 표현은, 상기 기판 상의 빔 스폿이 상기 구조체로 채워지도록 상기 기판을 방사선 빔으로 조명함으로써 획득된 것이고, 상기 파라미터의 비-공칭 값에서, 상기 구조체의 물리적 구조는 상기 검출된 방사선 표현 내에 비대칭 광학 특성 분포를 초래함 -; 및 하드웨어 컴퓨터 시스템에 의하여, 상기 구조체의 파라미터의 비-공칭 값을, 상기 검출된 방사선 표현의 복수 개의 픽셀에 대하여, 각각의 픽셀에 대한 연관된 가중치를 승산한 해당 픽셀에 대한 광학 특성 값의 합산에 기초하여 결정하는 단계 - 상기 비대칭 광학 특성 분포 내의 픽셀들에 대한 가중치는, 상기 검출된 방사선 표현의 대칭 광학 특성 분포 부분 내의 픽셀들에 대한 가중치와 다른, 방법이 제공된다.
일 실시예에서, 이러한 파라미터는 오버레이를 포함한다. 일 실시예에서, 검출된 방사선 표현은 퓨필 표현이다. 일 실시예에서, 검출된 방사선은 주성분으로 0차 방사선이었다. 일 실시예에서, 상기 검출된 방사선 표현은, 상기 검출된 방사선 표현의 대칭 광학 특성 분포의 광학 특성 값을 감소시키거나 제거하게끔, 대칭축에 걸쳐 광학 특성 값을 감산하도록 처리된다. 일 실시예에서, 광학 특성은 세기 및/또는 위상이다. 일 실시예에서, 구조체는 디바이스 구조체이다. 일 실시예에서, 상기 구조체는 디바이스 구조체를 포함하는 기판 다이 내의 비-디바이스 구조체이다. 일 실시예에서, 상기 파라미터는 오버레이를 포함하고, 상기 가중치는 상기 구조체에 대한 제 1 타입의 오버레이를, 동일한 광학 특성 값으로부터 역시 획득가능한 상기 구조체에 대한 제 2 타입의 오버레이와 별개로 결정하도록 구성된다. 일 실시예에서, 상기 방법은 동일한 광학 특성 값으로부터, 상기 구조체에 대한 제 2 타입의 오버레이를 상기 구조체에 대한 제 1 타입의 오버레이로부터 별개로 결정하도록 구성되는 가중치를 더 포함한다.
일 실시예에서, 공칭 물리적 구성에서 기하학적 대칭을 가지는 구조체에 의해 재지향된 방사선의 검출된 표현을 획득하는 단계 - 상기 구조체의 상기 공칭 물리적 구성과 상이한 물리적 구성은, 상기 검출된 표현 내에 비대칭 광학 특성 분포가 생기게 하고, 상기 패터닝 프로세스 파라미터는 상기 물리적 구성의 변화를 측정함 -; 및 하드웨어 컴퓨터 시스템에 의하여, 상기 상이한 물리적 구성에서의 상기 패터닝 프로세스 파라미터의 값을 상기 검출된 표현으로부터 유도된 광학 특성 값을 처리하는 재구성 프로세스를 사용하여 결정하는 단계를 포함하는, 방법이 제공된다.
일 실시예에서, 상기 방법은, 상기 표현 내의 대칭 광학 특성 분포의 광학 특성 값을 감소시키거나 제거하게끔, 대칭축에 걸쳐 광학 특성 값을 감산하도록 상기 표현을 처리하는 단계를 더 포함하고, 상기 결정하는 단계는, 처리된 검출된 표현으로부터 유도된 광학 특성 값을 처리하는 재구성 프로세스를 사용하여 상기 패터닝 프로세스 파라미터의 값을 결정하는 것을 포함한다. 일 실시예에서, 상기 재구성 프로세스는, 상기 구조체의 수학 모델을 사용하여, 상기 검출된 표현으로부터 유도된 광학 특성 값과 비교되도록 상기 구조체에 의해 재지향된 방사선의 시뮬레이션된 표현을 생성하는 것을 수반한다. 일 실시예에서, 상기 수학 모델은 상기 구조체의 인스턴스의 측정으로부터 유도된 상기 구조체의 프로파일에 기초한다. 일 실시예에서, 상기 재구성 프로세스는, 상기 검출된 표현으로부터 유도된 광학 특성 값을 상기 구조체에 의해 재지향된 방사선의 시뮬레이션된 표현의 라이브러리에 대해서 비교하는 것을 수반한다.
일 실시예에서, 공칭 물리적 구성에서 기하학적 대칭을 가지는 구조체에 의해 재지향된 방사선의 검출된 표현을 획득하는 단계 - 상기 구조체의 상기 공칭 물리적 구성과 상이한 물리적 구성은, 상기 검출된 표현 내에 비대칭 광학 특성 분포가 생기게 하고, 상기 패터닝 프로세스 파라미터는 상기 물리적 구성의 변화를 측정함 -; 및 하드웨어 컴퓨터 시스템에 의하여, 상기 상이한 물리적 구성에서의 상기 패터닝 프로세스 파라미터의 값을 상기 검출된 표현으로부터 유도된 광학 특성 값을 처리하는 비선형 솔버(solver)를 사용하여 결정하는 단계를 포함하는, 방법이 제공된다.
일 실시예에서, 상기 비선형 솔버는 함수를 풀어내고, 상기 함수의 하나 이상의 변수 항은, 상기 패터닝 프로세스 파라미터를 홀수 제곱인 변수로서 가지는 하나 이상의 변수 항, 및/또는 변수로서의 상기 구조체의 다른 파라미터와 조합하여 상기 패터닝 프로세스 파라미터를 변수로서 가지는 하나 이상의 변수 항만으로 이루어진다. 일 실시예에서, 상기 방법은, 상기 표현 내의 대칭 광학 특성 분포의 광학 특성 값을 감소시키거나 제거하게끔, 대칭축에 걸쳐 광학 특성 값을 감산하도록 상기 표현을 처리하는 단계, 및 처리된 검출된 표현으로부터 유도된 광학 특성 값을 처리하는 비선형 솔버를 사용하여 상기 패터닝 프로세스 파라미터의 값을 결정하는 단계를 더 포함한다.
일 실시예에서, 파라미터 결정 프로세스를 구성하는 방법으로서, 구조체의 수학 모델을 획득하는 단계 - 상기 수학 모델은, 상기 구조체를 방사선 빔으로 조명하는 경우의 광학적 응답을 예측하도록 구성되고, 상기 구조체는 공칭 물리적 구성에서 기하학적 대칭을 가짐 -; 하드웨어 컴퓨터 시스템에 의하여, 복수 개의 픽셀 감도를 획득하기 위해서, 상기 수학 모델을 사용하여 상기 구조체의 물리적 구성 내에서 특정량의 섭동(perturbation)을 시뮬레이션하여 복수 개의 픽셀 각각 내에서 상기 광학적 응답의 대응하는 변화를 결정하는 단계; 및 상기 픽셀 감도에 기초하여, 상기 물리적 구성 내의 변화와 연관된 파라미터의 값을 산출하도록, 기판 상에서 상기 구조체의 측정된 픽셀 광학 특성 값과 조합되기 위한 복수 개의 가중치를 결정하는 단계 - 각각의 가중치는 하나의 픽셀에 대응함 -를 포함하는, 파라미터 결정 프로세스 구성 방법이 제공된다.
일 실시예에서, 상기 파라미터는 오버레이이고, 상기 상이한 물리적 구성은 상기 구조체의 다른 부분에 대한 상기 구조체의 적어도 일부의 상대적인 시프트이다. 일 실시예에서, 상기 광학적 응답은 퓨필 이미지의 형태인 상기 광학 특성을 포함한다. 일 실시예에서, 광학적 응답은 주성분으로 0차 방사선에 대한 것이다. 일 실시예에서, 가중치를 결정하는 것은 야코비안 행렬을 사용하는 것을 포함한다. 일 실시예에서, 가중치를 결정하는 것은 헤시안 행렬을 사용하는 것을 포함한다. 일 실시예에서, 가중치를 결정하는 것은 무어-펜로즈 의사 역행렬을 사용하는 것을 포함한다. 일 실시예에서, 상기 가중치는, 상기 파라미터의 값이, 검출된 방사선 표현의 복수 개의 픽셀에 대한, 각각의 픽셀과 연관된 복수 개의 가중치 중 상기 가중치로 승산한 해당 픽셀에 대한 광학 특성 값의 합산을 사용하여 결정될 수 있도록, 구성된다. 일 실시예에서, 광학 특성은 세기 및/또는 위상이다. 일 실시예에서, 구조체는 디바이스 구조체이다. 일 실시예에서, 상기 구조체는 디바이스 구조체를 포함하는 기판 다이 내의 비-디바이스 구조체이다. 일 실시예에서, 상기 방법은, 상기 측정된 픽셀 광학 특성 값을 획득하기 위한 측정 설정의 세트를 결정하는 단계를 더 포함하고, 상기 측정 설정의 세트는 상기 복수 개의 가중치에 대응한다. 일 실시예에서, 상기 측정 설정의 세트는, 측정 빔의 파장, 측정 빔의 편광, 측정 빔의 선량, 및/또는 상기 구조체의 특정한 하나의 조명의 검출기 센서에 의해 취해진 다수의 광학 특성 판독치 중에서 선택된 하나 이상을 포함한다. 일 실시예에서, 상기 수학 모델을 획득하는 단계는, 상기 구조체를 포함하는 하나 이상의 기판에 CD 측정을 수행하는 것 및 상기 구조체의 물리적 구성의 섭동에 대한 상기 구조체의 공칭 프로파일을 획득하도록, 상기 수학 모델을 상기 CD 측정에 대해 교정하는 것을 포함한다. 일 실시예에서, 상기 방법은, 알려진 상이한 물리적 구성 및 상기 파라미터의 연관된 기대값을 가지는 복수 개의 구조체에 의하여 재지향된 방사선의 광학 특성 값을 측정하는 단계; 상기 알려진 상이한 물리적 구성 각각에 대한 상기 파라미터의 값을 결정하도록, 상기 가중치 및 측정된 광학 특성 값을 조합하는 단계; 및 상기 파라미터의 결정된 값을 상기 파라미터의 기대값으로 평가하는 단계; 및 상기 평가에 응답하여, 상기 수학 모델의 파라미터를 조절하고 및/또는 상기 가중치 중 하나 이상을 조절하는 단계를 더 포함한다.
일 실시예에서, 하드웨어 컴퓨터 시스템에 의하여, 구조체의 수학 모델을 사용하여 상기 구조체를 방사선 빔으로 조명하는 경우의 광학적 응답을 예측하는 단계 - 상기 구조체는 공칭 물리적 구성에서 기하학적 대칭을 가지고, 패터닝 프로세스 파라미터는 상기 물리적 구성의 변화를 측정함 -; 및 상기 하드웨어 컴퓨터 시스템에 의하여, 비선형 솔버를 사용하여, 상기 광학적 응답에 기초해서, 변수로서의 상기 패터닝 프로세스 파라미터의 수학적 함수의 계수를 결정하는 단계 - 결정된 계수 및 상기 함수는, 검출된 표현 내에 비대칭 광학 특성 분포를 야기하는, 공칭 물리적 구성과 상이한 물리적 구성에서, 기판 상의 상기 구조체로부터 나온 검출된 방사선의 측정된 표현과 함께 사용되어, 측정된 구조체에 대한 상기 패터닝 프로세스 파라미터의 값을 결정함 -를 포함하는, 방법이 제공된다. 일 실시예에서, 상기 방법은, 상기 수학 모델을 사용하여 상기 구조체의 물리적 구성 내에서 특정량의 섭동을 시뮬레이션하여 상기 광학적 응답의 대응하는 변화를 결정하는 단계를 포함하고, 상기 계수를 결정하는 것은 변화된 광학적 응답을 사용한다. 일 실시예에서, 상기 방법은, 상기 상이한 물리적 구성을 가지는, 상기 기판 상의 상기 구조체에 의해 재지향된 방사선의 검출된 표현을 획득하는 단계, 및 상기 검출된 표현으로부터 유도된 광학 특성 값을 처리하고 상기 결정된 계수를 사용하는 비선형 솔버를 사용하여, 상기 패터닝 프로세스 파라미터의 값을 결정하는 단계를 더 포함한다. 일 실시예에서, 상기 비선형 솔버는 함수를 풀어내고, 상기 함수의 하나 이상의 변수 항은, 상기 패터닝 프로세스 파라미터를 홀수 제곱인 변수로서 가지는 하나 이상의 변수 항, 및/또는 변수로서의 상기 구조체의 다른 파라미터와 조합하여 상기 패터닝 프로세스 파라미터를 변수로서 가지는 하나 이상의 변수 항만으로 이루어진다. 일 실시예에서, 상기 방법은, 상기 광학적 응답 내의 대칭 광학 특성 분포의 광학 특성 값을 감소시키거나 제거하게끔, 대칭축에 걸쳐 광학 특성 값을 감산하도록 상기 광학적 응답을 처리하는 단계를 더 포함하고, 상기 계수를 결정하는 단계는, 처리된 광학적 응답으로부터 유도된 광학 특성 값에 기초한다. 일 실시예에서, 상기 수학 모델은, 상기 수학 모델의 CD 측정에 대한 교정으로부터 유도된 상기 구조체의 공칭 프로파일을 사용하여 상기 구조체의 공칭 프로파일을 획득한다. 일 실시예에서, 상기 계수는 상기 광학적 응답 내의 복수 개의 픽셀 각각에 대한 계수의 세트를 포함한다.
일 실시예에서, 패터닝 프로세스에 의해 생성된 구조체의 상이한 인스턴스에 대한 측정 결과를 획득하는 단계 - 측정 결과는 상기 구조체의 물리적 구성의 변화를 측정하는 패터닝 프로세스 파라미터의 복수 개의 상이한 설정 값 각각에서 획득되고, 상기 패터닝 프로세스 파라미터의 각각의 상이한 설정 값은 방사선 표현 내에 비대칭 광학 특성 분포를 야기하는, 상기 구조체의 물리적 구성에 대응함 -; 및 하드웨어 컴퓨터 시스템에 의하여, 상기 패터닝 프로세스 파라미터의 값을 산출하도록, 상기 구조체의 상기 추가적 인스턴스의 측정된 광학 특성 값과 조합되기 위한 가중치에 대응하는 복수 개의 데이터-구동 값을 결정하는 단계 - 상기 설정 값 및 측정 결과는 상기 데이터-구동 값을 결정하기 위해서, 목적 함수 또는 메리트 함수 또는 머신 러닝 알고리즘에서 사용됨 -를 포함하는, 방법이 제공된다.
일 실시예에서, 상기 방법은, 상기 결정된 데이터-구동 값을 사용하여 상기 구조체의 수학 모델을 수정하는 단계, 및 상기 수학 모델을 사용하여 상기 구조체의 상기 추가적 인스턴스의 측정된 광학 특성 값과 조합되기 위한 가중치를 유도하는 단계를 더 포함한다. 일 실시예에서, 상기 방법은, 상기 수학 모델의 헤시안 행렬을 사용하여 상기 수학 모델에 구현된 상기 구조체의 공칭 프로파일의 값을 업데이트하는 단계를 더 포함한다. 일 실시예에서, 상기 방법은, 수정된 수학 모델의 헤시안 행렬을 사용하여 상기 구조체의 상기 추가적 인스턴스의 측정된 광학 특성 값과 조합되기 위한 가중치를 계산하는 단계를 더 포함한다. 일 실시예에서, 상기 측정 결과는 상기 구조체의 상이한 인스턴스에 의해 재지향된 방사선의 복수 개의 검출된 표현이다. 일 실시예에서, 상기 방사선의 검출된 표현은, 기판 상의 빔 스폿이 상기 구조체로 채워지도록, 상기 기판을 방사선 빔으로 조명함으로써 획득되었다. 일 실시예에서, 상기 방법은, 상기 구조체의 인스턴스에 의해 재지향된 것으로 기대되고 상기 패터닝 프로세스의 변동에 대해서 기대되는 방사선의 하나 이상의 합성 표현을 생성하는 단계를 더 포함하고, 상기 복수 개의 데이터-구동 값을 결정하는 것은, 상기 설정 값, 상기 측정 결과 및 상기 하나 이상의 합성 표현에 기초한다. 일 실시예에서, 상기 방사선의 하나 이상의 합성 표현은 상기 수학 모델의 헤시안 행렬을 사용하여 생성된다. 일 실시예에서, 상기 방사선의 하나 이상의 합성 표현은 비선형 시뮬레이션을 사용하여 생성된다. 일 실시예에서, 패터닝 프로세스 파라미터는 오버레이이다. 일 실시예에서, 상기 방법은, 상기 구조체의 추가적 인스턴스에 대한 상기 패터닝 프로세스 파라미터의 값을, 상기 구조체의 상기 추가적 인스턴스의 측정된 광학 특성 값과 조합하여 상기 복수 개의 가중치에 기초하여 결정하는 단계를 더 포함한다. 일 실시예에서, 측정된 광학 특성 값 각각은 퓨필 표현 내의 하나의 픽셀에 대응하고, 상기 방법은, 상기 추가적 인스턴스에 대한 패터닝 프로세스 파라미터의 값을, 상기 퓨필 표현의 복수 개의 픽셀에 대하여, 각각의 픽셀에 대한 연관된 가중치를 승산한 해당 픽셀에 대한 측정된 광학 특성 값의 합산에 기초하여 결정하는 단계를 더 포함하고, 상기 퓨필 표현의 비대칭 광학 특성 분포 부분 내의 픽셀에 대한 가중치는, 상기 퓨필 표현의 대칭 광학 특성 분포 부분 내의 픽셀에 대한 가중치와 다르다.
일 실시예에서, 패터닝 프로세스의 파라미터를 결정하는 방법으로서, 유닛 셀의 하나 이상의 물리적 인스턴스에 의해 재지향된 방사선의 검출된 표현을 획득하는 단계 - 상기 유닛 셀은 파라미터의 공칭 값에서 기하학적 대칭을 가지고, 상기 방사선의 검출된 표현은, 기판 상의 빔 스폿이 상기 유닛 셀의 하나 이상의 물리적 인스턴스로 채워지도록 상기 기판을 방사선 빔으로 조명함으로써 획득된 것임 -; 및 하드웨어 컴퓨터 시스템에 의하여 그리고 검출된 방사선 표현으로부터의 광학 특성 값으로부터, 동일한 광학 특성 값으로부터 역시 획득가능한, 상기 유닛 셀에 대한 제 2 타입의 파라미터와 별개로, 상기 유닛 셀에 대한 제 1 타입의 파라미터의 값을 결정하는 단계 - 상기 제 1 타입의 파라미터는 상기 제 2 타입의 파라미터와 상이한 방향이거나 상기 유닛 셀 중 상기 제 2 타입의 파라미터와 상이한 조합의 부분들 사이에 있는, 오버레이 결정 방법이 제공된다.
일 실시예에서, 이러한 파라미터는 오버레이를 포함한다. 일 실시예에서, 상기 제 1 및 제 타입의 파라미터는 상이한 방향 및 상기 유닛 셀의 동일한 제 1 부분 및 제 2 부분에 대한 것이다. 일 실시예에서, 제 1 타입의 파라미터는 유닛 셀 중 제 2 타입의 파라미터와 상이한 조합의 부분들 사이에 있다. 일 실시예에서, 상기 방법은, 제 1 타입의 파라미터의 값이 결정되는 것과 동일한 광학 특성 값으로부터, 제 2 타입의 파라미터의 값을 결정하는 단계를 더 포함한다. 일 실시예에서, 제 1 타입의 파라미터의 값을 결정하는 단계는, 픽셀 광학 특성 값에 대한 가중치의 세트를 사용한다. 일 실시예에서, 제 1 타입의 파라미터의 값은, 검출된 방사선 표현의 복수 개의 픽셀에 대하여, 각각의 픽셀에 대한 연관된 가중치를 승산한 해당 픽셀에 대한 광학 특성 값의 합산을 사용하여 결정된다. 일 실시예에서, 상기 파라미터에 의해 측정된 물리적 효과에 대해 더 큰 감도를 가지는 검출된 방사선 표현의 픽셀로부터 얻어진 광학 특성 값은, 상기 파라미터에 의해 측정된 물리적 효과에 대해 더 낮은 감도를 가지는 검출된 방사선의 다른 픽셀로부터 얻어진 광학 특성 값보다 상기 제 1 타입의 파라미터의 값을 결정하는 데에 더 많이 기여한다. 일 실시예에서, 검출된 방사선은 주성분으로 0차 방사선이었다. 일 실시예에서, 검출된 방사선 표현은 퓨필 표현이다. 일 실시예에서, 상기 검출된 방사선 표현은, 상기 검출된 방사선 표현의 대칭 광학 특성 분포의 광학 특성 값을 감소시키거나 제거하게끔, 대칭축에 걸쳐 광학 특성 값을 감산하도록 처리된다. 일 실시예에서, 광학 특성은 세기 및/또는 위상이다. 일 실시예에서, 구조체는 디바이스 구조체이다. 일 실시예에서, 상기 구조체는 디바이스 구조체를 포함하는 기판 다이 내의 비-디바이스 구조체이다. 일 실시예에서, 상기 검출된 방사선 표현은 상기 구조체를 생성하도록 에칭 프로세스 이후에 검출되었던 것이다.
일 실시예에서, 패터닝 프로세스의 파라미터를 결정하는 방법으로서, 유닛 셀의 하나 이상의 물리적 인스턴스에 의해 재지향된 방사선의 검출된 표현을 획득하는 단계 - 상기 유닛 셀은 파라미터의 공칭 값에서 기하학적 대칭을 가지고, 상기 방사선의 검출된 표현은, 기판 상의 빔 스폿이 상기 유닛 셀의 하나 이상의 물리적 인스턴스로 채워지도록 상기 기판을 방사선 빔으로 조명함으로써 획득된 것임 -; 및 하드웨어 컴퓨터 시스템에 의하여 그리고 검출된 방사선 표현으로부터의 광학 특성 값으로부터, 상기 유닛 셀의 제 1 부분과 상기 유닛 셀의 제 2 부분 사이에 대한 상기 파라미터의 값을, 상기 유닛 셀의 제 2 부분과 상기 유닛 셀의 제 3 부분 사이 또는 상기 유닛 셀의 제 3 부분과 상기 유닛 셀의 제 4 부분 사이의, 동일한 광학 특성 값으로부터 역시 획득가능한 상기 파라미터의 값과 별개로 결정하는 단계를 포함하는, 오버레이 결정 방법이 제공된다.
일 실시예에서, 이러한 파라미터는 오버레이를 포함한다. 일 실시예에서, 상기 방법은, 상기 광학 특성 값으로부터, 상기 유닛 셀 또는 각각의 유닛 셀의 제 2 부분과 제 3 부분 사이 또는 상기 유닛 셀 또는 각각의 유닛 셀의 제 3 부분과 제 4 부분 사이에 대한 상기 파라미터의 값을, 상기 유닛 셀 또는 각각의 유닛 셀의 제 1 부분과 제 2 부분 사이에 대한 상기 파라미터의 값과 별개로 결정하는 단계를 더 포함한다. 일 실시예에서, 상기 파라미터 값을 결정하는 단계는, 픽셀 광학 특성 값에 대한 가중치의 세트 사용한다. 일 실시예에서, 상기 파라미터 값은, 검출된 방사선 표현의 복수 개의 픽셀에 대하여, 각각의 픽셀에 대한 연관된 가중치를 승산한 해당 픽셀에 대한 광학 특성 값의 합산을 사용하여 결정된다. 일 실시예에서, 상기 파라미터에 의해 측정된 물리적 효과에 대해 더 큰 감도를 가지는 검출된 방사선 표현의 픽셀로부터 얻어진 광학 특성 값은, 상기 파라미터에 의해 측정된 물리적 효과에 대해 더 낮은 감도를 가지는 검출된 방사선 표현의 다른 픽셀로부터 얻어진 광학 특성 값보다 상기 파라미터의 값을 결정하는 데에 더 많이 기여한다. 일 실시예에서, 검출된 방사선은 주성분으로 0차 방사선이었다. 일 실시예에서, 검출된 방사선 표현은 퓨필 표현이다. 일 실시예에서, 상기 검출된 방사선 표현은, 상기 검출된 방사선 표현의 대칭 광학 특성 분포의 광학 특성 값을 감소시키거나 제거하게끔, 대칭축에 걸쳐 광학 특성 값을 감산하도록 처리된다. 일 실시예에서, 광학 특성은 세기 및/또는 위상이다. 일 실시예에서, 구조체는 디바이스 구조체이다. 일 실시예에서, 상기 구조체는 디바이스 구조체를 포함하는 기판 다이 내의 비-디바이스 구조체이다. 일 실시예에서, 방사선은 구조체를 생성하기 위한 에칭 프로세스 이후에 검출된다.
일 실시예에서, 파라미터 결정 프로세스를 구성하는 방법으로서, 기판 상의 구조체의 수학 모델을 획득하는 단계 - 상기 모델은 상기 구조체를 방사선 빔으로 조명하는 경우의 광학적 응답을 예측하도록 구성되고, 상기 구조체는 공칭 파라미터 값에서 기하학적 대칭을 가짐 -; 하드웨어 컴퓨터 시스템에 의하여, 상기 모델을 사용해서, 상기 구조체의 제 1 타입의 파라미터의 변화를 시뮬레이션하여 복수 개의 픽셀 각각 내의 상기 광학적 응답의 대응하는 제 1 변화를 결정하고, 제 2 타입의 파라미터의 변화를 시뮬레이션하여 복수 개의 픽셀 각각 내의 상기 광학적 응답의 대응하는 제 2 변화를 결정하는 단계 - 상기 제 1 타입의 파라미터는 상기 제 2 타입의 파라미터와 상이한 방향이거나 상기 제 타입의 파라미터와는 상기 구조체의 부분들의 상이한 조합 사이에 있음 -; 및 상기 광학적 응답의 상기 제 1 변화와 제 2 변화에 기초하여, 상기 제 타입의 파라미터와 별개로 동일한 측정된 광학 특성 값으로부터 얻어지는 제 1 타입의 파라미터의 값을 산출하도록, 측정된 픽셀 광학 특성 값과 조합되기 위한 복수 개의 가중치를 결정하는 단계를 포함하는, 파라미터 결정 프로세스 구성 방법이 제공된다.
일 실시예에서, 이러한 파라미터는 오버레이를 포함한다. 일 실시예에서, 상기 제 1 타입의 파라미터에 대한 복수 개의 가중치는, 상기 복수 개의 픽셀의 광학적 응답의 제 2 변화의 측면에서 상기 제 2 타입의 파라미터의 변화에 대응하는 벡터의 직교선(orthogonal)에 대한, 상기 복수 개의 픽셀의 광학적 응답의 제 1 변화의 측면에서 상기 제 1 타입의 파라미터의 변화에 대응하는 벡터의 후면 투영의 결과를 사용하여 결정되는, 파라미터 결정 프로세스 구성 방법이 제공된다. 일 실시예에서, 상기 방법은, 상기 광학적 응답의 제 1 변화와 제 2 변화에 기초하여, 상기 제 1 타입의 파라미터와 별개로 상기 측정된 광학 특성 값으로부터 얻어지는 제 2 타입의 파라미터의 값을 산출하도록, 측정된 픽셀 광학 특성 값과 조합되기 위한 복수 개의 가중치를 결정하는 단계를 더 포함한다. 일 실시예에서, 상기 제 2 타입의 파라미터에 대한 복수 개의 가중치는, 상기 복수 개의 픽셀의 광학적 응답의 제 1 변화의 측면에서 상기 제 1 타입의 파라미터의 변화에 대응하는 벡터의 직교선에 대한, 상기 복수 개의 픽셀의 광학적 응답의 제 2 변화의 측면에서 상기 제 2 타입의 파라미터의 변화에 대응하는 벡터의 후면 투영의 결과를 사용하여 결정된다. 일 실시예에서, 상기 가중치는, 상기 제 1 타입의 파라미터 및/또는 제 2 타입의 파라미터가, 상기 검출된 방사선 표현의 복수 개의 픽셀에 대하여, 각각의 픽셀에 대한 연관된 가중치를 승산한 해당 픽셀에 대한 광학 특성 값의 합산을 사용하여 결정되도록 구성된다. 일 실시예에서, 상기 광학적 응답은 퓨필 이미지의 형태인 상기 광학 특성을 포함한다. 일 실시예에서, 광학적 응답은 주성분으로 0차 방사선에 대한 것이다. 일 실시예에서, 광학 특성은 세기 및/또는 위상이다. 일 실시예에서, 구조체는 디바이스 구조체이다. 일 실시예에서, 상기 구조체는 디바이스 구조체를 포함하는 기판 다이 내의 비-디바이스 구조체이다.
일 실시예에서, 제 1 패터닝 프로세스에 의해 생성되도록 구성되는 제 1 구조체; 및 제 2 패터닝 프로세스에 의해 생성되도록 구성되는 제 2 구조체를 포함하는 계측 타겟이 제공되는데, 제 1 구조체 및/또는 제 2 구조체는 디바이스 패턴의 기능성 양태를 생성하도록 사용되지 않고, 제 1 및 제 2 구조체는 유닛 셀의 하나 이상의 인스턴스를 함께 형성하며, 유닛 셀은 공칭 물리적 구성에서 기하학적 대칭을 가지고, 유닛 셀은, 제 1 패터닝 프로세스, 제 2 패터닝 프로세스 및/또는 다른 패터닝 프로세스에서의 패턴 배치의 상대적인 시프트에 기인하여 공칭 물리적 구성과 상이한 물리적 구성에서, 유닛 셀 내에 비대칭이 생기게 하는 피쳐를 가지는, 계측 타겟이 제공된다.
일 실시예에서, 상기 제 1 구조체는 제 1 치수 및/또는 재료의 구조체를 포함하고, 상기 제 2 구조체는 제 2 치수 또는 재료의 구조체를 포함하며, 상기 피쳐는 상기 제 2 치수 및/또는 재료와 상이한 상기 제 1 치수 및/또는 재료를 포함한다. 일 실시예에서, 상기 제 1 구조체는 제 1 방향의 어레이로 배열된 구조체를 포함하고, 적어도 하나의 이러한 구조체는 상기 제 1 방향에 실질적으로 수직인 제 2 방향을 따라서 배열된, 보이드에 의해 분리되는 복수 개의 서브-구조체를 포함하며, 및/또는 상기 제 2 구조체는 제 1 방향의 어레이로 배열된 구조체를 포함하고, 적어도 하나의 이러한 구조체는 상기 제 1 방향에 실질적으로 수직인 제 2 방향을 따라서 배열된, 보이드에 의해 분리되는 복수 개의 서브-구조체를 포함하며, 상기 피쳐는 상기 제 1 구조체 및/또는 상기 제 2 구조체의 보이드를 포함한다. 일 실시예에서, 상기 제 1 구조체 및/또는 상기 제 2 구조체의 보이드는 상기 제 1 및 제 2 패터닝 프로세스와 상이한 패터닝 프로세스를 사용하여 생성된다. 일 실시예에서, 상기 제 1 구조체는 상기 보이드를 포함하고, 상기 제 2 구조체는 상기 보이드를 포함한다. 일 실시예에서, 상기 제 1 구조체의 보이드는 상기 제 2 구조체의 보이드와 상이한 피치를 가진다. 일 실시예에서, 공칭 물리적 구성에서, 상기 제 1 구조체의 적어도 하나의 보이드는 상기 제 2 구조체의 적어도 하나의 보이드와 정렬된다. 일 실시예에서, 상기 제 1 구조체는 폐곡선 구조체를 포함하고, 상기 제 2 구조체는 폐곡선 구조체를 포함한다. 일 실시예에서, 상기 구조체는, 상기 구조체가 제 2 어레이로 배열되거나 상기 구조체가 상기 구조체의 제 3 어레이로 배열되는 방향에 실질적으로 수직인 방향으로 제 1 어레이로 배열된다.
일 실시예에서, 데이터 구조체가 기록된 비-일시적 컴퓨터 판독가능 매체를 포함하는 컴퓨터 프로그램 제품이 제공되는데, 데이터 구조체는 본 명세서에서 설명되는 바와 같은 계측 타겟에 대응한다. 일 실시예에서, 본 명세서에서 설명된 바와 같은 계측 타겟에 대응하는 패턴을 포함하는 레티클이 제공된다.
일 실시예에서, 계측 타겟에 대한 제 1 구조체를 생성하는 단계 - 제 1 구조체는 디바이스의 대응하는 디바이스 피쳐를 생성하는 제 1 패터닝 프로세스에 의해 생성될 것임 -; 상기 계측 타겟에 대한 제 2 구조체를 생성하는 단계 - 제 2 구조체는 디바이스의 대응하는 추가적 디바이스 피쳐를 생성하는 제 2 패터닝 프로세스에 의해 생성될 것이고, 상기 제 1 구조체와 제 2 구조체는 유닛 셀의 하나 이상의 인스턴스를 함께 형성하며, 상기 유닛 셀은 공칭 물리적 구성에서 기하학적 대칭을 가짐 -; 및 상기 디바이스 내의 디바이스 피쳐의 기대 위치로부터의 상기 디바이스 내의 디바이스 피쳐의 위치의 상대적인 시프트에 기인하여 공칭 물리적 구성과 상이한 물리적 구성에서, 유닛 셀 내에 비대칭이 생기게 하는 피쳐를 상기 계측 타겟 내에 도입하는 단계를 포함하는 방법이 제공된다.
일 실시예에서, 상기 제 1 구조체의 피쳐는 상기 디바이스의 대응하는 피쳐와 실질적으로 동일한 치수 및/또는 피치를 가지고, 및/또는 상기 제 2 구조체의 피쳐는 상기 디바이스의 대응하는 피쳐와 실질적으로 동일한 치수 및/또는 피치를 가진다. 일 실시예에서, 상기 계측 타겟 내의 상기 피쳐는, 제 1 방향의 상대적인 시프트에 대해서 상기 유닛 셀 내에 제 1 타입의 비대칭을 초래하고, 상이한 제 2 방향의 상대적인 시프트에 대해서 상기 유닛 셀 내에 상이한 제 2 타입의 비대칭을 초래한다. 일 실시예에서, 상기 방법은, 상기 계측 타겟의 인쇄가능성, 상기 계측 타겟의 검출가능성, 프로세스 변동에 대한 상기 계측 타겟의 견실성, 및/또는 디바이스 패턴에 대한 상기 계측 타겟의 매칭으로부터 선택된 하나 이상을 평가하는 단계를 더 포함한다. 일 실시예에서, 상기 방법은, 상기 디바이스 패턴에 대한 상기 계측 타겟의 매칭 및 상기 계측 타겟의 검출가능성을 반복적으로 평가하는 단계를 포함한다.
일 실시예에서, 패터닝 프로세스의 파라미터의 값을 결정하도록, 패터닝 프로세스를 사용하여 기판에 전사된 본 명세서에서 설명된 바와 같은 계측에 의해 재지향된 방사선을 측정하는 단계를 포함하는 방법이 제공된다. 일 실시예에서, 파라미터는 오버레이 및/또는 에지 배치 오차를 포함한다.
도 32 를 참조하면, 컴퓨터 시스템(3200)이 도시된다. 컴퓨터 시스템(3200)은 정보를 통신하기 위한 버스(3202) 또는 다른 통신 매커니즘과, 정보를 처리하기 위하여 버스(3202)와 커플링된 프로세서(3204)(또는 여러 프로세서들(3204 및 3205)을 포함한다. 컴퓨터 시스템(3200)은 프로세서(3204)에 의하여 실행될 정보 및 명령을 저장하기 위하여 버스(3202)에 커플링되는, 랜덤 액세스 메모리(RAM) 또는 다른 동적 스토리지 디바이스와 같은 메인 메모리(3206)를 더 포함한다. 메인 메모리(3206)는 프로세서(3204)에 의하여 실행될 명령이 실행되는 도중에 일시적 변수 또는 다른 중간 정보를 저장하기 위해서도 사용될 수 있다. 컴퓨터 시스템(3200)은 프로세서(3204)에 대한 정적 정보 및 명령을 저장하기 위하여 버스(3202)에 커플링된 판독 전용 메모리(ROM)(3208) 또는 다른 정적 스토리지 디바이스를 더 포함한다. 자기적 디스크 또는 광학적 디스크와 같은 스토리지 디바이스(3210)가 제공되고 정보 및 명령을 저장하기 위하여 버스(3202)에 커플링된다.
컴퓨터 시스템(3200)은 정보를 컴퓨터 사용자에게 디스플레이하기 위하여, 버스(3202)를 통해서 음극선관(CRT) 또는 평판 또는 터치 패널 디스플레이와 같은 디스플레이(3212)에 커플링될 수 있다. 영숫자 키와 다른 키들을 포함하는 입력 디바이스(3214)는 정보 및 커맨드 셀렉션을 프로세서(3204)로 통신하기 위하여 버스(3202)에 커플링된다. 다른 타입의 사용자 입력 디바이스는, 지시 정보와 커맨드 셀렉션을 프로세서(3204)로 통신하고 디스플레이(3212) 상에서의 커서 움직임을 제어하기 위한, 마우스, 트랙볼, 또는 커서 방향 키와 같은 커서 콘트롤(3216)이다. 이러한 입력 디바이스는 통상적으로 두 개의 축인 제 1 축(예를 들어, x)과 제 2 축(예를 들어, y)에서 2-자유도를 가져서, 디바이스가 평면에서의 위치를 특정하게 한다. 터치 패널(스크린) 디스플레이가 입력 디바이스로서 사용될 수도 있다.
컴퓨터 시스템(3200)은 프로세서(3204)가 메인 메모리(3206) 내에 저장된 하나 이상의 명령 중 하나 이상의 시퀀스를 실행하는 것에 응답하여, 여기서는 처리 유닛으로서의 기능을 수행하기에 적합할 수 있다. 이러한 명령들은 스토리지 디바이스(3210)와 같은 다른 컴퓨터-판독가능 매체로부터 메인 메모리(3206)로 독출될 수 있다. 메인 메모리(3206)에 포함된 명령의 시퀀스를 실행하면, 프로세서(3204)는 본 명세서에서 설명되는 프로세스를 수행하게 된다. 메인 메모리(3206)에 포함된 명령의 시퀀스를 실행하기 위하여, 다중 처리 장치 내의 하나 이상의 프로세서가 채용될 수도 있다. 다른 실시예에서, 소프트웨어 명령 대신에 또는 이와 조합되어 유선 회로부가 사용될 수도 있다. 따라서, 실시예들은 하드웨어 회로와 소프트웨어의 임의의 특정한 조합으로 한정되지 않는다.
"컴퓨터-판독가능 매체"라는 용어는 본 명세서에서 사용될 때 실행되도록 프로세서(3204)로 명령을 제공하는 데에 참여하는 임의의 유형의(tangible) 매체를 가리킨다. 이러한 매체는 비-휘발성 미디어, 휘발성 미디어, 및 송신 미디어를 포함하지만 이들로 한정되지는 않는 많은 형태를 취할 수도 있다. 비-휘발성 미디어는 예를 들어, 스토리지 디바이스(3210)와 같은 광학적 또는 자기적 디스크를 포함한다. 휘발성 미디어는 메인 메모리(3206)와 같은 동적 메모리를 포함한다. 송신 미디어는 동축 케이블, 구리 배선, 및 버스(3202)를 포함하는 와이어를 포함하는 광섬유(fiber optics)를 포함한다. 송신 미디어는 무선 주파수(RF) 및 적외선(IR) 데이터 통신 중에 생성되는 것과 같은 음파 또는 광파의 형태를 띨 수도 있다. 컴퓨터-판독가능 미디어의 공통 형태는, 예를 들어 플로피 디스크, 가요성 디스크, 하드 디스크, 자기 테이프, 및 임의의 다른 자기적 매체, 자기-광학적 매체, CD-ROM, DVD, 임의의 다른 광학적 매체, 펀치 카드, 종이 테이프, 홀들의 패턴을 가진 임의의 다른 물리적 매체, RAM, PROM, 및 EPROM, FLASH EPROM, 임의의 다른 메모리 칩 또는 카트리지, 후술될 반송파, 또는 컴퓨터가 판독할 수 있는 임의의 다른 매체를 포함한다.
다양한 형태의 컴퓨터 판독가능 매체들이 하나 이상의 명령의 하나 이상의 시퀀스를 실행되도록 프로세서(3204)로 운반하는 것에 수반될 수 있다. 예를 들어, 명령들은 처음에 원격 컴퓨터의 자기적 디스크 상에 보유될 수도 있다. 원격 컴퓨터는 명령들을 자신의 동적 메모리 내로 로딩하고 명령들을 모뎀을 사용하여 전화선을 통해 전송할 수 있다. 컴퓨터 시스템(3200)에 국지적으로 보유되는 모뎀은 전화선에서 데이터를 수신하고, 적외선 송신기를 사용하여 이러한 데이터를 적외선 신호로 변환한다. 버스(3202)에 커플링된 적외선 검출기는 적외선 신호에서 운반되는 데이터를 수신하고, 이러한 데이터를 버스(3202)에 로딩할 수 있다. 버스(3202)는 데이터를 메인 메모리(3206)로 운반하며, 프로세서(3204)는 이로부터 명령들을 취출하고 실행한다. 메인 메모리(3206)로부터 수신된 명령들은 프로세서(3204)에 의한 실행 이전에 또는 그 이후에 선택적으로 스토리지 디바이스(3210)에 저장될 수 있다.
컴퓨터 시스템(3200)은 버스(3202)에 커플링된 통신 인터페이스(3218)를 더 포함할 수 있다. 통신 인터페이스(3218)는 로컬 네트워크(3222)에 연결된 네트워크 링크(3220)로 양-방향 데이터 통신 커플링을 제공한다. 예를 들어, 통신 인터페이스(3218)는 대응하는 타입의 전화선에 데이터 통신 연결을 제공하기 위한 종합 정보 통신망(integrated services digital network; ISDN) 카드 또는 모뎀일 수 있다. 다른 예로서, 통신 인터페이스(3218)는 호환가능한 LAN에 데이터 통신 연결을 제공하기 위한 근거리 네트워크(LAN) 카드일 수 있다. 무선 링크가 구현될 수도 있다. 임의의 이러한 구현형태에서, 통신 인터페이스(3218)는 다양한 타입의 정보를 나타내는 디지털 데이터 스트림을 운반하는 전기적, 전자기적 또는 광학적 신호를 전송하고 수신한다.
네트워크 링크(3220)는 통상적으로 하나 이상의 네트워크를 통해 다른 데이터 디바이스로 데이터 통신을 제공한다. 예를 들어, 네트워크 링크(3220)는 로컬 네트워크(3222)를 통해 호스트 컴퓨터(3224) 또는 인터넷 서비스 제공자(ISP)(3226)에 의하여 작동되는 데이터 장비로 연결을 제공할 수 있다. 이제 ISP(3226)는, 현재 일반적으로 "인터넷(3228)"이라고 불리는 월드와이드 패킷 데이터 통신 네트워크를 통해 데이터 통신 서비스를 제공한다. 로컬 네트워크(3222)와 인터넷(3228) 양자 모두는 디지털 데이터 스트림을 운반하는 전기적, 전자기적 또는 광학적 신호를 사용한다. 컴퓨터 시스템(3200)으로의 또는 그로부터의 디지털 데이터를 운반하는, 다양한 네트워크들을 통과하는 신호와 네트워크 링크(3220)를 통과하고 통신 인터페이스(3218)를 통과하는 신호는 정보를 수송하는 반송파의 예시적인 형태들이다.
컴퓨터 시스템(3200)은 네트워크(들), 네트워크 링크(3220), 및 통신 인터페이스(3218)를 통해서, 메시지를 전송하고 프로그램 코드를 포함하는 데이터를 수신할 수 있다. 인터넷의 예에서, 서버(3230)는 애플리케이션 프로그램에 대한 요청된 코드를 인터넷(3228), ISP(3226), 로컬 네트워크(3222) 및 통신 인터페이스(3218)를 통해 송신할 수 있다. 하나 이상의 실시예에 따르면, 이러한 하나의 다운로드된 애플리케이션은, 예를 들어 본 명세서에 개시된 방법을 제공한다. 수신된 코드는 수신될 때 프로세서(3204)에 의하여 실행되고, 및/또는 추후에 실행되도록 스토리지 디바이스(3210), 또는 다른 비-휘발성 스토리지에 저장될 수 있다. 이러한 방식으로, 컴퓨터 시스템(3200)은 애플리케이션 코드를 반송파의 형태로 획득할 수 있다.
예컨대, 본 발명의 실시예는 본 명세서에 개시된 바와 같은 방법을 기술하는 기계 판독 가능한 명령어들의 하나 이상의 시퀀스들을 포함하는 컴퓨터 프로그램, 또는 이러한 컴퓨터 프로그램이 저장되는 데이터 저장 매체(예컨대, 반도체 메모리, 자기 디스크 또는 광디스크)의 형태를 취할 수 있다. 더 나아가, 기계 판독 가능한 명령어는 두 개 이상의 컴퓨터 프로그램에서 구현될 수 있다. 두 개 이상의 컴퓨터 프로그램은 하나 이상의 상이한 메모리 및/또는 데이터 저장 미디어에 저장될 수 있다.
본 명세서에서 설명되는 임의의 제어기는 하나 이상의 컴퓨터 프로그램이 리소그래피 장치의 적어도 하나의 컴포넌트 내에 위치된 하나 이상의 컴퓨터 프로세서에 의해 판독되는 경우 각각 또는 조합되어 동작될 수 있다. 제어기는 각각 또는 조합하여 신호를 수신, 처리, 및 송신하기에 적합한 임의의 구성을 가질 수 있다. 하나 이상의 프로세서는 제어기 중 적어도 하나와 통신하도록 구성된다. 예를 들어, 각각의 제어기는 전술된 방법에 대한 머신-판독가능 명령을 포함하는 컴퓨터 프로그램을 실행하기 위한 하나 이상의 프로세서를 포함할 수 있다. 제어기는 이러한 컴퓨터 프로그램을 저장하기 위한 데이터 저장 매체, 및/또는 이러한 매체를 수용하기 위한 하드웨어를 포함할 수 있다. 그러므로, 제어기(들)는 하나 이상의 컴퓨터 프로그램의 머신 판독가능 명령에 따라 동작할 수 있다.
비록 본문에서 IC의 제조에서 계측 장치를 사용하는 것에 대해서 특별히 언급하였지만, 본 명세서에서 설명되는 계측 장치와 프로세스는 다른 응용 분야, 예컨대 집적 광 시스템의 제조, 자기장 도메인 메모리용 유도 및 검출 패턴, 평판 디스플레이, 액정 디스플레이(LCD), 박막 자기 헤드 등을 가질 수 있다는 것이 이해되어야 한다. 당업자는, 이러한 다른 응용예의 문맥에서, 본 명세서에서 사용된 "웨이퍼" 또는 "다이"와 같은 어떠한 용어의 사용도 각각 "기판" 또는 "타겟부"와 같은 좀 더 일반적인 용어와 동의어로 간주될 수 있음을 이해할 것이다. 본 명세서에서 기판은, 예를 들어 트랙(통상적으로 레지스트 층을 기판에 도포하고 노광된 레지스트를 현상하는 툴), 계측 툴 및/또는 하나 이상의 다양한 다른 툴에서, 노광 전 또는 노광 후에 처리될 수 있다. 적용 가능한 범위에서, 본 명세서에서의 개시물은 이러한 기판 처리 툴 및 다른 기판 처리 툴에 적용될 수 있다. 또한, 예컨대 다층 집적회로를 생성하기 위하여 기판이 복수 회 처리될 수 있으므로, 본 명세서에 사용되는 기판이라는 용어는 이미 여러 번 처리된 층들을 포함한 기판을 지칭할 수 있다.
비록 위에서 광 리소그래피의 콘텍스트에서 본 발명의 실시예를 사용하는 것에 대해 특정하여 언급하였지만, 본 발명이 다른 애플리케이션, 예를 들어 나노임프린트(nanoimprint) 리소그래피에서 사용될 수도 있고, 콘텍스트가 허용하는 경우 광 리소그래피로 제한되는 것이 아니라는 것이 인정될 것이다. 나노임프린트 리소그래피의 경우, 패터닝 디바이스는 임프린트 템플릿 또는 몰드이다.
본원에서 사용된 "방사선" 및 "빔"이라는 용어는, 자외(UV) 방사선(예컨대, 약 365, 355, 248, 193, 157, 또는 126 nm의 파장을 가짐) 및 극자외(EUV) 방사선(예컨대, 5-20 nm 범위의 파장을 가짐), 및 이온 빔 또는 전자 빔과 같은 입자 빔을 포함하는, 모든 타입의 전자기 방사선을 망라한다.
본 명세서에 사용된 "렌즈"라는 용어는, 문맥이 허용한다면, 굴절, 회절, 반사, 자기, 전자자기, 및 정전기 광 컴포넌트를 포함하는 다양한 타입의 광 컴포넌트 중 임의의 것 또는 조합을 가리킬 수 있다.
본 명세서에서, 임계를 넘어가거나 통과한다는 것은, 특정 값 미만 또는 특정한 값 이하인 값을 가지는 어떤 것, 특정 값보다 높거나 특정 값 이상인 어떤 것, 예를 들어 파라미터 등에 기초하여 다른 것보다 높거나 낮게 랭킹된(예를 들어 정렬을 통해) 어떤 것을 포함할 수 있다.
본 명세서에서 오차의 정정함(correcting) 또는 정정(correction)은, 오차를 제거하거나 오차를 공차 범위 내로 감소시키는 것을 포함한다.
"최적화함(optimizing)" 및 "최적화(optimization)" 라는 용어는 본 명세서에서 사용될 때, 리소그래피 또는 패터닝 처리의 결과 및/또는 프로세스가 더 바람직한 특성, 예컨대 기판 상의 설계 레이아웃의 투영의 더 높은 정확도, 더 큰 프로세스 윈도우 등과 같이 더 양호한 특성을 가지도록 리소그래피 장치, 패터닝 프로세스 등을 조절하는 것을 가리키거나 의미한다. 따라서, "최적화함(optimizing)" 및 "최적화(optimization)" 라는 용어는 본 명세서에서 사용될 때, 하나 이상의 변수에 대한 하나 이상의 값들의 초기 세트와 비교할 때, 적어도 하나의 관련된 메트릭에서, 개선, 예를 들어 국지적인 최적값을 제공하는, 그러한 하나 이상의 변수에 대한 하나 이상의 값들을 식별하는 프로세스를 가리키거나 의미한다. "최적" 및 다른 관련된 용어는 이에 상응하게 해석되어야 한다. 일 실시예에서, 최적화 단계는 하나 이상의 메트릭에서 추가적인 개선을 제공하도록 반복적으로 적용될 수 있다.
시스템의 최적화 프로세스에서, 시스템 또는 프로세스의 성능 지수는 비용 함수로서 표현될 수 있다. 최적화 프로세스는 비용 함수를 최적화(예를 들어 최소화 또는 최대화)하는 시스템 또는 프로세스의 파라미터들(설계 변수)의 세트를 찾는 과정이 된다. 비용 함수는 최적화의 목표에 따라 임의의 적합한 형태를 가질 수 있다. 예를 들어, 비용 함수는 시스템 또는 프로세스의 특정한 특성(평가 포인트)의 이러한 특성의 의도된 값(예를 들어, 이상적인 값)에 대한 편차의 가중치가 부여된 평균제곱근(RMS)일 수 있다; 비용 함수는 또한 이러한 편차들 중 최대값(예를 들어 최악의 편차)일 수도 있다. 본 명세서에서 "평가 포인트"라는 용어는 시스템 또는 프로세스의 임의의 특성을 포함하도록 넓게 해석되어야 한다. 시스템 또는 프로세스의 설계 변수는 유한 범위로 한정되거나 및/또는 시스템의 구현형태들의 실용성 때문에 상호의존적일 수 있다. 리소그래피 장치 또는 디바이스 제조 프로세스의 경우에, 이러한 제약들은 흔히 튜닝가능한 범위, 및/또는 패터닝 디바이스 제조성(manufacturability) 설계 규칙과 같은 하드웨어의 물리적 성질 및 특성과 연관되며, 평가 포인트는 기판 상의 레지스트상 상의 물리적 포인트, 및 선량 및 초점과 같은 비-물리적 특성을 포함할 수 있다.
비록 본 발명의 특정한 실시예가 위에서 설명되었지만, 본 발명은 설명된 것과 다르게 실시될 수 있다는 것이 이해될 것이다. 예컨대, 본 발명의 실시예는 위에서 개시된 바와 같은 방법을 기술하는 기계 판독 가능한 명령어들의 하나 이상의 시퀀스들을 포함하는 컴퓨터 프로그램, 또는 이러한 컴퓨터 프로그램이 저장되는 데이터 저장 매체(예컨대, 반도체 메모리, 자기 디스크 또는 광디스크)의 형태를 취할 수 있다.
블록도에서, 예시된 컴포넌트들은 이산 기능성 블록으로서 도시되지만, 실시예들은 본 명세서에서 설명된 기능성이 도시된 바와 같이 조직된 시스템으로 한정되지 않는다. 컴포넌트들 각각에 의해 제공되는 기능성은 도면에 도시된 것과 달리 조직된 소프트웨어 또는 하드웨어 모듈에 의하여 제공될 수 있으며, 예를 들어 이러한 소프트웨어 또는 하드웨어는 상호혼합, 공동결합, 복제, 분리, 분산(예를 들어 데이터 센터 내에서 또는 지리적으로), 또는 다른 식으로 조직화될 수 있다. 본 명세서에서 설명된 기능성은 유형의(tangible) 비-일시적 머신 판독가능 매체에 저장된 코드를 실행하는 하나 이상의 컴퓨터의 하나 이상의 프로세서에 의해 제공될 수 있다. 일부 경우에, 제 3 자 콘텐츠 전달 네트워크가 네트워크들을 거쳐 전달되는 정보의 일부 또는 전부를 호스팅할 수 있는데, 이러한 경우에, 정보(예를 들어, 콘텐츠)가 공급되거나 다른 방식으로 제공된다고 언급되는 범위에서, 이러한 정보는 해당 정보를 콘텐츠 전달 네트워크로부터 취출하라는 명령을 전송함으로써 제공한다.
명백하게 달리 진술되지 않는 한, 본 명세서로부터 명백한 것처럼, 명세서 전체를 통해 "처리" "계산" "연산" "결정" 등과 같은 용어를 활용한 설명은 또는 특수 목적 컴퓨터 또는 유사한 특수 목적 전자적 처리/계산 디바이스와 같은 특정 장치의 동작 또는 프로세스를 가리키는 것이라는 것이 이해된다.
독자는 본 발명이 여러 개의 발명을 기술한다는 것을 이해해야 한다. 그들의 관련된 기술 요지가 출원 프로세스에서 경제성을 스스로 가질 수 있기 때문에, 그러한 발명들을 다수의 개별 특허 출원으로 분리하는 것보다, 출원인은 이러한 발명들을 단일 문서 내에 그룹화했다. 하지만 이러한 발명들의 별개의 장점 및 양태들은 합쳐져서는 안 된다. 일부 경우에, 실시예들은 본 명세서에 지적되지 않은 흠결들 모두를 해결하지만, 이러한 발명들이 독립적으로 유용하며, 일부 실시예는 이러한 문제점들의 부분 집합만을 해결하거나, 본 명세서를 검토한 당업자에게는 명백하게 이해될 언급되지 않은 다른 장점들을 제공한다는 것이 이해되어야 한다. 비용 제약 때문에, 본 명세서에 개시된 일부 발명은 현 상태로는 청구되지 않으며, 계속 출원과 같은 후속하는 출원에서 또는 현재의 청구항을 보정함으로써 청구될 수 있다. 이와 유사하게, 공간 제약 때문에, 본 명세서의 요약서 및 본 발명의 요약 섹션은 이러한 모든 발명 또는 이러한 발명의 모든 양태에 대한 광범위한 나열을 포함하고 있는 것으로 간주되어서는 안 된다.
상세한 설명 및 도면은 본 발명을 개시된 특정한 형태로 한정시키려는 의도가 전혀 없으며, 그 반대로 첨부된 청구범위에 규정되는 바와 같은 본 발명의 사상 및 범위에 속하는 모든 변형예, 균등물, 및 대체예들을 포함하는 것이 의도된다는 것이 이해되어야 한다.
본 발명의 다양한 양태의 변형 및 대안적 실시예는 본 명세서를 참조하면 당업자들에게 명백하게 이해될 것이다. 따라서, 이러한 상세한 설명 및 도면은 오직 예를 들기 위한 것이고 당업자들에게 본 발명을 실시하는 일반적인 방식을 알려주기 위한 것으로 해석되어야 한다. 본 명세서에서 도시되고 설명되는 본 발명의 형태들이 실시예들의 예로서 간주되어야 한다는 것이 이해되어야 한다. 본 발명의 상세한 설명의 장점을 가지면 당업자에게 명백해질 수 있는 것처럼, 요소 및 재료는 본 명세서에서 예시되고 설명되는 것들을 대체할 수 있고, 부분들과 프로세스들은 반전되거나 생략될 수 있으며, 특정 특징들은 독립적으로 활용될 수 있고, 실시예들 또는 실시예의 특징들은 결합될 수 있다. 후속하는 청구범위에서 기술되는 바와 같은 본 발명의 사상 및 범위에서 벗어나지 않으면서, 본 명세서에서 설명되는 요소는 변경될 수 있다. 본 명세서의 각주는 기관의 편의만을 위한 것이고 본 발명의 범위를 한정하는 것을 의미하지 않는다.
본 명세서 전체에서 사용될 때, "~ 수 있다(may)"는 단어는 강제적인 의미(즉, 해야함(must)을 의미)하는 것이 아니라 허용하는 의미(즉, 가능성이 있음을 의미)에서 사용된다. 단어 "포함", "포함하는", 및 "포함한다" 등은, 포함하지만 그것으로 제한되는 것은 아니라는 것을 의미한다. 본 명세서 전체에서 사용될 때, 단수 형태인 "한" "하나" 및 "그것"은 문맥이 그렇지 않다고 명백하게 표시하지 않으면 복수의 참조 부재를 포함한다. 따라서, 예를 들어 "하나의(an)" 요소 또는 "한(a)" 요소를 가리키는 것은, "하나 이상의"와 같이 하나 이상의 요소에 대해서 다른 용어 및 어구가 있지만, 두 개 이상의 요소의 조합을 포함한다. 용어 "또는"은, 그렇지 않다고 표시되지 않으면, 비-배타적이고, 즉, "및"과 "또는" 양자 모두를 망라한다. 조건 관계를 설명하는 용어, 예를 들어 "X에 응답하여 Y가", "X의 경우, Y가", "X면, Y가," "X일 경우, Y가" 등은, 선행사가 결과의 필요인과 조건이거나, 선행사가 충분한인과 조건이거나, 또는 선행사가 원인이 되는(constributory)인과 조건인 인과 관계들을 망라하는데, 예를 들어 "조건 Y가 달성되면 상태 X가 발생한다"는 "Y의 경우에만 X가 발생한다 " 및 Y 및 Z의 경우 "X가 발생한다"에 대한 통칭이다. 이러한 조건 관계는 선행사가 달성되는 것에 바로 후속하는 결과로 한정되지 않는데 이것은 일부 결과가 지연될 수 있기 때문이고, 조건부 진술에서, 선행사는 그 결과와 연결되는데, 예를 들어 선행사는 결과가 발생할 가능성과 관련된다. 복수 개의 속성 또는 기능이 복수 개의 대상물(예를 들어, 단계 A, B, C, 및 D를 수행하는 하나 이상의 프로세서)로 매핑된다는 진술은, 달리 표시되지 않는 한, 모든 이러한 속성 또는 기능이 이러한 모든 대상물로 매핑된다는 것 및 속성 또는 기능의 서브세트가 속성 또는 기능의 서브세트로 매핑된다는 것 양자 모두(예를 들어, 모든 프로세서가 각각 단계 A-D를 수행한다는 것, 및 프로세서 1 이 단계 A를 수행하고, 프로세서 2 가 단계 B 및 단계 C의 일부를 수행하며, 및 프로세서 3 이 단계 C의 일부와 단계 D를 수행하는 경우 양자 모두)를 망라한다. 더 나아가, 달리 표시되지 않는 한, 하나의 값 또는 동작이 다른 조건 또는 값에 "기초한다"는 진술은, 조건 또는 값이 유일한 인자인 경우 및 조건 또는 값이 여러 인자들 중 하나의 인자인 경우 양자 모두를 망라한다. 달리 표시되지 않는 한, 일부 콜렉션 중 "각각의" 인스턴스가 일부 특성을 가진다는 진술은, 더 큰 콜렉션의 일부의 그렇지 않으면 동일하거나 유사한 원소들이 그러한 특성을 가지지 않는 경우를 배제하는 것으로 해석되어서는 안 되고, 즉 각각이란 반드시 각각 그리고 모두를 의미하는 것은 아니다.
특정 미국 특허, 미국 특허 출원, 또는 다른 문헌(예를 들어, 자료)이 원용되어 통합된다는 범위에 대해서, 이러한 미국 특허, 미국 특허 출원, 및 다른 문헌은 이러한 문헌과 본원에 언급된 진술 및 도면 사이에 상충이 존재하지 않는 범위에서 원용에 의해 본원에 통합된다. 이러한 상충이 있는 경우, 본 명세서에서 원용에 의해 통합되는 이러한 미국 특허, 미국 특허 출원, 및 다른 문헌 내의 임의의 이러한 상충되는 내용은 구체적으로 본 명세서에 원용에 의해 통합되지 않는다.
위의 설명은 한정적인 것이 아니라 예시적인 의도로 제공된다. 따라서, 다음 진술되는 청구항의 범위로부터 벗어나지 않으면서, 기술된 바와 같은 본 발명이 변경될 수 있다는 것이 당업자에게는 명백할 것이다.

Claims (25)

  1. 패터닝 프로세스의 오버레이를 결정하는 방법으로서,
    기판 상의 빔 스폿이 유닛 셀의 하나 이상의 물리적 인스턴스로 채워지도록 상기 기판을 방사선 빔으로 조명하는 단계 - 상기 유닛 셀은 오버레이의 공칭 값에서 기하학적 대칭을 가짐 -;
    상기 유닛 셀의 하나 이상의 물리적 인스턴스에 의해 재지향된 주성분으로 0차 방사선을 검출기를 사용하여 검출하는 단계; 및
    하드웨어 컴퓨터 시스템에 의하여, 검출된 방사선의 광학 특성의 값으로부터 상기 유닛 셀의 오버레이의 비-공칭 값을 결정하는 단계를 포함하는, 오버레이 결정 방법.
  2. 제 1 항에 있어서,
    오버레이에 대해 더 큰 감도를 가지는 검출된 방사선의 픽셀로부터 얻어진 광학 특성 값은, 오버레이에 대해 더 낮은 감도를 가지는 검출된 방사선의 다른 픽셀로부터 얻어진 광학 특성 값보다 상기 오버레이의 비-공칭 값을 결정하는 데에 더 많이 기여하는, 오버레이 결정 방법.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 광학 특성의 값은 퓨필 표현을 형성하는, 오버레이 결정 방법.
  4. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 광학 특성의 값은, 검출된 방사선의 대칭 광학 특성 분포의 광학 특성 값을 감소시키거나 제거하게끔, 대칭축에 걸쳐 광학 특성 값을 감산하도록 처리되는, 오버레이 결정 방법.
  5. 제 1 항 내지 제 4 항 중 어느 한 항에 있어서,
    상기 오버레이의 비-공칭 값은, 검출된 방사선의 복수 개의 픽셀에 대하여, 각각의 픽셀에 대한 연관된 가중치를 승산한 해당 픽셀에 대한 광학 특성 값의 합산을 사용하여 결정되는, 오버레이 결정 방법.
  6. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 광학 특성은 세기 및/또는 위상인, 오버레이 결정 방법.
  7. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 유닛 셀의 하나 이상의 물리적 인스턴스는 디바이스 구조체인, 오버레이 결정 방법.
  8. 제 1 항 내지 제 7 항 중 어느 한 항에 있어서,
    상기 유닛 셀의 하나 이상의 물리적 인스턴스는 디바이스 구조체를 포함하는 기판 다이 내의 비-디바이스 구조체인, 오버레이 결정 방법.
  9. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 방사선은 상기 유닛 셀의 하나 이상의 물리적 인스턴스를 생성하도록, 에칭 프로세스 이후에 검출되는, 오버레이 결정 방법.
  10. 제 1 항 내지 제 9 항 중 어느 한 항에 있어서,
    상기 방법은, 상기 광학 특성 값으로부터, 동일한 광학 특성 값으로부터 역시 획득가능한 제 2 오버레이와 별개로 제 1 오버레이의 값을 결정하는 단계를 더 포함하고,
    상기 제 1 오버레이는, 상기 제 2 오버레이와 상이한 방향이거나, 상기 유닛 셀 중 상기 제 2 오버레이와 상이한 조합의 부분들 사이에 있는, 오버레이 결정 방법.
  11. 패터닝 프로세스의 파라미터를 결정하는 방법으로서,
    공칭 물리적 구성에서 기하학적 대칭을 가지는 구조체에 의해 재지향된 방사선의 검출된 퓨필 표현을 획득하는 단계 - 상기 구조체의 상기 공칭 물리적 구성과 상이한 물리적 구성은 상기 퓨필 표현 내에 비대칭 광학 특성 분포를 초래함 -;
    상기 퓨필 표현 내의 대칭 광학 특성 분포의 광학 특성 값을 감소시키거나 제거하게끔, 대칭축에 걸쳐 광학 특성 값을 감산하도록 상기 퓨필 표현을 처리하는 단계; 및
    하드웨어 컴퓨터 시스템에 의하여, 처리된 퓨필 표현으로부터 얻어진 광학 특성 값에 기초하여 패터닝 프로세스 파라미터의 값을 결정하는 단계를 포함하는, 패터닝 프로세스 파라미터 결정 방법.
  12. 제 11 항에 있어서,
    상기 패터닝 프로세스 파라미터는 오버레이이고, 상기 상이한 물리적 구성은 상기 구조체의 다른 부분에 대한 상기 구조체의 적어도 일부의 상대적인 시프트인, 패터닝 프로세스 파라미터 결정 방법.
  13. 제 11 항 또는 제 12 항에 있어서,
    상기 퓨필 표현은 주성분으로 0차 방사선에 대한 것인, 패터닝 프로세스 파라미터 결정 방법.
  14. 제 11 항 내지 제 13 항 중 어느 한 항에 있어서,
    상기 상이한 물리적 구성에 대해 더 큰 감도를 가지는 처리된 퓨필 표현의 픽셀로부터 얻어진 광학 특성 값은 상기 상이한 물리적 구성에 대해 더 낮은 감도를 가지는 검출된 방사선의 다른 픽셀로부터 얻어진 광학 특성 값보다 상기 패터닝 프로세스 파라미터의 값을 결정하는 데에 더 많이 기여하는, 패터닝 프로세스 파라미터 결정 방법.
  15. 제 11 항 내지 제 14 항 중 어느 한 항에 있어서,
    상기 패터닝 프로세스 파라미터의 값은, 상기 퓨필 표현의 복수 개의 픽셀에 대하여, 각각의 픽셀에 대한 연관된 가중치를 승산한 해당 픽셀에 대한 광학 특성 값의 합산을 사용하여 결정되는, 패터닝 프로세스 파라미터 결정 방법.
  16. 제 11 항 내지 제 15 항 중 어느 한 항에 있어서,
    상기 광학 특성은 세기 및/또는 위상인, 패터닝 프로세스 파라미터 결정 방법.
  17. 제 11 항 내지 제 16 항 중 어느 한 항에 있어서,
    상기 구조체는 디바이스 구조체인, 패터닝 프로세스 파라미터 결정 방법.
  18. 제 11 항 내지 제 17 항 중 어느 한 항에 있어서,
    상기 구조체는 디바이스 구조체를 포함하는 기판 다이 내의 비-디바이스 구조체인, 패터닝 프로세스 파라미터 결정 방법.
  19. 제 11 항 내지 제 18 항 중 어느 한 항에 있어서,
    상기 방사선은 상기 구조체를 생성하도록 에칭 프로세스 이후에 검출되는, 패터닝 프로세스 파라미터 결정 방법.
  20. 제 11 항 내지 제 19 항 중 어느 한 항에 있어서,
    상기 결정하는 단계는, 상기 광학 특성 값으로부터, 동일한 광학 특성 값으로부터 역시 획득가능한 제 2 패터닝 프로세스 파라미터의 값과 별개로 상기 구조체의 제 1 패터닝 프로세스 파라미터의 값을 결정하는 것을 포함하고,
    상기 제 1 패터닝 프로세스 파라미터는, 상기 제 2 패터닝 프로세스 파라미터와 상이한 방향이거나, 상기 구조체 중 상기 제 2 오버레이와 상이한 조합의 부분들 사이에 있는, 패터닝 프로세스 파라미터 결정 방법.
  21. 패터닝 프로세스의 대상물을 측정하는 계측 장치로서,
    상기 계측 장치는 제 1 항 내지 제 20 항 중 어느 한 항의 방법을 수행하도록 구성되는, 계측 장치.
  22. 명령이 기록된 비-일시적 컴퓨터 판독가능 매체를 포함하는 컴퓨터 프로그램 제품으로서,
    상기 명령은 컴퓨터에 의하여 실행되면 제 1 항 내지 제 20 항 중 어느 한 항의 방법을 구현하는, 컴퓨터 프로그램 제품.
  23. 시스템으로서,
    하드웨어 프로세서 시스템; 및
    머신-판독가능 명령을 저장하도록 구성되는 비-일시적 컴퓨터 판독가능 저장 매체를 포함하고,
    실행되면, 상기 머신-판독가능 명령은 상기 하드웨어 프로세서 시스템이 제 1 항 내지 제 20 항 중 어느 한 항의 방법을 실행하게 하는, 시스템.
  24. 시스템으로서,
    방사선 빔을 대상물의 표면에 제공하고 상기 대상물의 표면에서 구조체에 의해 재지향된 방사선을 검출하도록 구성되는 계측 장치; 및
    제 23 항의 컴퓨터 프로그램 제품을 포함하는, 시스템.
  25. 제 24 항에 있어서,
    상기 시스템은 리소그래피 장치를 더 포함하고, 상기 리소그래피 장치는,
    방사선 빔을 변조하기 위해 패터닝 디바이스를 홀딩하도록 구성되는 지지 구조체 및 변조된 빔을 방사선 감응 기판 상에 투영하도록 배치되는 투영 광학 시스템을 포함하고,
    상기 대상물은 기판인, 시스템.
KR1020187027635A 2016-03-01 2017-03-01 패터닝 프로세스 파라미터를 결정하는 방법 및 장치 KR102184584B1 (ko)

Applications Claiming Priority (13)

Application Number Priority Date Filing Date Title
US201662301880P 2016-03-01 2016-03-01
US62/301,880 2016-03-01
US201662435649P 2016-12-16 2016-12-16
US201662435670P 2016-12-16 2016-12-16
US201662435662P 2016-12-16 2016-12-16
US201662435630P 2016-12-16 2016-12-16
US62/435,630 2016-12-16
US62/435,649 2016-12-16
US62/435,670 2016-12-16
US62/435,662 2016-12-16
US201762458932P 2017-02-14 2017-02-14
US62/458,932 2017-02-14
PCT/EP2017/054714 WO2017148982A1 (en) 2016-03-01 2017-03-01 Method and apparatus to determine a patterning process parameter

Publications (2)

Publication Number Publication Date
KR20180118707A true KR20180118707A (ko) 2018-10-31
KR102184584B1 KR102184584B1 (ko) 2020-12-02

Family

ID=58192291

Family Applications (5)

Application Number Title Priority Date Filing Date
KR1020187027635A KR102184584B1 (ko) 2016-03-01 2017-03-01 패터닝 프로세스 파라미터를 결정하는 방법 및 장치
KR1020187027639A KR102182355B1 (ko) 2016-03-01 2017-03-01 패터닝 프로세스 파라미터를 결정하는 방법 및 장치
KR1020187027663A KR102151012B1 (ko) 2016-03-01 2017-03-01 패터닝 프로세스 파라미터를 결정하는 방법 및 장치
KR1020187027636A KR102184641B1 (ko) 2016-03-01 2017-03-01 패터닝 프로세스 파라미터를 결정하는 방법 및 장치
KR1020187027664A KR102182415B1 (ko) 2016-03-01 2017-03-01 패터닝 프로세스 파라미터를 결정하는 방법 및 장치

Family Applications After (4)

Application Number Title Priority Date Filing Date
KR1020187027639A KR102182355B1 (ko) 2016-03-01 2017-03-01 패터닝 프로세스 파라미터를 결정하는 방법 및 장치
KR1020187027663A KR102151012B1 (ko) 2016-03-01 2017-03-01 패터닝 프로세스 파라미터를 결정하는 방법 및 장치
KR1020187027636A KR102184641B1 (ko) 2016-03-01 2017-03-01 패터닝 프로세스 파라미터를 결정하는 방법 및 장치
KR1020187027664A KR102182415B1 (ko) 2016-03-01 2017-03-01 패터닝 프로세스 파라미터를 결정하는 방법 및 장치

Country Status (7)

Country Link
US (12) US10811323B2 (ko)
JP (5) JP6782784B2 (ko)
KR (5) KR102184584B1 (ko)
CN (5) CN109073997B (ko)
IL (5) IL261427B (ko)
TW (5) TWI707198B (ko)
WO (5) WO2017148986A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11972922B2 (en) 2018-12-31 2024-04-30 Asml Netherlands B.V. Method for calibrating a scanning charged particle microscope

Families Citing this family (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170146465A1 (en) * 2014-06-19 2017-05-25 Nova Measuring Instruments Ltd. Test structure design for metrology measurements in patterned samples
NL2017300A (en) 2015-08-27 2017-03-01 Asml Netherlands Bv Method and apparatus for measuring a parameter of a lithographic process, substrate and patterning devices for use in the method
US10811323B2 (en) * 2016-03-01 2020-10-20 Asml Netherlands B.V. Method and apparatus to determine a patterning process parameter
US10068323B2 (en) * 2016-04-10 2018-09-04 Kla-Tencor Corporation Aware system, method and computer program product for detecting overlay-related defects in multi-patterned fabricated devices
US11016396B2 (en) * 2017-05-04 2021-05-25 Asml Holding N.V Method, substrate and apparatus to measure performance of optical metrology
US10539770B2 (en) * 2017-06-19 2020-01-21 Suss Microtec Photonic Systems Inc. Magnification compensation and/or beam steering in optical systems
US10547394B2 (en) * 2017-07-13 2020-01-28 Benjamin J. Egg Quad band relay common data link system and method
WO2019015995A1 (en) * 2017-07-18 2019-01-24 Asml Netherlands B.V. METHODS AND APPARATUS FOR MEASURING A PARAMETER OF A CHARACTERISTIC MANUFACTURED ON A SEMICONDUCTOR SUBSTRATE
EP3435161A1 (en) * 2017-07-24 2019-01-30 ASML Netherlands B.V. Determining an edge roughness parameter of a periodic structure
KR102352673B1 (ko) * 2017-08-07 2022-01-17 에이에스엠엘 네델란즈 비.브이. 컴퓨테이션 계측법
EP3444674A1 (en) 2017-08-14 2019-02-20 ASML Netherlands B.V. Method and apparatus to determine a patterning process parameter
US11248905B2 (en) * 2017-08-16 2022-02-15 Kla-Tencor Corporation Machine learning in metrology measurements
EP3454124A1 (en) 2017-09-07 2019-03-13 ASML Netherlands B.V. Method to determine a patterning process parameter
EP3457212A1 (en) * 2017-09-18 2019-03-20 ASML Netherlands B.V. Method of controlling a patterning process, device manufacturing method
EP3477391A1 (en) * 2017-10-26 2019-05-01 ASML Netherlands B.V. Method of determining a value of a parameter of interest, method of cleaning a signal containing information about a parameter of interest
WO2019081211A1 (en) * 2017-10-26 2019-05-02 Asml Netherlands B.V. METHOD FOR DETERMINING A VALUE OF A PARAMETER OF INTEREST, METHOD FOR CLEANING A SIGNAL CONTAINING INFORMATION REGARDING THIS PARAMETER OF INTEREST
WO2019086221A1 (en) 2017-10-31 2019-05-09 Asml Netherlands B.V. Metrology apparatus, method of measuring a structure, device manufacturing method
KR20240037383A (ko) * 2017-11-07 2024-03-21 에이에스엠엘 네델란즈 비.브이. 관심 특성을 결정하는 계측 장치 및 방법
EP3489756A1 (en) * 2017-11-23 2019-05-29 ASML Netherlands B.V. Method and apparatus to determine a patterning process parameter
US10962888B2 (en) 2017-11-29 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd Structures for acoustic wave overlay error determination using periodic structures
EP3492985A1 (en) 2017-12-04 2019-06-05 ASML Netherlands B.V. Method of determining information about a patterning process, method of reducing error in measurement data, method of calibrating a metrology process, method of selecting metrology targets
US11085754B2 (en) 2017-12-12 2021-08-10 Kla Corporation Enhancing metrology target information content
US11422472B2 (en) * 2017-12-22 2022-08-23 Asml Netherlands B.V. Patterning process improvement involving optical aberration
JP7186230B2 (ja) 2017-12-28 2022-12-08 エーエスエムエル ネザーランズ ビー.ブイ. 装置の構成要素から汚染粒子を除去する装置および方法
WO2019129468A1 (en) 2017-12-29 2019-07-04 Asml Netherlands B.V. Method of processing data, method of obtaining calibration data
WO2019129485A1 (en) 2017-12-29 2019-07-04 Asml Netherlands B.V. Method and device for determining adjustments to sensitivity parameters
EP3518040A1 (en) 2018-01-30 2019-07-31 ASML Netherlands B.V. A measurement apparatus and a method for determining a substrate grid
EP3521929A1 (en) 2018-02-02 2019-08-07 ASML Netherlands B.V. Method of determining an optimal focus height for a metrology apparatus
EP3521930A1 (en) * 2018-02-02 2019-08-07 ASML Netherlands B.V. Method of optimizing a metrology process
KR102658587B1 (ko) * 2018-03-19 2024-04-17 케이엘에이 코포레이션 다수의 파장들을 사용한 오버레이 측정
US11232249B2 (en) 2018-03-19 2022-01-25 Asml Netherlands B.V. Method for determining curvilinear patterns for patterning device
JP6964031B2 (ja) * 2018-03-27 2021-11-10 Tasmit株式会社 パターンエッジ検出方法
EP3557327A1 (en) * 2018-04-18 2019-10-23 ASML Netherlands B.V. Method of determining a value of a parameter of interest of a target formed by a patterning process
CN108829079B (zh) * 2018-04-26 2020-09-01 安徽江淮汽车集团股份有限公司 一种tcu自动刷写系统及方法
US10866508B2 (en) * 2018-05-18 2020-12-15 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing photomask and semiconductor manufacturing method thereof
DE102018207880A1 (de) * 2018-05-18 2019-11-21 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zum Bewerten einer unbekannten Auswirkung von Defekten eines Elements eines Photolithographieprozesses
US10579764B2 (en) 2018-06-06 2020-03-03 International Business Machines Corporation Co-modeling post-lithography critical dimensions and post-etch critical dimensions with multi-task neural networks
US10359706B1 (en) * 2018-06-11 2019-07-23 Kla-Tencor Corporation Integrated scanning electron microscopy and optical analysis techniques for advanced process control
KR20210013605A (ko) 2018-06-19 2021-02-04 에이에스엠엘 네델란즈 비.브이. 제조 장치 및 연계된 장치를 제어하는 방법
TWI791860B (zh) * 2018-06-29 2023-02-11 日商荏原製作所股份有限公司 凸塊高度量測裝置、基板處理裝置、凸塊高度量測方法、及已儲存用於使電腦執行控制凸塊高度量測裝置之方法的程式的不揮發性記憶媒體
CN112424826A (zh) 2018-07-13 2021-02-26 Asml荷兰有限公司 基于机器学习的图案分组方法
KR20210036962A (ko) * 2018-08-28 2021-04-05 에이에스엠엘 네델란즈 비.브이. 최적의 계측 안내 시스템들 및 방법들
CN112840432A (zh) * 2018-09-13 2021-05-25 Asml荷兰有限公司 用于监测束轮廓和功率的方法和装置
EP3640735A1 (en) 2018-10-18 2020-04-22 ASML Netherlands B.V. Methods and apparatus for inspection of a structure and associated apparatuses
EP3647871A1 (en) 2018-10-31 2020-05-06 ASML Netherlands B.V. Method of determing a value of a parameter of interest of a patterning process, device manufacturing method
EP3650940A1 (en) * 2018-11-09 2020-05-13 ASML Netherlands B.V. A method in the manufacturing process of a device, a non-transitory computer-readable medium and a system configured to perform the method
EP3654103A1 (en) * 2018-11-14 2020-05-20 ASML Netherlands B.V. Method for obtaining training data for training a model of a semicondcutor manufacturing process
EP3870935A4 (en) * 2018-11-21 2022-08-31 Kla-Tencor Corporation GRAY SCATTEROMETRIC OVERLAY TARGETS FOR SINGLE CELLS AND THEIR MEASUREMENT USING DIFFERENT ILLUMINATION PARAMETERS
JP7431824B2 (ja) 2018-11-21 2024-02-15 ケーエルエー コーポレイション スキャトロメトリオーバーレイ(scol)測定方法及びscol測定システム
EP3657257A1 (en) * 2018-11-26 2020-05-27 ASML Netherlands B.V. Method for of measuring a focus parameter relating to a structure formed using a lithographic process
WO2020114686A1 (en) * 2018-12-03 2020-06-11 Asml Netherlands B.V. Method to predict yield of a semiconductor manufacturing process
EP3671347A1 (en) * 2018-12-19 2020-06-24 ASML Netherlands B.V. Method for controling a manufacturing process and associated apparatuses
US11635699B2 (en) * 2018-12-28 2023-04-25 Asml Netherlands B.V. Determining pattern ranking based on measurement feedback from printed substrate
EP3906442A1 (en) 2018-12-31 2021-11-10 ASML Netherlands B.V. Determining subset of components of an optical characteristic of patterning apparatus
KR20210096659A (ko) * 2018-12-31 2021-08-05 에이에스엠엘 네델란즈 비.브이. 계측 방법
CN111611764B (zh) * 2019-02-22 2024-02-27 深圳晶源信息技术有限公司 一种光瞳评价方法及其系统、电子装置
KR102199314B1 (ko) * 2019-03-07 2021-01-06 (주) 인텍플러스 디스플레이 패널 검사장치
WO2021001102A1 (en) * 2019-07-02 2021-01-07 Asml Netherlands B.V. Metrology method and associated metrology and lithographic apparatuses
CN110398768B (zh) * 2019-07-15 2020-11-17 华中科技大学 一种基于像素电离室的束斑动态监测方法及系统
US11308606B2 (en) 2019-08-16 2022-04-19 Kla Corporation Design-assisted inspection for DRAM and 3D NAND devices
US11003164B2 (en) * 2019-08-30 2021-05-11 Micron Technology, Inc. Methods for aligning a physical layer to a pattern formed via multi-patterning, and associated systems
JP7310466B2 (ja) * 2019-09-10 2023-07-19 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム評価方法
US11182892B2 (en) 2019-09-16 2021-11-23 Kla Corporation Periodic semiconductor device misregistration metrology system and method
JP7267882B2 (ja) * 2019-09-17 2023-05-02 キオクシア株式会社 基板、パターン、及び計測装置の較正方法
FI20195790A1 (en) * 2019-09-20 2021-03-21 Maillefer Extrusion Oy QUALITY FORECAST BASED ON MACHINE LEARNING OF MANUFACTURED FIBER OPTIC CABLE
WO2021083704A1 (en) * 2019-11-01 2021-05-06 Asml Netherlands B.V. Metrology method and lithographic apparatuses
US11360398B2 (en) * 2019-11-14 2022-06-14 Kla Corporation System and method for tilt calculation based on overlay metrology measurements
US11152270B2 (en) 2019-12-01 2021-10-19 Winbond Electronics Corp. Monitoring structure for critical dimension of lithography process
IL279727A (en) 2019-12-24 2021-06-30 Asml Netherlands Bv Method of determining information about pattern procedure, method of error reduction in measurement data, metrology process calibration method, method of selecting metrology targets
US11475303B2 (en) * 2020-04-14 2022-10-18 Microsoft Technology Licensing, Llc Spread neural networks
CN111538213B (zh) * 2020-04-27 2021-04-27 湖南大学 一种基于神经网络的电子束邻近效应矫正方法
US11436579B2 (en) 2020-05-04 2022-09-06 Bank Of America Corporation Performing enhanced deposit item processing using cognitive automation tools
CN111697088B (zh) * 2020-05-19 2022-03-01 苏州大学 一种图案化硅结构的制备方法及硅基光伏电池
KR102659861B1 (ko) * 2020-06-22 2024-04-24 주식회사 히타치하이테크 치수 계측 장치, 반도체 제조 장치 및 반도체 장치 제조 시스템
US11967058B2 (en) 2020-06-24 2024-04-23 Kla Corporation Semiconductor overlay measurements using machine learning
US11164307B1 (en) * 2020-07-21 2021-11-02 Kla Corporation Misregistration metrology by using fringe Moiré and optical Moiré effects
CN112132732B (zh) * 2020-09-10 2023-06-02 宁波大学科学技术学院 立体图像的像素预测方法、可逆信息隐藏提取方法、终端及存储介质
CN114911139A (zh) * 2021-02-09 2022-08-16 普思半导体股份有限公司 微影图案叠对校正方法及系统与光罩图案产生方法
KR20230152742A (ko) * 2021-03-10 2023-11-03 에이에스엠엘 네델란즈 비.브이. 정렬 방법 및 연관된 정렬 및 리소그래피 장치
IL308126A (en) 2021-05-06 2023-12-01 Asml Netherlands Bv A method for determining a stochastic index related to a lithographic process
EP4086703A1 (en) 2021-05-06 2022-11-09 ASML Netherlands B.V. Method for determining a stochastic metric relating to a lithographic process
IL310738A (en) * 2021-08-26 2024-04-01 Asml Netherlands Bv A method for determining a measuring recipe and related devices
US20230175835A1 (en) * 2021-12-02 2023-06-08 Micron Technology, Inc. Apparatuses and methods for diffraction base overlay measurements

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004533114A (ja) * 2001-04-10 2004-10-28 ケーエルエー−テンカー コーポレイション 周期パターンおよびずれを制御するための技術
JP2008311645A (ja) * 2007-06-13 2008-12-25 Asml Netherlands Bv インスペクション方法および装置、リソグラフィ装置、リソグラフィ処理セル、ならびにデバイス製造方法
US20130208279A1 (en) * 2012-02-15 2013-08-15 Nanometrics Incorporated Image based overlay measurement with finite gratings
WO2014062972A1 (en) * 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
WO2015082158A1 (en) * 2013-12-05 2015-06-11 Asml Netherlands B.V. Method and apparatus for measuring a structure on a substrate, models for error correction, computer program products for implementing such methods & apparatus
WO2015185166A1 (en) * 2014-06-02 2015-12-10 Asml Netherlands B.V. Method of designing metrology targets, substrates having metrology targets, method of measuring overlay, and device manufacturing method

Family Cites Families (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5617340A (en) * 1994-04-28 1997-04-01 The United States Of America As Represented By The Secretary Of Commerce Method and reference standards for measuring overlay in multilayer structures, and for calibrating imaging equipment as used in semiconductor manufacturing
US5699282A (en) 1994-04-28 1997-12-16 The United States Of America As Represented By The Secretary Of Commerce Methods and test structures for measuring overlay in multilayer devices
EP0721608B1 (en) * 1994-08-02 2003-10-01 Koninklijke Philips Electronics N.V. Method of repetitively imaging a mask pattern on a substrate
US5701013A (en) * 1996-06-07 1997-12-23 Mosel Viltelic, Inc. Wafer metrology pattern integrating both overlay and critical dimension features for SEM or AFM measurements
US6622059B1 (en) * 2000-04-13 2003-09-16 Advanced Micro Devices, Inc. Automated process monitoring and analysis system for semiconductor processing
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
WO2002019415A1 (en) * 2000-08-30 2002-03-07 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
DE10043315C1 (de) * 2000-09-02 2002-06-20 Zeiss Carl Projektionsbelichtungsanlage
US6555274B1 (en) 2001-02-01 2003-04-29 Jongwook Kye Pupil filtering for a lithographic tool
US6673638B1 (en) * 2001-11-14 2004-01-06 Kla-Tencor Corporation Method and apparatus for the production of process sensitive lithographic features
TW569368B (en) 2001-11-14 2004-01-01 Tokyo Electron Ltd Substrate inspecting apparatus, coating and developing apparatus, and substrate inspecting method
US6571485B1 (en) 2001-11-30 2003-06-03 United Microelectronics Corp. Structure of an overlay mark and its dosimetry application
DE10224164B4 (de) * 2002-05-31 2007-05-10 Advanced Micro Devices, Inc., Sunnyvale Eine zweidimensionale Struktur zum Bestimmen einer Überlagerungsgenauigkeit mittels Streuungsmessung
US7440105B2 (en) * 2002-12-05 2008-10-21 Kla-Tencor Technologies Corporation Continuously varying offset mark and methods of determining overlay
US7030966B2 (en) 2003-02-11 2006-04-18 Asml Netherlands B.V. Lithographic apparatus and method for optimizing an illumination source using photolithographic simulations
WO2004090978A2 (en) * 2003-04-08 2004-10-21 Aoti Operating Company, Inc. Overlay metrology mark
US7425396B2 (en) * 2003-09-30 2008-09-16 Infineon Technologies Ag Method for reducing an overlay error and measurement mark for carrying out the same
US7180593B2 (en) * 2003-11-05 2007-02-20 Macronix International Co., Ltd. Overlay mark for aligning different layers on a semiconductor wafer
KR101244103B1 (ko) 2004-01-16 2013-03-25 칼 짜이스 에스엠테 게엠베하 광 영상화 시스템의 파면 측정 장치 및 방법 그리고마이크로리소그래피 투사 노출기
JP4734261B2 (ja) * 2004-02-18 2011-07-27 ケーエルエー−テンカー コーポレイション 連続変化するオフセットマークと、オーバレイ決定方法
US20050185174A1 (en) 2004-02-23 2005-08-25 Asml Netherlands B.V. Method to determine the value of process parameters based on scatterometry data
US7313769B1 (en) * 2004-03-01 2007-12-25 Advanced Micro Devices, Inc. Optimizing an integrated circuit layout by taking into consideration layout interactions as well as extra manufacturability margin
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7534552B2 (en) 2004-12-23 2009-05-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7403265B2 (en) 2005-03-30 2008-07-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing data filtering
WO2007036055A1 (en) 2005-09-30 2007-04-05 Simon Fraser University Methods and apparatus for detecting defects in imaging arrays by image analysis
US7525642B2 (en) 2006-02-23 2009-04-28 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7532305B2 (en) 2006-03-28 2009-05-12 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using overlay measurement
US7391513B2 (en) 2006-03-29 2008-06-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method using overlay measurement quality indication
JP2007324371A (ja) 2006-06-01 2007-12-13 Ebara Corp オーバーレイ検査用オーバーレイマーク及びレンズ収差調査用マーク
WO2008020646A1 (fr) 2006-08-18 2008-02-21 Nippon Telegraph And Telephone Corporation Commutateur optique, procédé de commande de commutateur optique et système de communication
US7913196B2 (en) * 2007-05-23 2011-03-22 United Microelectronics Corp. Method of verifying a layout pattern
US7940386B1 (en) 2007-07-13 2011-05-10 Kla-Tencor Corporation Scatterometry target employing non-periodic defect features to enhance or optimize target sensitivity to a parameter of interest
US7460237B1 (en) 2007-08-02 2008-12-02 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
DE102007046850B4 (de) 2007-09-29 2014-05-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zum Bestimmen einer Überlagerungsgenauigkeit
NL1036032A1 (nl) * 2007-10-10 2009-04-15 Asml Netherlands Bv Overlay measurement on double patterning substrate.
NL1036123A1 (nl) 2007-11-13 2009-05-14 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
CN101679985B (zh) 2007-12-17 2012-11-14 三得利控股株式会社 突变ilv5基因及其用途
NL1036245A1 (nl) 2007-12-17 2009-06-18 Asml Netherlands Bv Diffraction based overlay metrology tool and method of diffraction based overlay metrology.
NL1036459A1 (nl) 2008-02-13 2009-08-14 Asml Netherlands Bv Method and apparatus for angular-resolved spectroscopic lithography characterization.
NL1036597A1 (nl) 2008-02-29 2009-09-01 Asml Netherlands Bv Metrology method and apparatus, lithographic apparatus, and device manufacturing method.
DE102008042356A1 (de) 2008-09-25 2010-04-08 Carl Zeiss Smt Ag Projektionsbelichtungsanlage mit optimierter Justagemöglichkeit
US20110295555A1 (en) 2008-09-30 2011-12-01 Asml Netherlands B.V. Method and System for Determining a Lithographic Process Parameter
NL2003654A (en) 2008-11-06 2010-05-10 Brion Tech Inc Methods and system for lithography calibration.
NL2004094A (en) 2009-02-11 2010-08-12 Asml Netherlands Bv Inspection apparatus, lithographic apparatus, lithographic processing cell and inspection method.
CN102422226B (zh) 2009-05-11 2014-04-09 Asml荷兰有限公司 确定重叠误差的方法
US8356261B1 (en) 2009-07-02 2013-01-15 Asml Netherlands B.V. Determining the gradient and hessian of the image log slope for design rule optimization for accelerating source mask optimization (SMO)
KR101461457B1 (ko) 2009-07-31 2014-11-13 에이에스엠엘 네델란즈 비.브이. 계측 방법 및 장치, 리소그래피 시스템, 및 리소그래피 처리 셀
US8189202B2 (en) 2009-08-04 2012-05-29 Zygo Corporation Interferometer for determining overlay errors
CN102483582B (zh) 2009-08-24 2016-01-20 Asml荷兰有限公司 量测方法和设备、光刻设备、光刻处理单元和包括量测目标的衬底
NL2006700A (en) 2010-06-04 2011-12-06 Asml Netherlands Bv Method and apparatus for measuring a structure on a substrate, computer program products for implementing such methods & apparatus.
CN103003754B (zh) 2010-07-19 2015-03-11 Asml荷兰有限公司 用于确定重叠误差的方法和设备
WO2012062501A1 (en) 2010-11-12 2012-05-18 Asml Netherlands B.V. Metrology method and apparatus, and device manufacturing method
US9140998B2 (en) 2010-11-12 2015-09-22 Asml Netherlands B.V. Metrology method and inspection apparatus, lithographic system and device manufacturing method
US9588439B1 (en) 2010-12-21 2017-03-07 Asml Netherlands B.V. Information matrix creation and calibration test pattern selection based on computational lithography model parameters
US9223227B2 (en) * 2011-02-11 2015-12-29 Asml Netherlands B.V. Inspection apparatus and method, lithographic apparatus, lithographic processing cell and device manufacturing method
US8539394B2 (en) 2011-03-02 2013-09-17 Carl Zeiss Sms Ltd. Method and apparatus for minimizing overlay errors in lithography
NL2008957A (en) * 2011-07-08 2013-01-09 Asml Netherlands Bv Methods and systems for pattern design with tailored response to wavefront aberration.
NL2009004A (en) 2011-07-20 2013-01-22 Asml Netherlands Bv Inspection method and apparatus, and lithographic apparatus.
NL2009723A (en) 2011-11-30 2013-06-03 Asml Netherlands Bv Inspection method and apparatus, and corresponding lithographic apparatus.
KR101664962B1 (ko) * 2012-05-29 2016-10-11 에이에스엠엘 네델란즈 비.브이. 오버레이를 보정하기 위한 정렬 마크들의 유용도를 결정하는 방법, 및 리소그래피 장치 및 오버레이 측정 시스템의 조합
CN103472004B (zh) * 2012-06-08 2016-04-20 上海微电子装备有限公司 一种光刻工艺参数测量装置及方法
JP6133980B2 (ja) * 2012-07-05 2017-05-24 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィのためのメトロロジ
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
WO2014194095A1 (en) 2013-05-30 2014-12-04 Kla-Tencor Corporation Combined imaging and scatterometry metrology
KR101830850B1 (ko) * 2013-07-03 2018-02-21 에이에스엠엘 네델란즈 비.브이. 검사 장치 및 방법, 리소그래피 장치, 리소그래피 처리 셀 및 디바이스 제조 방법
WO2015009619A1 (en) 2013-07-15 2015-01-22 Kla-Tencor Corporation Producing resist layers using fine segmentation
NL2013210A (en) 2013-08-07 2015-02-10 Asml Netherlands Bv Metrology method and apparatus, lithographic system and device manufacturing method.
WO2015080858A1 (en) 2013-12-01 2015-06-04 Kla-Tencor Corporation Target element types for process parameter metrology
WO2015090838A1 (en) 2013-12-19 2015-06-25 Asml Netherlands B.V. Inspection methods, substrates having metrology targets, lithographic system and device manufacturing method
KR102246286B1 (ko) 2013-12-30 2021-04-30 에이에스엠엘 네델란즈 비.브이. 메트롤로지 타겟의 디자인을 위한 방법 및 장치
WO2015101461A2 (en) * 2013-12-30 2015-07-09 Asml Netherlands B.V. Method and apparatus for design of a metrology target
JP2015170764A (ja) 2014-03-07 2015-09-28 株式会社東芝 収差量算出方法および位置ずれ量算出方法
EP2927747A3 (en) 2014-03-31 2016-03-09 IMEC vzw Quality assessment of directed self-assembling method
US20170146465A1 (en) * 2014-06-19 2017-05-25 Nova Measuring Instruments Ltd. Test structure design for metrology measurements in patterned samples
CN105278253B (zh) * 2014-07-11 2018-01-19 上海微电子装备(集团)股份有限公司 套刻误差测量装置及方法
WO2016010776A1 (en) * 2014-07-13 2016-01-21 Kla-Tencor Corporation Metrology using overlay and yield critical patterns
US10359369B2 (en) 2014-08-07 2019-07-23 Nova Measuring Instruments Ltd. Metrology test structure design and measurement scheme for measuring in patterned structures
IL252666B (en) 2014-12-10 2022-06-01 Nova Ltd Test structure for use in metrology measurements of molds
WO2016123552A1 (en) * 2015-01-30 2016-08-04 Kla-Tencor Corporation Device metrology targets and methods
CN107430349B (zh) * 2015-03-05 2020-03-10 Asml荷兰有限公司 用于检查及量测的方法和设备
CN105511235B (zh) 2016-02-15 2017-08-08 京东方科技集团股份有限公司 套刻键标、形成套刻键标的方法和测量套刻精度的方法
US10811323B2 (en) * 2016-03-01 2020-10-20 Asml Netherlands B.V. Method and apparatus to determine a patterning process parameter

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004533114A (ja) * 2001-04-10 2004-10-28 ケーエルエー−テンカー コーポレイション 周期パターンおよびずれを制御するための技術
JP2008311645A (ja) * 2007-06-13 2008-12-25 Asml Netherlands Bv インスペクション方法および装置、リソグラフィ装置、リソグラフィ処理セル、ならびにデバイス製造方法
US20130208279A1 (en) * 2012-02-15 2013-08-15 Nanometrics Incorporated Image based overlay measurement with finite gratings
WO2014062972A1 (en) * 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
WO2015082158A1 (en) * 2013-12-05 2015-06-11 Asml Netherlands B.V. Method and apparatus for measuring a structure on a substrate, models for error correction, computer program products for implementing such methods & apparatus
WO2015185166A1 (en) * 2014-06-02 2015-12-10 Asml Netherlands B.V. Method of designing metrology targets, substrates having metrology targets, method of measuring overlay, and device manufacturing method

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11972922B2 (en) 2018-12-31 2024-04-30 Asml Netherlands B.V. Method for calibrating a scanning charged particle microscope

Also Published As

Publication number Publication date
JP2019508741A (ja) 2019-03-28
US10453758B2 (en) 2019-10-22
JP2019508742A (ja) 2019-03-28
TWI727003B (zh) 2021-05-11
IL261393B (en) 2022-05-01
US20240014078A1 (en) 2024-01-11
TWI662381B (zh) 2019-06-11
IL261393A (en) 2018-10-31
WO2017149003A1 (en) 2017-09-08
US20200013685A1 (en) 2020-01-09
JP6782784B2 (ja) 2020-11-11
KR102184584B1 (ko) 2020-12-02
KR20180116388A (ko) 2018-10-24
CN109073999A (zh) 2018-12-21
CN109073996B (zh) 2020-12-01
US10811323B2 (en) 2020-10-20
US20170256465A1 (en) 2017-09-07
IL261427B (en) 2022-07-01
US20170255736A1 (en) 2017-09-07
US20210335678A1 (en) 2021-10-28
JP6839718B2 (ja) 2021-03-10
WO2017148996A1 (en) 2017-09-08
CN109073995A (zh) 2018-12-21
US10546790B2 (en) 2020-01-28
US11710668B2 (en) 2023-07-25
JP6824999B2 (ja) 2021-02-03
TWI651598B (zh) 2019-02-21
IL261335A (en) 2018-10-31
TWI659277B (zh) 2019-05-11
US11101185B2 (en) 2021-08-24
IL261376B (en) 2021-08-31
WO2017148982A1 (en) 2017-09-08
CN109073998B (zh) 2021-10-26
US20170255112A1 (en) 2017-09-07
US20200126872A1 (en) 2020-04-23
US10615084B2 (en) 2020-04-07
US20210384086A1 (en) 2021-12-09
US11728224B2 (en) 2023-08-15
TW201743141A (zh) 2017-12-16
JP2019512110A (ja) 2019-05-09
KR102151012B1 (ko) 2020-09-03
US20210035871A1 (en) 2021-02-04
KR102182415B1 (ko) 2020-11-25
TW201743142A (zh) 2017-12-16
US11101184B2 (en) 2021-08-24
KR20180116384A (ko) 2018-10-24
IL261427A (en) 2018-10-31
CN109073997A (zh) 2018-12-21
JP6765435B2 (ja) 2020-10-07
US20200185281A1 (en) 2020-06-11
US20170255738A1 (en) 2017-09-07
IL261335B (en) 2021-05-31
KR102184641B1 (ko) 2020-12-01
JP6707657B2 (ja) 2020-06-10
CN109073999B (zh) 2021-08-24
JP2019508744A (ja) 2019-03-28
CN109073998A (zh) 2018-12-21
CN109073997B (zh) 2021-12-07
US11145557B2 (en) 2021-10-12
IL261376A (en) 2018-10-31
IL261426A (en) 2018-10-31
JP2019508745A (ja) 2019-03-28
CN109073995B (zh) 2021-03-09
TW201741779A (zh) 2017-12-01
US20170255737A1 (en) 2017-09-07
WO2017148986A1 (en) 2017-09-08
IL261426B (en) 2022-04-01
TW201734632A (zh) 2017-10-01
KR20180118708A (ko) 2018-10-31
US11784098B2 (en) 2023-10-10
CN109073996A (zh) 2018-12-21
TWI707198B (zh) 2020-10-11
WO2017149009A1 (en) 2017-09-08
KR20180116383A (ko) 2018-10-24
KR102182355B1 (ko) 2020-11-25
TW201743143A (zh) 2017-12-16

Similar Documents

Publication Publication Date Title
US11728224B2 (en) Method and apparatus to determine a patterning process parameter
US11143972B2 (en) Method and apparatus to determine a patterning process parameter
KR102363699B1 (ko) 패터닝 프로세스 파라미터를 결정하는 방법
KR20200077590A (ko) 패터닝 프로세스에 관한 정보를 결정하는 방법, 측정 데이터의 오차를 감소시키는 방법, 계측 프로세스를 교정하는 방법, 및 계측 타겟을 선택하는 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant