TW201731105A - 鰭式場效電晶體 - Google Patents

鰭式場效電晶體 Download PDF

Info

Publication number
TW201731105A
TW201731105A TW105139072A TW105139072A TW201731105A TW 201731105 A TW201731105 A TW 201731105A TW 105139072 A TW105139072 A TW 105139072A TW 105139072 A TW105139072 A TW 105139072A TW 201731105 A TW201731105 A TW 201731105A
Authority
TW
Taiwan
Prior art keywords
layer
gate
semiconductor
field effect
fin
Prior art date
Application number
TW105139072A
Other languages
English (en)
Inventor
吳政達
王廷君
林鈺庭
何柏慷
蕭柏鎧
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201731105A publication Critical patent/TW201731105A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Element Separation (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

一種鰭式場效電晶體,包括:基板、多個隔離結構、多個阻擋層以及閘極堆疊結構。基板具有多個半導體鰭片。隔離結構位在基板上,以隔離半導體鰭片。另外,半導體鰭片突出於隔離結構。阻擋層位在隔離結構與半導體鰭片之間。阻擋層的材料與隔離結構的材料不同。閘極堆疊結構橫跨過部分半導體鰭片、部分所述阻擋層以及部分所述隔離結構。另外,亦提供一種鰭式場效電晶體的製造方法。

Description

鰭式場效電晶體
本發明實施例是有關於一種鰭式場效電晶體。
半導體元件的尺寸不斷縮小,所開發的三維多重閘極結構(three-dimensional multi-gate structures),例如鰭式場效電晶體(fin-type field effect transistor,FinFET)取代平面的互補式金氧半導體(Complementary Metal Oxide Semiconductor,CMOS)元件。鰭式場效電晶體的結構特徵為矽基鰭片(silicon based fin),其從基板的表面垂直延伸,且閘極會圍繞由鰭片所形成的導電通道,以進一步地對通道提供更好的電氣控制。
在鰭式場效電晶體的製程期間,鰭片輪廓對於製程裕度而言是相當關鍵的。目前鰭式場效電晶體的製程可能面臨負載效應(loading effect)以及鰭片彎曲(fin-bending)問題。
本發明實施例提供一種鰭式場效電晶體,包括:基板、多個隔離結構、多個阻擋層以及閘極堆疊結構。基板具有多個半導體鰭片。隔離結構位在基板上,以隔離半導體鰭片。半導體鰭片突出於隔離結構。阻擋層位在隔離結構與半導體鰭片之間。阻擋層的材料與隔離結構的材料不同。閘極堆疊結構橫跨過部分半導體鰭片、部分所述阻擋層以及部分所述隔離結構。
以下揭露內容提供用於實施所提供的標的之不同特徵的許多不同實施例或實例。以下所描述的構件及配置的具體實例是為了以簡化的方式傳達本發明為目的。當然,這些僅僅為實例而非用以限制。舉例來說,於以下描述中,在第二特徵上方或在第二特徵上形成第一特徵可包括第一特徵與第二特徵形成為直接接觸的實施例,且亦可包括第一特徵與第二特徵之間可形成有額外特徵使得第一特徵與第二特徵可不直接接觸的實施例。此外,本發明在各種實例中可使用相同的元件符號及/或字母來指代相同或類似的部件。元件符號的重複使用是為了簡單及清楚起見,且並不表示所欲討論的各個實施例及/或配置本身之間的關係。
另外,為了易於描述附圖中所繪示的一個構件或特徵與另一組件或特徵的關係,本文中可使用例如「在…下」、「在…下方」、「下部」、「在…上」、「在…上方」、「上部」及類似術語的空間相對術語。除了附圖中所繪示的定向之外,所述空間相對術語意欲涵蓋元件在使用或操作時的不同定向。設備可被另外定向(旋轉90度或在其他定向),而本文所用的空間相對術語相應地作出解釋。
圖1是依照本發明一些實施例的一種鰭式場效電晶體的製造方法的流程圖。圖2A至圖2M是依照本發明一些實施例的一種鰭式場效電晶體的製造方法的立體圖。圖3A至圖3G是沿著圖2A至圖2G的I-I’線的鰭式場效電晶體的剖面圖。圖3H至圖3I是沿著圖2H至圖2I的II-II’線的鰭式場效電晶體的剖面圖。圖3J至圖3M是沿著圖2J至圖2M的III-III’線的鰭式場效電晶體的剖面圖。
在圖1的步驟S12與圖2A以及圖3A中,提供基板200。舉例來說,基板200可包括塊狀基板(bulk substrate)、絕緣體覆矽(silicon-on-insulator,SOI)基板或絕緣體覆鍺(germanium-on-insulator,GOI)基板。在一實施例中,基板200包括結晶矽基板(例如是晶圓)。基板200可依據設計需求而包括多種摻雜區(例如是p型基板或n型基板)。在其他實施例中,摻雜區可摻雜p型或n型摻質。舉例來說,摻雜區可摻雜p型摻質,例如是硼或二氟化硼(BF2 + );而n型摻質,例如是磷、砷以及/或其組合。摻雜區可以是用於n型鰭式場效電晶體或是p型鰭式場效電晶體。在其他實施例中,基板200可包括一些其它適合的元素半導體(例如鑽石或鍺);適合的化合物半導體(例如砷化鎵、碳化矽、砷化銦或磷化銦);或者適合的合金半導體(例如碳化矽鍺(silicon germanium carbide,SiGeC)、磷化砷鎵(gallium arsenic phosphide)或磷化銦鎵(gallium indium phosphide))。另外,在一些實施例中,基板200可包括其他導電層或其他半導體元件,例如電晶體、二極體等。
在一實施例中,在基板200上依序形成墊層202與罩幕層203。墊層202可以是氧化矽薄膜,其可例如是由熱氧化製程所形成。墊層202可當作基板200與罩幕層203之間的黏著層。墊層202可用以當作蝕刻罩幕層203的蝕刻停止層。在至少一實施例中,罩幕層203可以是氮化矽層,其可例如是由低壓化學氣相沉積(low-pressure chemical vapor deposition,LPCVD)製程或電漿增強化學氣相沉積(plasma enhanced chemical vapor deposition,PECVD)製程所形成。罩幕層203可在後續製程中用以當作硬罩幕層。此外,在罩幕層203上可形成具有預定圖案的圖案化光阻層204。
在圖1的步驟S14與圖2A至圖2B以及圖3A至圖3B中,接著可蝕刻未被圖案化光阻層204所覆蓋的罩幕層203與墊層202,以形成圖案化罩幕層203a以及圖案化墊層202a,並暴露出下方的基板200。利用圖案化光阻層204當作罩幕,部分基板200被暴露並被蝕刻,以形成溝渠206與半導體鰭片208。半導體鰭片208被圖案化罩幕層203a、圖案化墊層202a以及圖案化光阻層204所覆蓋。兩個相鄰溝渠206相隔一間距S。舉例來說,溝渠206之間的間距S可小於約30 nm。換言之,兩個相鄰溝渠206是由一相對應的半導體鰭片208所分隔。
半導體鰭片208的高度與溝渠206的深度介於約5 nm至約500 nm之間。形成溝渠206與半導體鰭片208之後,可接著移除圖案化光阻層204。在一實施例中,可進行清潔製程,以移除半導體基板200a與半導體鰭片208的原生氧化物(native oxide)。清潔過程可以用稀釋氫氟酸(diluted hydrofluoric,DHF)溶液或其它適當的清洗液來進行。
在圖1的步驟S16、步驟S18與圖2B至圖2D以及圖3B至圖3D中,在基板200a上形成阻擋材料層209,以覆蓋半導體鰭片208的側壁以及溝渠206的底面。在基板200a上形成絕緣材料210,以覆蓋阻擋材料層209、圖案化墊層202a以及圖案化罩幕層203a,並填滿溝渠206。
阻擋材料層209包括介電材料。介電材料包括氧化物。在一些實施例中,阻擋材料層209的氧含量大於絕緣材料210的氧含量。在一些示例實施例中,阻擋材料層209包括富氧氧化物(oxygen-rich oxide),例如富氧半導體氧化物(oxygen-rich semiconductor oxide)。舉例來說,富氧半導體氧化物可包括富氧氧化矽、富氧氧化矽鍺(oxygen-rich silicon-germanium oxide)或其組合。在一些實施例中,富氧氧化物可以MOx 來表示,其中M為矽(Si)或鍺(Ge),且2.1£x£2.5。在替代實施例中,阻擋材料層209包括負電荷層(negative-charged layers)。負電荷層的表面電荷介於-20´1010 /cm2 至-150´1010 /cm2 之間。在替代實施例中,阻擋材料層209與絕緣材料210可以是矽的氧化物,且阻擋材料層209的矽-氧的束縛能(binding energy value)小於絕緣材料210的矽-氧的束縛能。有至少一阻擋材料層209包括單層結構或多層結構。阻擋材料層209的厚度例如是介於約1 nm至約50 nm之間。
在一些實施例中,阻擋材料層209的形成方法可以是氧化製程。所述氧化製程例如是包括使用至少一種含氧(O)氣體的電漿處理。在所述氧化製程中,可藉由電漿處理中的中性自由基(neutral radicals)、離子、電子或其組合來氧化半導體鰭片208。在一些實施例中,所述阻擋材料層209的形成方法為電漿製程,且所述電漿製程的製程參數包括氧氣流速約為0.1每分鐘標準公升(slm)至30 slm,氫氣流速約為0.05 slm至10 slm,操作溫度為25°C至600°C,而操作壓力為0.5托(torr)至200 torr。在替代實施例中,阻擋材料層209的形成方法可以是電漿處理,且所述電漿製程包括使用氧氣與氫氣,其製程參數包括氧氣流速約為0.1 slm至30 slm,氫氣流速約為0.05 slm至10 slm,操作溫度為300°C至400°C,而操作壓力為1 torr至20 torr。
絕緣材料210的材料與阻擋材料層209的材料不同。絕緣材料210可包括氧化矽、氮化矽、氮氧化矽、旋塗介電材料(spin-on dielectric material)或低介電常數介電材料。應該理解,低介電常數介電材料通常是具有低於3.9的介電常數的介電材料。低介電常數介電材料的實例包括BLACK DIAMOND®(加州聖克拉拉的應用材料公司所生產)、乾凝膠(Xerogel)、氣凝膠(Aerogel)、非晶氟化碳(amorphous fluorinated carbon)、聚對二甲苯(Parylene)、雙苯並環丁烯(bis-benzocyclobutenes,BCB)、氟化聚芳香醚(Flare)、SILK®(密西根州米蘭的陶氏化學公司所生產)、氫倍半矽氧烷(hydrogen silsesquioxane,HSQ)或氟化氧化矽(fluorinated silicon oxide,SiOF)以及/或其組合。
在一些實施例中,絕緣材料210可包括氧化物。在一些示例實施例中,絕緣材料210的氧含量小於阻擋材料層209的氧含量。在一些示例實施例中,隔離結構210可表示為SiOy ,且y£2.0。在替代實施例中,阻擋材料層209可包括負電荷層,而絕緣材料210包括正電荷層(positive charged layers)。在替代實施例中,阻擋材料層209與絕緣材料210可以是矽的氧化物,且絕緣材料210的Si-O的束縛能大於阻擋材料層209的Si-O的束縛能。絕緣材料210的形成方法可以是高密度電漿化學氣相沉積法(high density plasma CVD,HDP-CVD)、次大氣壓化學氣相沉積法(sub-atmospheric CVD,SACVD)或旋轉塗佈法(spin-on process)。
在圖1的步驟S20中,在一些實施例中,在形成絕緣材料210之後,可進行退火製程,以緻密化(densify)絕緣材料210。所述退火製程的溫度例如是介於200°C至1150°C之間。由於阻擋材料層209覆蓋半導體鰭片208,因此,可防止半導體鰭片208在退火製程中被氧化。如此一來,可以避免半導體鰭片208的關鍵尺寸(the critical dimension,CD)在退火製程之後縮小。
在圖1的步驟S22與圖2D至圖2E以及圖3E至圖3E中,可進行例如是化學機械研磨製程來移除部分絕緣材料210、部分阻擋材料層209、圖案化罩幕層203a以及圖案化墊層202a,以暴露出半導體鰭片208。如圖2E與3E所示,在一些實施例中,在研磨絕緣材料210之後,經研磨的絕緣材料210a以及阻擋材料層209的頂面與半導體鰭片208的頂面T2實質上共平面。
在圖1的步驟S22與圖2E至圖2F以及圖3E至圖3F中,藉由蝕刻製程部分移除阻擋材料層209以及填在溝渠206中的研磨後的絕緣材料210a,以在基板200a上形成隔離結構210b與阻擋層209a。隔離結構210b的其中之一者與阻擋層209a的其中之一者位在兩個相鄰半導體鰭片208之間。在一些實施例中,所述蝕刻製程可以是使用氫氟酸(HF)的濕式蝕刻製程或是乾式蝕刻製程。隔離結構210b與阻擋層209a的頂面T1低於半導體鰭片208的頂面T2。半導體鰭片208突出於隔離結構210b與阻擋層209a的頂面T1。半導體鰭片208的頂面T2與隔離結構210b與阻擋層209a的頂面T1之間具有高度差H,所述高度差H例如是介於約15 nm至約50 nm之間。
在圖1的步驟S24與圖2F至圖2G以及圖3F至圖3G中,形成閘極堆疊結構212。所述閘極堆疊結構212橫跨過部分半導體鰭片208、部分隔離結構210b以及部分阻擋層209a。在一實施例中,閘極堆疊結構212的延伸方向D1可例如是垂直於半導體鰭片208的延伸方向D2,使得閘極堆疊結構212覆蓋半導體鰭片208的中間部分M(或稱為第一部分,如圖3G所示)。
在一些實施例中,半導體鰭片208的中間部分M(或稱為第一部分)的頂部關鍵尺寸(TCD)與半導體鰭片208的中間關鍵尺寸(MCD)的比率介於0.6至0.95之間。半導體鰭片208的中間部分M(或稱為第一部分)的底部關鍵尺寸(BCD)與半導體鰭片208的中間關鍵尺寸(MCD)的比率介於1.2至2.5之間。在一些實施例中,半導體鰭片208的中間關鍵尺寸(MCD)為半導體鰭片208在隔離結構210b的頂面T1的水平面處的關鍵尺寸。中間部分M可視為三重閘極鰭式場效電晶體的通道。在一些實施例中,閘極堆疊結構212包括閘介電層214與位於閘介電層214上的閘極216。閘介電層214位在部分半導體鰭片208、部分隔離結構210b以及部分阻擋層209a上。在替代實施例中,閘極堆疊結構212可更包括位在半導體鰭片208上的介面層(interfacial layer,IL)。也就是說,閘介電層214位在所述介面層與所述閘極216之間。在一些實施例中,所述介面層包括介電材料,例如氧化矽層或氮氧化矽層。所述介面層的形成方法包括熱氧化製程、CVD製程或原子層沉積(atomic layer deposition,ALD)製程。
閘介電層214覆蓋半導體鰭片208的中間部分M(或稱為第一部分)、部分隔離結構210b以及部分阻擋層209a。也就是說,閘介電層214位在半導體鰭片208的中間部分M(或稱為第一部分)的頂面與上側壁,而阻擋層209a位在半導體鰭片208的中間部分M(或稱為第一部分)的下側壁。在一些實施例中,閘介電層214包括氧化矽、氮化矽、氮氧化矽、高介電常數介電材料或其組合。所述高介電常數介電材料通常是具有大於4的介電常數的介電材料。所述高介電常數介電材料包括金屬氧化物。在一些實施例中,用以當作高介電常數介電材料的所述金屬氧化物的示例包括Li、Be、Mg、Ca、Sr、Sc、Y、Zr、Hf、Al、La、Ce、Pr、Nd、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Lu的氧化物或其組合。閘介電層214的形成方法包括熱氧化製程、CVD製程、ALD製程或其組合。
閘極216形成在閘介電層214上。在一些實施例中,閘極216可以是虛擬閘極。舉例來說,所述虛擬閘極包括由CVD製程所形成的多晶矽層、非晶矽層或其組合。在替代實施例中,閘極216可以是金屬閘極,且閘極216可包括阻障層、功函數層、晶種層、黏著層、緩衝層或其組合。舉例來說,所述金屬閘極包括Al、Cu、W、Ti、Ta、Ag、Ru、Mn、Zr、TiAl、TiN、TaN、WN、TiAlN、TaN、TaC、TaCN、TaSiN、NiSi、CoSi或其組合。在一些實施例中,閘極216包括合適的金屬,例如用於PMOS元件的TiN、WN、TaN或Ru。在一些替代實施例中,閘極216包括合適的金屬,例如用於NMOS元件的Ti、Ag、Al、TiAl、TiAlN、TaC、TaCN、TaSiN、Mn或Zr。閘極216的形成方法包括ALD製程、CVD製程、PVD製程、電鍍製程或其組合。
另外,閘極堆疊結構212可更包括一對間隙壁218,其分別位在閘介電層214與閘極216的側壁上。所述一對間隙壁218可更覆蓋部分半導體鰭片208。間隙壁218的材料可以是介電材料,例如氧化矽、氮化矽、碳氮氧化矽(SiCON)或其組合。間隙壁218可包括單層結構或多層結構。以下未被閘極堆疊結構212所覆蓋的部分半導體鰭片208可稱為暴露的部分E。
在圖1的步驟S26與圖2G至圖2H以及圖3G至圖3H中,移除並凹蝕半導體鰭片208的暴露的部分E,以形成凹陷部R(或稱為第二部分)。舉例來說,暴露的部分E可藉由非等向性蝕刻製程、等向性蝕刻製程或其組合來移除。在一些實施例中,半導體鰭片208的暴露的部分E可被凹蝕,而低於隔離結構210b的頂面T1。凹陷部R的深度D小於隔離結構210b的厚度TH。當半導體鰭片208的暴露的部分E被凹蝕時,部分半導體鰭片208被閘極堆疊結構212覆蓋而沒有被移除。因此,半導體鰭片208的中間部分M(或稱為第一部分)的高度大於凹陷部R(或稱為第二部分)的高度。閘極堆疊結構212的側壁暴露出被閘極堆疊結構212所覆蓋的部分半導體鰭片208。阻擋層209a的一部分位在半導體鰭片208的凹陷部R(或稱為第二部分)的側壁上,且未被閘極堆疊結構212所覆蓋的阻擋層209a的另一部分則外露於凹陷部R。
在圖1的步驟S28與圖2H至圖2I以及圖3H至圖3I中,在半導體鰭片208的凹陷部R上選擇性成長應變層(strained layers)220,且應變層220延伸超過隔離結構210b的頂面T1,以應變(strain)或擠壓(stress)半導體鰭片208。應變層220包括在閘極堆疊結構212的一側的源極以及在閘極堆疊結構212的另一側的汲極。所述源極覆蓋半導體鰭片208的一端,而所述汲極覆蓋半導體鰭片208的另一端。應變層220的晶格常數(lattice constant)與基板200a的晶格常數不同,因此,被閘極堆疊結構212所覆蓋的部分半導體鰭片208被應變或擠壓,以提升鰭式場效電晶體的載子遷移率(carrier mobility)與效能。在一實施例中,應變層220(例如是碳化矽(SiC))可由LPCVD製程磊晶成長,以形成N型鰭式場效電晶體的源極與汲極。在另一實施例中,應變層220(例如是矽鍺(SiGe))可由LPCVD製程磊晶成長,以形成P型鰭式場效電晶體的源極與汲極。在形成應變層220之後,未被閘極堆疊結構212所覆蓋的阻擋層209a位在半導體鰭片208的凹陷部R(或稱為第二部分)與隔離結構210b之間,且位在應變層220與隔離結構210b之間。
在圖1的步驟S30與圖2I至圖2J以及圖3I至圖3J中,在基板200a形成蝕刻停止層222。在一些實施例中,蝕刻停止層222共形地形成並覆蓋閘極堆疊結構212的側壁與頂面、隔離結構210b、阻擋層209a以及應變層220。在一些實施例中,蝕刻停止層222可以是接觸蝕刻停止層(CESL)。蝕刻停止層222的材料例如是包括氮化矽或碳摻雜氮化矽。在一些實施例中,蝕刻停止層222的沉積方法包括CVD製程、HDPCVD製程、SACVD製程、分子層沉積(molecular layer deposition,MLD)製程或其他合適製程。在一些實施例中,在形成蝕刻停止層222之前,還可在基板200a上形成緩衝層(未繪示)。在一實施例中,所述緩衝層可以是氧化物,例如氧化矽。然而,亦可使用其他組成物。在一些實施例中,所述緩衝層的沉積方法包括CVD製程、HDPCVD製程、SACVD製程、MLD製程或其他合適製程。
在圖1的步驟S30與圖2I至圖2J以及圖3I至圖3J中,在蝕刻停止層222上以及閘極堆疊結構212旁形成介電層224。介電層224包括介電材料。所述介電材料包括氧化矽、氮化矽、氮氧化矽、磷矽玻璃(phosphosilicate glass,PSG)、硼磷矽玻璃(borophosphosilicate glass,BPSG)、旋塗玻璃(spin-on glass,SOG)、氟化矽玻璃(fluorinated silica glass,FSG)、碳摻雜的氧化矽(例如,SiCOH)、聚醯亞胺以及/或其組合。在一些其他實施例中,介電層224包括低介電常數介電材料。應該理解,低介電常數介電材料通常是具有低於3.9的介電常數的介電材料。低介電常數介電材料的實例包括BLACK DIAMOND®(加州聖克拉拉的應用材料公司所生產)、乾凝膠(Xerogel)、氣凝膠(Aerogel)、非晶氟化碳(amorphous fluorinated carbon)、聚對二甲苯(Parylene)、雙苯並環丁烯(bis-benzocyclobutenes,BCB)、氟化聚芳香醚FLARE、SILK®(密西根州米蘭的陶氏化學公司所生產)、氫倍半矽氧烷(hydrogen silsesquioxane,HSQ)或氟化氧化矽(fluorinated silicon oxide,SiOF)以及/或其組合。應該理解,介電層224可以包括一種介電材料或多種介電材料以及/或一層介電層或多層介電層。在一些實施例中,介電層224可藉由進行CVD製程、HDPCVD製程、SACVD製程、旋塗製程或其它合適製程以沉積合適的厚度。
在圖1的步驟S32與圖2J至圖2K以及圖3J至圖3K中,將介電層224與蝕刻停止層222部分移除,使得閘極堆疊結構212的頂面暴露出來,留下介電層224a與蝕刻停止層222a。將介電層224與部分蝕刻停止層222部分移除方法包括化學機械研磨(CMP)製程、蝕刻製程或其他合適製程。在一些實施例中,在研磨介電層224與蝕刻停止層222之後,介電層224a與蝕刻停止層222a的頂面與閘極堆疊結構212的頂面實質上共平面。
在圖1的步驟S34與圖2K至圖2M以及圖3K至圖3M中,當閘極216為虛擬閘極時,可進行閘極取代(gate replacement)製程。在閘極取代製程中,將閘極216移除,以形成閘極溝渠225,接著將閘極228填入閘極溝渠225中。舉例來說,閘極216可藉由非等向性蝕刻製程、等向性蝕刻製程或其組合來移除。閘極228包括金屬閘極。所述金屬閘極例如是包括Al、Cu、W、Ti、Ta、Ag、Ru、Mn、Zr、TiAl、TiN、TaN、WN、TiAlN、TaN、TaC、TaCN、TaSiN、NiSi、CoSi或其組合。閘極228可包括阻障層、功函數層、晶種層、黏著層、緩衝層或其組合。在一些實施例中,閘極228包括用於PMOS元件的合適的金屬,例如是TiN、WN、TaN或Ru。在一些替代實施例中,閘極228包括用於NMOS元件的合適的金屬,例如Ti、Ag、Al、TiAl、TiAlN、TaC、TaCN、TaSiN、Mn或Zr。在一些實施例中,在進行閘極取代製程之後,可形成閘極堆疊結構230。閘極堆疊結構230包括閘介電層214以及位於閘介電層214上的閘極228。閘極堆疊結構230可更包括一對間隙壁218,其分別位在閘介電層214以及閘極228的側壁上。
在本發明實施例中,將阻擋材料層形成在半導體鰭片的側壁上,以防止半導體鰭片在後續退火製程、蝕刻製程(乾式蝕刻製程或濕式蝕刻製程)期間或是在隔離結構形成之後的清潔製程被氧化或是被蝕刻。因此,可以避免半導體鰭片的關鍵尺寸(CD)縮小。如此一來,半導體鰭片的頂部關鍵尺寸與底部關鍵尺寸的比率變得較大,元件的效能也將提高。此外,在一些實施例中,所述阻擋材料層包括富氧半導體氧化物或是負電荷層,以減少電荷捕捉的現象、提供更好的表面保護並減少漏電流。此外,當所述阻擋材料層包括富氧半導體氧化物或是負電荷層時,捕捉到所述半導體鰭片表面的距離會變得更小。因此,可改善元件的隨機信號雜訊(random telegraph signal,RTS)。
根據本發明的一些實施例,一種鰭式場效電晶體,包括:基板、多個隔離結構、多個阻擋層以及閘極堆疊結構。基板具有多個半導體鰭片。隔離結構位在基板上,以隔離半導體鰭片。另外,半導體鰭片突出於隔離結構。阻擋層位在隔離結構與半導體鰭片之間。阻擋層的材料與隔離結構的材料不同。閘極堆疊結構橫跨過部分半導體鰭片、部分所述阻擋層以及部分所述隔離結構。
在上述鰭式場效電晶體中,所述阻擋層的氧含量大於所述隔離結構的氧含量。
在上述鰭式場效電晶體中,述阻擋層包括富氧氧化物。
在上述鰭式場效電晶體中,所述富氧氧化物表示為MOx ,其中M為矽(Si)或鍺(Ge),且2.1£x£2.5。
在上述鰭式場效電晶體中,所述隔離結構的材料表示為SiOy ,且y£2.0。
在上述鰭式場效電晶體中,所述阻擋層包括負電荷層,而所述隔離結構包括正電荷層。
根據本發明的替代實施例,一種鰭式場效電晶體,包括:基板、閘介電層、閘極、多個阻擋層、多個應變層以及多個隔離結構。基板具有半導體鰭片。半導體鰭片包括第一部分與多個第二部分,所述第一部分配置在所述第二部分之間。閘介電層位在所述半導體鰭片的所述第一部分的頂面與上側壁上。閘極位在閘介電層上。阻擋層位在所述半導體鰭片的所述第一部分的下側壁,且位在所述半導體鰭片的所述第二部分的側壁上。應變層位在所述半導體鰭片的所述第二部分上。隔離結構位在所述阻擋層旁。所述阻擋層的材料與所述隔離結構的材料不同。
在上述鰭式場效電晶體中,所述阻擋層包括富氧氧化物。
在上述鰭式場效電晶體中,所述富氧氧化物表示為MOx ,其中M為矽(Si)或鍺(Ge),且2.1£x£2.5。
在上述鰭式場效電晶體中,所述阻擋層包括負電荷層。
在上述鰭式場效電晶體中,所述負電荷層的表面電荷介於-20´1010 /cm2 至-150´1010 /cm2 之間。
在上述鰭式場效電晶體中,所述半導體鰭片的所述第二部分的高度小於所述半導體鰭片的所述第一部分的高度。
在上述鰭式場效電晶體中,所述阻擋層位在所述半導體鰭片與所述隔離結構之間,且位於所述應變層與所述隔離結構之間。
根據本發明的另一替代實施例,一種鰭式場效電晶體的製造方法包括下列步驟。提供基板。移除部分所述基板,以在所述基板中形成多個溝渠,並在所述溝渠之間形成半導體鰭片。在所述半導體鰭片的側壁處形成多個阻擋材料層。在所述基板上形成隔離材料,以覆蓋所述阻擋材料層且填入所述溝渠中。另外,所述阻擋材料層的材料與所述隔離材料不同。部分移除所述隔離材料與所述阻擋材料層,以形成多個隔離結構與多個阻擋層。所述半導體鰭片突出於所述隔離結構。之後,形成閘極堆疊結構,其橫跨過所述半導體鰭片、所述阻擋層以及所述隔離結構。
在上述鰭式場效電晶體的製造方法中,形成所述多個阻擋層的步驟包括進行氧化製程。
在上述鰭式場效電晶體的製造方法中,形成所述多個阻擋層的步驟包括進行電漿處理。
在上述鰭式場效電晶體的製造方法中,所述阻擋層包括富氧氧化物。
在上述鰭式場效電晶體的製造方法中,所述阻擋層包括負電荷層。
在上述鰭式場效電晶體的製造方法中,在部分移除所述隔離材料與所述阻擋材料層之前,上述鰭式場效電晶體的製造方法更包括進行退火製程。
在上述鰭式場效電晶體的製造方法中,更包括以下步驟。移除外露於所述閘極堆疊結構的所述半導體鰭片的頂部,以形成所述半導體鰭片的多個凹陷部。在所述半導體鰭片的所述凹陷部上形成多個應變層。
以上概述了數個實施例的特徵,使本領域具有通常知識者可更佳了解本揭露的態樣。本領域具有通常知識者應理解,其可輕易地使用本揭露作為設計或修改其他製程與結構的依據,以實行本文所介紹的實施例的相同目的及/或達到相同優點。本領域具有通常知識者還應理解,這種等效的配置並不悖離本揭露的精神與範疇,且本領域具有通常知識者在不悖離本揭露的精神與範疇的情況下可對本文做出各種改變、置換以及變更。
雖然本發明已以實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明的精神和範圍內,當可作些許的更動與潤飾,故本發明的保護範圍當視後附的申請專利範圍所界定者為準。
200、200a‧‧‧基板 202‧‧‧墊層 202a‧‧‧圖案化墊層 203‧‧‧罩幕層 203a‧‧‧圖案化罩幕層 204‧‧‧圖案化光阻層 206‧‧‧溝渠 208‧‧‧半導體鰭片 209‧‧‧阻擋材料層 209a‧‧‧阻擋層 210‧‧‧絕緣材料 210a‧‧‧經研磨的絕緣材料 210b‧‧‧隔離結構 212‧‧‧閘極堆疊結構 214‧‧‧閘介電層 216‧‧‧閘極 218‧‧‧間隙壁 220‧‧‧應變層 222、222a‧‧‧蝕刻停止層 224、224a‧‧‧介電層 225‧‧‧閘極溝渠 228‧‧‧閘極 230‧‧‧閘極堆疊結構 BCD‧‧‧底部關鍵尺寸 MCD‧‧‧中間關鍵尺寸 TCD‧‧‧頂部關鍵尺寸 D‧‧‧深度 D1、D2‧‧‧延伸方向 E‧‧‧暴露的部分 H‧‧‧高度差 M‧‧‧中間部分 R‧‧‧凹陷部 S‧‧‧間距 S12、S14、S16、S18、S20、S22、S24、S26、S28、S30、S32、S34‧‧‧步驟 T1、T2‧‧‧頂面 TH‧‧‧厚度
圖1是依照本發明一些實施例的一種鰭式場效電晶體的製造方法的流程圖。 圖2A至圖2M是依照本發明一些實施例的一種鰭式場效電晶體的製造方法的立體圖。 圖3A至圖3G是沿著圖2A至圖2G的I-I’線的鰭式場效電晶體的剖面圖。 圖3H至圖3I是沿著圖2H至圖2I的II-II’線的鰭式場效電晶體的剖面圖。 圖3J至圖3M是沿著圖2J至圖2M的III-III’線的鰭式場效電晶體的剖面圖。
200a‧‧‧基板
209a‧‧‧阻擋層
210b‧‧‧隔離結構
214‧‧‧閘介電層
218‧‧‧間隙壁
220‧‧‧應變層
222a‧‧‧蝕刻停止層
224a‧‧‧介電層
228‧‧‧閘極
230‧‧‧閘極堆疊結構
D‧‧‧深度
D1、D2‧‧‧延伸方向
TH‧‧‧厚度

Claims (1)

  1. 一種鰭式場效電晶體(FinFET),包括: 基板,具有多個半導體鰭片; 多個隔離結構,位在所述基板上,以隔離所述半導體鰭片,其中所述半導體鰭片突出於所述隔離結構; 多個阻擋層,位在所述隔離結構與所述半導體鰭片之間,其中所述阻擋層的材料與所述隔離結構的材料不同;以及 閘極堆疊結構橫跨過部分所述半導體鰭片、部分所述阻擋層以及部分所述隔離結構。
TW105139072A 2016-02-26 2016-11-28 鰭式場效電晶體 TW201731105A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US15/054,133 US9893185B2 (en) 2016-02-26 2016-02-26 Fin field effect transistor and method for fabricating the same

Publications (1)

Publication Number Publication Date
TW201731105A true TW201731105A (zh) 2017-09-01

Family

ID=59679006

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105139072A TW201731105A (zh) 2016-02-26 2016-11-28 鰭式場效電晶體

Country Status (3)

Country Link
US (1) US9893185B2 (zh)
CN (1) CN107134493A (zh)
TW (1) TW201731105A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI825825B (zh) * 2021-07-09 2023-12-11 台灣積體電路製造股份有限公司 形成半導體裝置的方法

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11784239B2 (en) * 2016-12-14 2023-10-10 Intel Corporation Subfin leakage suppression using fixed charge
US10811320B2 (en) * 2017-09-29 2020-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Footing removal in cut-metal process
KR102541010B1 (ko) * 2018-07-12 2023-06-07 삼성전자주식회사 반도체 장치
US10790198B2 (en) 2018-08-08 2020-09-29 Globalfoundries Inc. Fin structures
US10770302B2 (en) * 2018-09-27 2020-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor FinFET device and method
US11751398B2 (en) * 2020-09-15 2023-09-05 Ememory Technology Inc. Memory structure and operation method thereof
CN113506744B (zh) * 2021-06-21 2024-03-12 上海华力集成电路制造有限公司 鳍式场效应晶体管的制造方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8994104B2 (en) * 1999-09-28 2015-03-31 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US8629426B2 (en) * 2010-12-03 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain stressor having enhanced carrier mobility manufacturing same
US20150097244A1 (en) * 2013-10-08 2015-04-09 Stmicroelectronics, Inc. Semiconductor device with a buried oxide stack for dual channel regions and associated methods
US9219116B2 (en) * 2014-01-15 2015-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of semiconductor device
US9496181B2 (en) * 2014-12-23 2016-11-15 Qualcomm Incorporated Sub-fin device isolation
US9761723B2 (en) * 2015-01-08 2017-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of finFET device
CN105845725B (zh) * 2015-01-12 2019-01-22 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法和电子装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI825825B (zh) * 2021-07-09 2023-12-11 台灣積體電路製造股份有限公司 形成半導體裝置的方法

Also Published As

Publication number Publication date
US20170250282A1 (en) 2017-08-31
CN107134493A (zh) 2017-09-05
US9893185B2 (en) 2018-02-13

Similar Documents

Publication Publication Date Title
US10797156B2 (en) Method of forming the gate electrode of field effect transistor
KR101978046B1 (ko) 반도체 디바이스 및 그 제조 방법
TWI740869B (zh) 鰭式場效應電晶體及其製造方法
US9685344B2 (en) Method of fabricating a semiconductor device including a plurality of isolation features
TWI570915B (zh) 半導體裝置以及製造鰭式場效電晶體裝置的方法
KR101637718B1 (ko) 반도체 디바이스의 핀 구조체
TWI624875B (zh) 鰭式場效應電晶體及其製造方法
TWI512988B (zh) 鰭式場效電晶體
KR101422354B1 (ko) 반도체 디바이스의 더미 게이트 전극
TW201731105A (zh) 鰭式場效電晶體
TWI616954B (zh) 鰭式場效應電晶體及其製造方法
US20160329405A1 (en) Contact structure of semiconductor device
TW201643966A (zh) 鰭式場效電晶體裝置及其形成方法
CN103137624A (zh) 高栅极密度器件和方法
TW201216467A (en) FinFET and method of manufacturing the same
KR20150015341A (ko) 반도체 디바이스의 컨택 구조물
TW201903858A (zh) 半導體裝置的製造方法
US20170250106A1 (en) Method for fabricating a fin field effect transistor and a shallow trench isolation
TW201719905A (zh) 鰭式場效應電晶體
US9941372B2 (en) Semiconductor device having electrode and manufacturing method thereof
TWI835324B (zh) 半導體結構及其形成方法
TW201719770A (zh) 鰭式場效應電晶體的製造方法