TW201730663A - 遮罩基底用基板、具多層反射膜之基板、反射型遮罩基底及反射型遮罩以及半導體裝置之製造方法 - Google Patents

遮罩基底用基板、具多層反射膜之基板、反射型遮罩基底及反射型遮罩以及半導體裝置之製造方法 Download PDF

Info

Publication number
TW201730663A
TW201730663A TW105138561A TW105138561A TW201730663A TW 201730663 A TW201730663 A TW 201730663A TW 105138561 A TW105138561 A TW 105138561A TW 105138561 A TW105138561 A TW 105138561A TW 201730663 A TW201730663 A TW 201730663A
Authority
TW
Taiwan
Prior art keywords
film
substrate
mask
reflective
light
Prior art date
Application number
TW105138561A
Other languages
English (en)
Other versions
TWI732801B (zh
Inventor
Yohei IKEBE
Tsutomu Shoki
Original Assignee
Hoya Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hoya Corp filed Critical Hoya Corp
Publication of TW201730663A publication Critical patent/TW201730663A/zh
Application granted granted Critical
Publication of TWI732801B publication Critical patent/TWI732801B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/34Surface treatment of glass, not in the form of fibres or filaments, by coating with at least two coatings having different compositions
    • C03C17/3411Surface treatment of glass, not in the form of fibres or filaments, by coating with at least two coatings having different compositions with at least two coatings of inorganic materials
    • C03C17/3429Surface treatment of glass, not in the form of fibres or filaments, by coating with at least two coatings having different compositions with at least two coatings of inorganic materials at least one of the coatings being a non-oxide coating
    • C03C17/3435Surface treatment of glass, not in the form of fibres or filaments, by coating with at least two coatings having different compositions with at least two coatings of inorganic materials at least one of the coatings being a non-oxide coating comprising a nitride, oxynitride, boronitride or carbonitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/32Attenuating PSM [att-PSM], e.g. halftone PSM or PSM having semi-transparent phase shift portion; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/46Antireflective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/48Protective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/66Containers specially adapted for masks, mask blanks or pellicles; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7095Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
    • G03F7/70958Optical materials or coatings, e.g. with particular transmittance, reflectance or anti-reflection properties
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2218/00Methods for coating glass
    • C03C2218/30Aspects of methods for coating glass not covered above
    • C03C2218/32After-treatment
    • C03C2218/328Partly or completely removing a coating
    • C03C2218/33Partly or completely removing a coating by etching
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2218/00Methods for coating glass
    • C03C2218/30Aspects of methods for coating glass not covered above
    • C03C2218/34Masking
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C2218/00Methods for coating glass
    • C03C2218/30Aspects of methods for coating glass not covered above
    • C03C2218/365Coating different sides of a glass substrate

Landscapes

  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Health & Medical Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • General Chemical & Material Sciences (AREA)
  • Geochemistry & Mineralogy (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

本發明提供一種在半導體裝置的製造工序中,當藉由使用EUV光之曝光光線來將特定圖案轉印在晶圓上時,可降低帶外(out of band)光之反射型遮罩。本發明之遮罩基底用基板係於基板上具有下層膜之遮罩基底用基板,該下層膜係由在190nm以上280nm以下的波長範圍中具有較該基板要小的折射率之材料所形成,配置於該基板表面之該下層膜的反射率在190nm以上280nm以下的波長範圍中係小於該基板的反射率。

Description

遮罩基底用基板、具多層反射膜之基板、反射型遮罩基底及反射型遮罩以及半導體裝置之製造方法
本發明係關於一種使用於半導體裝置的製造等之反射型遮罩、以及用以製造反射型遮罩之遮罩基底用基板、具多層反射膜之基板及反射型遮罩基底。又,本發明係關於一種使用上述反射型遮罩之半導體裝置的製造方法。
半導體製造中之曝光裝置光源的種類有波長436nm的g線、同365nm的i線、同248nm的KrF雷射、同193nm的ArF雷射,慢慢地縮短波長而進化至今。為了實現更微細的圖案轉印,曝光裝置光源的波長已開發一種使用13.5nm附近的超紫外線(EUV:Extreme Ultra Violet)之EUV微影技術。EUV微影技術中,由於相對於EUV光為透明的材料較少,故並非使用穿透型遮罩,而是反射型遮罩。該反射型遮罩一般來說係一種在低熱膨脹基板上形成有會反射曝光光線之多層反射膜,且在用以保護該多層反射膜之保護膜上形成有所欲的轉印圖案之構造。
轉印圖案有會反射一定量的EUV光之相位轉移膜型(半調式(half-tone)相位轉移型)與會較強地吸收EUV光之吸收體膜型(二階(binary)型)。縱使為EUV光的吸收量大,而相對地反射光 小之吸收體膜型仍會反射0.5%左右的EUV光。因此,EUV用反射型遮罩中,不僅是相位轉移膜型,且吸收體膜型亦必須設置有用以充分降低來自鄰接進行的曝光所帶來之曝光光線反射的影響之專用的遮光帶。在此,遮光帶係指圍繞遮罩的電路圖案區域般所設置之遮光框(區域)。遮光帶係用來使曝光光線不會溢漏至晶圓上之經圖案轉印後的區塊所相鄰接之區域,例如相鄰經轉印形成後的電路圖案區域。若在此遮光帶部處無法充分地降低反射光之情況,則曝光光線會覆蓋到鄰接區域,引起該鄰接區域內之圖案的解析度降低或轉印尺寸精確度的降低之問題,而成為良率降低的原因。EUV用反射型遮罩中,若單純地僅在相位轉移膜及吸收體膜形成遮光帶,則反射光會很大,而引起上述等問題,故係使用具有充分的遮光性(充分的反射降低功能)之遮光帶。
反射型遮罩之代表性遮光帶係一種對遮光帶部分的多層反射膜進行蝕刻之挖入型遮光帶(以下,適當地稱作「多層反射膜挖入遮光帶」)。此方法係較在轉印圖案用的吸收體膜上進一步層積有遮光帶用的吸收膜之吸收體層積型遮光帶,在高精度之轉印圖案的形成、缺陷發生的降低、以及層積遮光帶膜所造成之遮蔽效果防止之觀點上為有利的。
為了製作上述般的EUV微影用反射型遮罩及用於製造此之遮罩基底相關的技術已揭示於專利文獻1~3。又,專利文獻1亦揭示了遮光帶及遮蔽效果。
專利文獻4揭示一種反射型遮罩基底,係以波長5至15nm的光作為曝光光線之微影製程中所使用之反射型遮罩用基底,具有基板、形成於該基板上之透明導電層、形成於該透明導電層上且會反射曝光光線之多層反射層(多層反射膜)、形成於該多層 反射層上而保護該多層反射層之保護層(保護膜)、形成於該保護層上且會吸收曝光光線之吸收層、以及形成於相對於該基板的多層反射層而為相反面上之內面導電層(內面導電膜)。
【先前技術文獻】
【專利文獻】
專利文獻1:日本特開2009-212220號公報
專利文獻2:日本特開2010-080659號公報
專利文獻3:日本特開2004-39884號公報
專利文獻4:日本特開2014-229652號公報
使用EUV光作為曝光源的情況,已知會產生稱作帶外(OoB:Out Of Band)光之真空紫外光及紫外光(波長:190~400nm)。上述多層反射膜挖入遮光帶型的EUV微影用反射型遮罩(以下,簡稱為「反射型遮罩」。)中,由於遮光帶區域處基板會露出,故曝光源所含的帶外光會在基板面反射,或是穿透基板而因設置於基板內面之導電膜而發生反射。由於鄰接之電路圖案區域係受到多次曝光,故所反射之帶外光的光量積算值會成為無法忽視的大小。於是,便會有因帶外光而對配線圖案的尺寸造成影響之問題發生。
專利文獻4中記載一種在基板與多層反射層(多層反射膜)之間具有ITO(氧化銦.錫)、氧化鋅、氧化錫、矽化鉬(MoSi)的氧化物、氮化物、氮氧化物等的透明導電層之反射型遮罩基底。專利文獻4中記載因為此透明導電層,而在遮光框區域處會發生帶外的表面反射率降低及衰減。
本發明係鑑於上述問題點而發明者。本發明之目的在於提供一種在半導體裝置的製造工序中,當藉由使用EUV光之曝光光線來將特定圖案轉印在晶圓上時,可降低帶外光之反射型遮罩。又,本發明之目的在於提供一種可用於能夠降低帶外光之反射型遮罩的製造之反射型遮罩基底。又,本發明之目的在於提供一種可用於能夠降低帶外光之反射型遮罩的製造之具多層反射膜之基板及遮罩基底用基板。
為解決上述課題,本發明係具有以下的構成。
(構成1)
本發明之構成1為一種遮罩基底用基板,係於基板上具有下層膜之遮罩基底用基板;該下層膜係由在190nm以上280nm以下的波長範圍中具有較該基板要小的折射率之材料所形成;配置於該基板表面之該下層膜的反射率在190nm以上280nm以下的波長範圍中係小於該基板的反射率。
藉由使用本發明之構成1的遮罩基底用基板,可製造一種當藉由使用EUV光之曝光光線來將特定圖案轉印在晶圓上時,能夠降低帶外光之反射型遮罩。
(構成2)
本發明之構成2係如構成1之遮罩基底用基板,其中配置於該基板表面之該下層膜的反射率為5%以下。
依據本發明之構成2,藉由配置於基板表面之下層膜的反射率為5%以下,在使用該基板所製造之反射型遮罩中,當藉由使用EUV光之曝光光線來將特定圖案轉印在晶圓上時,可降低在下層膜表面所反射之帶外光。
(構成3)
本發明之構成3係如構成1或2之遮罩基底用基板,其中該下 層膜的折射率係小於1.6。
依據本發明之構成3,藉由下層膜的折射率為特定範圍,可確實地降低在下層膜表面所反射之帶外光。
(構成4)
本發明之構成4係如構成1至3中任一者之遮罩基底用基板,其中該下層膜係由含有矽化合物之材料所構成。
依據本發明之構成4,藉由下層膜係由含有矽化合物之材料所構成,可獲得在190nm以上280nm以下的波長範圍中,具有較基板要小的折射率之下層膜。其結果,可確實地降低在下層膜表面所反射之帶外光。
(構成5)
本發明之構成5係如構成1至4中任一者之遮罩基底用基板,其中該下層膜的膜厚為6~65nm。
依據本發明之構成5,藉由下層膜的膜厚為特定範圍,可以經濟且確實地形成具有帶外光降低效果之下層膜。
(構成6)
本發明之構成6係如構成1至5中任一者之遮罩基底用基板,其係相對於設置有該下層膜之面,而具有形成於相反側的面之內面導電膜,以及設置於該基板與該內面導電膜之間之中間膜;配置於該基板表面之該下層膜在大於280nm、320nm以下的波長範圍中,反射率為10%以下。
依據本發明之構成6,藉由本發明之遮罩基底用基板係具有設置於基板與內面導電膜之間的中間膜,可獲得一種在半導體裝置的製造工序中,當藉由使用EUV光之曝光光線來將特定圖案轉印在晶圓上時,能夠降低從基板的內面所反射之帶外光之反射型遮罩。
(構成7)
本發明之構成7係如構成6之遮罩基底用基板,其中配置於該基板表面之該下層膜在大於280nm、400nm以下的波長範圍中,反射率為15%以下。
藉由使用本發明之構成7的遮罩基底用基板,可製造一種不僅是大於280nm、320nm以下的波長範圍,且亦可降低大於280nm、400nm以下波長範圍的帶外光之反射型遮罩。
(構成8)
本發明之構成8係如構成6或7之遮罩基底用基板,其中該中間膜係由含有鉭、硼及氧之材料所構成。
依據本發明之構成8,藉由本發明之遮罩基底用基板的中間膜係由含有鉭、硼及氧之材料所構成,可較易形成具有帶外光降低效果之中間膜。
(構成9)
本發明之構成9係如構成6至8中任一者之遮罩基底用基板,其中該中間膜的膜厚為2~40nm。
依據本發明之構成9,藉由中間膜的膜厚為特定範圍,可以經濟且確實地形成具有帶外光降低效果之中間膜。
(構成10)
本發明之構成10為一種具多層反射膜之基板,係在如構成1至9中任一者之遮罩基底用基板中的該下層膜上具有會反射曝光光線之多層反射膜。
藉由使用本發明之構成10的具多層反射膜之基板,可製造一種能夠降低帶外光之反射型遮罩。
(構成11)
本發明之構成11係如構成10之具多層反射膜之基板,其中 該多層反射膜上具有保護膜。
依據本發明之構成11,藉由於多層反射膜上形成有保護膜,當使用具多層反射膜之基板來製造反射型遮罩時,可抑制對多層反射膜表面造成的損傷,故可使相對於EUV光之反射率特性為良好。
(構成12)
本發明之構成12係於如構成10所記載之具多層反射膜之基板的該多層反射膜上,或如構成11所記載之具多層反射膜之基板的該保護膜上具有吸收體膜。
藉由使用本發明之構成12的反射型遮罩基底,可製造一種能夠降低帶外光之反射型遮罩。
(構成13)
本發明之構成13為一種反射型遮罩,係對如構成12所記載之反射型遮罩基底的該吸收體膜進行圖案化,而於該多層反射膜上具有吸收體圖案。
藉由使用本發明之構成13的反射型遮罩,在半導體裝置的製造工序中,當藉由使用EUV光之曝光光線來將特定圖案轉印在晶圓上時,可降低圖案轉印的帶外光。
(構成14)
本發明之構成14係如構成13之反射型遮罩,其係在形成有該吸收體圖案之轉印圖案區域的外側具有已除去該吸收體膜及該多層反射膜之遮光帶。
依據本發明之構成14,當藉由使用EUV光之曝光光線來將特定圖案轉印在晶圓上時,可降低來自反射型遮罩之遮光帶的反射所造成之帶外光。
(構成15)
本發明之構成15係一種半導體裝置的製造方法,係具有使用如構成13或14所記載之反射型遮罩,來進行使用曝光裝置之微影製程,而於被轉印體上形成轉印圖案之工序。
依據本發明之構成15的半導體裝置製造方法,由於可降低圖案轉印時的帶外光,故可製造具有微細且高精度的轉印圖案之半導體裝置。
依據本發明,可提供一種在半導體裝置的製造工序中,當藉由使用EUV光之曝光光線來將特定圖案轉印在晶圓上時,可降低帶外光之反射型遮罩。又,依據本發明,可提供一種可用於能夠降低帶外光之反射型遮罩的製造之反射型遮罩基底。又,依據本發明,可提供一種可用於能夠降低帶外光之反射型遮罩的製造之具多層反射膜之基板及遮罩基底用基板。
1‧‧‧基板
2‧‧‧內面導電膜
3‧‧‧下層膜
4‧‧‧中間膜
5‧‧‧多層反射膜
5b‧‧‧多層反射膜圖案
6‧‧‧保護膜
6b‧‧‧保護膜圖案
7‧‧‧吸收體膜
7a‧‧‧第1吸收體圖案
7b‧‧‧第2吸收體圖案
8‧‧‧阻膜
8a‧‧‧阻劑圖案
9‧‧‧阻膜
9a‧‧‧阻劑圖案
11‧‧‧遮光帶部
12‧‧‧轉印圖案區域
13‧‧‧周邊區域
71‧‧‧吸收體膜(下層吸收體膜)
71a‧‧‧吸收體圖案(下層吸收體圖案)
71b‧‧‧吸收體圖案(下層吸收體圖案)
72‧‧‧吸收體膜(上層吸收體膜)
72a‧‧‧吸收體圖案(上層吸收體圖案)
72b‧‧‧吸收體圖案(上層吸收體圖案)
100‧‧‧反射型遮罩基底
110‧‧‧具多層反射膜之基板
120‧‧‧遮罩基底用基板
200‧‧‧反射型遮罩
圖1為本發明之反射型遮罩基底的剖面示意圖。
圖2為圖1所示之反射型遮罩基底的製造中所使用之遮罩基底用基板的剖面示意圖。
圖3為本發明之反射型遮罩基底的其他態樣之剖面示意圖。
圖4為圖3所示之反射型遮罩基底的製造中所使用之遮罩基底用基板的剖面示意圖。
圖5為圖1所示之反射型遮罩基底的製造中所使用之具多層反射膜之基板的剖面示意圖。
圖6為以剖面示意圖來顯示本發明之反射型遮罩的製造工序之工序圖。
圖7為本發明之反射型遮罩的平面示意圖。
圖8為顯示本發明之實施例、比較例、參考例及基板單體之反射率的波長依存性之圖式。
以下,針對本發明之實施型態,參閱圖式來具體地說明。此外,以下的實施型態係用以具體地說明本發明之型態,並非將本發明限定於其範圍內。
<遮罩基底用基板120及反射型遮罩基底100>
圖1為本發明第1EUV微影用之反射型遮罩基底100的一範例之剖面示意圖。圖1所示之反射型遮罩基底100依序層積有基板1、形成於基板1的第1主面(表面)側之特定的下層膜3、反射作為曝光光線之EUV光之多層反射膜5、用以保護多層反射膜5之保護膜6、及吸收EUV光之吸收體膜7。又,圖1所示之反射型遮罩基底100的基板1的第2主面(內面)側係形成有靜電夾具用的內面導電膜2。
圖2係顯示本發明之遮罩基底用基板120的一範例之剖面示意圖。圖2所示之遮罩基底用基板120可使用於圖1所示之反射型遮罩基底100的製造。圖2所示之遮罩基底用基板120係於基板1上,較佳地,係相接於基板1的表面,而具有特定的下層膜3。
圖2所示之遮罩基底用基板120的特定的下層膜3係在190nm以上280nm以下的波長範圍中具有較基板1要小的折射率之薄膜。又,配置於基板1的表面之特定的下層膜3係由在190nm以上280nm以下的波長範圍中反射率小於該基板1的反射率之材料所形成。本發明之遮罩基底用基板120及反射型遮罩基底100藉由具有特定的下層膜3,便可製造一種可降低特別是190nm以上 280nm以下波長範圍的帶外光之反射型遮罩200。
圖3為本發明第2反射型遮罩基底100之剖面示意圖。圖3所示之反射型遮罩基底100依序層積有基板1、形成於基板1的第1主面(表面)側之特定的下層膜3、反射作為曝光光線之EUV光之多層反射膜5、用以保護多層反射膜5之保護膜6、及吸收EUV光之吸收體膜7。又,圖3所示之反射型遮罩基底100的基板1的第2主面(內面)上係形成有特定的中間膜4及靜電夾具用的內面導電膜2。較佳地,特定的中間膜4係相接於基板1般而形成,內面導電膜2係相接於特定的中間膜4般而形成。
圖4係顯示本發明之遮罩基底用基板120的一範例之剖面示意圖。圖4所示之遮罩基底用基板120可使用於圖3所示之反射型遮罩基底100的製造。圖4所示之遮罩基底用基板12係於設置有下層膜3之表面的相反側表面上具有內面導電膜2,以及形成於基板1與內面導電膜2之間之特定的中間膜4。較佳地,特定的中間膜4係相接於基板1般而形成,內面導電膜2係相接於特定的中間膜4般而形成。
特定的中間膜4係一種當測量配置於基板1的相反側表面之下層膜的反射率時,在大於280nm、320nm以下的波長範圍中反射率為10%以下之薄膜。本發明之遮罩基底用基板120及反射型遮罩基底100藉由具有設置於基板1與內面導電膜2之間的中間膜4,便可獲得一種在半導體裝置的製造工序中,當藉由使用EUV光之曝光光線來將特定圖案轉印在晶圓上時,可降低因來自基板1內面的反射所造成之大於280nm、320nm以下波長範圍的帶外光之反射型遮罩200。
在本說明書中,「遮罩基底用基板120」除了基板單體(基板1)以外,係包含了在基板1上形成有下層膜3、中間膜4及內面導 電膜2等之多層反射膜5以外的薄膜者。又,本說明書中,「具多層反射膜之基板110」係指於遮罩基底用基板120上形成有多層反射膜5者。圖5係顯示具多層反射膜之基板110的剖面示意圖的一範例。此外,「具多層反射膜之基板110」係包含了吸收體膜7以外的薄膜,例如形成有保護膜6者。本說明書中,「反射型遮罩基底100」係指於具多層反射膜之基板110上形成有吸收體膜7者。此外,「反射型遮罩基底100」係包含了形成有蝕刻遮罩膜及阻膜等額外的薄膜者。
本發明包含特定的具多層反射膜之基板110,其係具有會在特定的遮罩基底用基板120中之該下層膜3上反射曝光光線之多層反射膜5。藉由使用本發明之具多層反射膜之基板110,便可製造能夠降低帶外光之反射型遮罩200。
本發明包含特定的反射型遮罩基底100,其係在特定的具多層反射膜之基板110的上具有吸收體膜7。具體來說,本發明之反射型遮罩基底100係於特定的具多層反射膜之基板110的多層反射膜5上,或特定的具多層反射膜之基板110的保護膜6上具有吸收體膜7。藉由使用本發明之反射型遮罩基底100,便可製造能夠降低帶外光之反射型遮罩200。
本說明書中,「在多層反射膜5之上(多層反射膜5上)配置(形成)吸收體膜7」除了意指吸收體膜7係相接而配置(形成)於多層反射膜5的表面之情況以外,亦包含了意指在多層反射膜5與吸收體膜7之間具有其他的膜之情況。有關其他的膜亦相同。又,本說明書中,例如「膜A係相接於膜B的表面而配置」係指在膜A與膜B之間不透過其他膜,膜A與膜B乃直接地相接般而配置。
本說明書中,帶外光係指使用來自曝光裝置的光源之作為曝光光線的EUV光情況所發生之特定波長範圍的真空紫外光及 紫外光。特定波長範圍可為190nm以上400nm以下的波長。特別是,依據本發明,可達成降低190nm以上280nm以下波長範圍的帶外光,大於280nm、320nm以下波長範圍的帶外光,及大於280nm、400nm以下波長範圍的帶外光等之效果。
以下,針對遮罩基底用基板120及反射型遮罩基底100所包含之各薄膜等來加以說明。
<<基板1>>
本發明之遮罩基底用基板120及反射型遮罩基底100的基板1必須防止因EUV曝光時的熱而造成吸收體圖案歪斜的發生。因此,基板1較佳宜使用具有0±5ppb/℃範圍內的低熱膨脹係數者。具有此範圍的低熱膨脹係數之材料可使用例如SiO2-TiO2系玻璃,及多成分系玻璃陶瓷等。
基板1之形成有轉印圖案(後述的吸收體膜7會構成此)一側的第1主面從至少獲得圖案轉印精確度、位置精確度之觀點來看,係經表面加工而成為特定的平坦度。EUV曝光的情況,基板1之形成有轉印圖案一側的主表面的132mm×132mm的區域中,平坦度較佳為0.1μm以下,更佳為0.05μm以下,再更佳為0.03μm以下。又,與形成有吸收體膜7之側為相反側的第2主面係當安裝在曝光裝置時被施有靜電夾具之表面。第2主面在132mm×132mm的區域中,平坦度較佳為0.1μm以下,更佳為0.05μm以下,再更佳為0.03μm以下。此外,反射型遮罩基底100中之第2主面的平坦度在142mm×142mm的區域中,平坦度較佳為1μm以下,更佳為0.5μm以下,再更佳為0.3μm以下。
又,基板1之表面平滑性的高低亦為極其重要之項目。形成有轉印用吸收體圖案之第1主面的表面粗糙度以均方根粗糙度(Rms)來表示時,較佳地為0.15nm以下,更佳地Rms為0.10nm以 下。此外,表面平滑性可以原子間力顯微鏡來測量。
再者,基板1為了防止因形成於基板1上之膜(多層反射膜5等)的膜應力而變形,較佳為具有高硬度者。特別是,基板1較佳為具有65GPa以上的高楊氏係數(Young's modulus)者。
<<下層膜3>>
本發明係於基板1上具有下層膜3之遮罩基底用基板120。下層膜3係形成於基板1與多層反射膜5之間之薄膜。
下層膜3係由在190nm以上280nm以下的波長範圍中具有較基板1要小的折射率之材料所形成。此情況下,配置於基板1表面之下層膜3的反射率在190nm以上280nm以下的波長範圍中,係較基板1的反射率要小。藉由使用具有具備上述性質的下層膜3之遮罩基底用基板120,便可製造能夠降低帶外光之反射型遮罩200。
本發明之遮罩基底用基板120的下層膜3的反射率較佳為5%以下。藉由下層膜3的反射率為5%以下,便可在使用本發明之遮罩基底用基板120所製造之反射型遮罩200中,降低在下層膜3表面所反射之帶外光。
本發明之遮罩基底用基板120的下層膜3的折射率較佳為小於1.6。藉由下層膜3的折射率為特定範圍,便可確實地降低在下層膜3表面所反射之帶外光。此外,由於空氣的折射率為大約1,因此當然地,下層膜3的折射率會大於1。藉由下層膜3的折射率在基板1的折射率與空氣的折射率之間,便可確實地降低在下層膜3表面所反射之帶外光。
下層膜3的材料較佳地係含有矽化合物。矽化合物具體來說,可舉出SiO2及SiOx。一般作為反射型遮罩的基板所使用之SiO2-TiO2基板的波長範圍190~400nm的折射率為約1.45~ 1.65。另一方面,由於SiO2之上述波長範圍的折射率為1.48~1.57,故可使SiO2之下層膜3的折射率介於基板1的折射率與空氣的折射率之間。又,藉由調整SiOx的氧含量x,可使SiOx的下層膜3的折射率介於基板1的折射率與空氣的折射率之間。於是,藉由SiO2及SiOx等之矽化合物來形成下層膜3,便可獲得在上述特定波長範圍中具有較基板1要小的折射率之下層膜3。其結果,便可確實地降低在下層膜3表面所反射之帶外光。
此外,作為下層膜3的材料除了矽化合物以外,亦可使用折射率在基板1的折射率與空氣的折射率之間之材料。這般的材料,可舉出CaF2(1.45~1.50)、LiF(1.41~1.45)、MgF2(1.39~1.43)及NaF(1.34~1.39)等。此外,括弧內的數值範圍為波長範圍190~320nm的折射率。
本發明之遮罩基底用基板120中,下層膜3的膜厚較佳為6~65nm。又,下層膜3的膜厚更佳為45nm以下,再更佳為25nm以下。若下層膜3的膜厚較6nm要薄的情況,則會過薄而無法達成作為下層膜3之功能。又,若下層膜3的膜厚較65nm要厚的情況,則由於下層膜3的形成會花費過多時間而不經濟。因此,藉由下層膜3的膜厚為上述特定範圍,便可經濟且確實地形成具有帶外光降低效果之下層膜3。
下層膜3的形成方法係使用濺鍍法。此外,以SiO2及SiOx作為材料之下層膜3可藉由使用SiO2靶材之Ar氣體氛圍下的濺鍍法,或是使用Si靶材之Ar與O2的混合氣體氛圍下的反應性濺鍍法來形成。再者,若使構成下層膜3之材料的濺鍍粒子相對於基板1主表面的法線而以45度以下的角度入射,則可更加提高表面平滑性,故更佳。
<<多層反射膜5>>
多層反射膜5係在反射型遮罩200中賦予反射EUV光之功能者。多層反射膜5係以折射率相異的元素作為主成分之各層週期性地層積之多層膜。
一般來說,作為多層反射膜5,係使用為高折射率材料之輕元素或其化合物的薄膜(高折射率層),與為低折射率材料之重元素或其化合物的薄膜(低折射率層)交互地層積40至60週期左右之多層膜。
作為多層反射膜5所使用之多層膜,若以自基板1(下層膜3)側依序層積有高折射率層與低折射率層之高折射率層/低折射率層的層積構造作為1週期,可週期地層積複數層。又,作為多層反射膜5所使用之多層膜,若以自基板1側依序層積有低折射率層與高折射率層之低折射率層/高折射率層的層積構造作為1週期,可週期地層積複數層。此外,多層反射膜5之最表面的層,亦即,與基板1側為相反側之多層反射膜5的表面層較佳為高折射率層。上述多層膜中,若以自下層膜3側依序層積有高折射率層與低折射率層之高折射率層/低折射率層的層積構造作為1週期而週期地層積複數層之情況,則最上層會成為低折射率層。此情況下,若以低折射率層構成多層反射膜5的最表面,則低折射率層會容易被氧化,故反射型遮罩200的反射率會減少。因此,較佳地係在最上層的低折射率層上再進一步形成高折射率層而成為多層反射膜5。另一方面,上述多層膜中,若以自基板1側依序層積有低折射率層與高折射率層之低折射率層/高折射率層的層積構造作為1週期而週期地層積複數層之情況,則最上層會成為高折射率層。因此,此情況下,則不須進一步形成高折射率層。
本實施型態中,高折射率層係採用含有矽(Si)之層。含有Si 之材料除了Si單體以外,可使用於Si含有硼(B)、碳(C)、氮(N)、及/或氧(O)之Si化合物。藉由使用含有Si之高折射率層,可獲得EUV光的反射率優異之反射型遮罩200。又,低折射率層可使用選自鉬(Mo)、釕(Ru)、銠(Rh)、及鉑(Pt)之金屬單體,或該等的合金。用以反射例如波長13nm至14nm的EUV光之多層反射膜5,較佳宜使用交互地層積40至60週期左右的Mo膜與Si膜之Mo/Si週期層積膜。此外,可以矽(Si)來形成為多層反射膜5的最上層之高折射率層,在最上層(Si)與保護膜6之間形成含有矽與氧之矽氧化物層。此構造的情況,可提高遮罩洗淨耐受性。
多層反射膜5單獨的反射率通常為65%以上,上限通常為73%。此外,多層反射膜5之各構成層的膜厚及週期可依曝光波長來適當地選擇。具體來說,多層反射膜5之各構成層的膜厚及週期可在滿足布拉格反射定律情況下作選擇。多層反射膜5中,高折射率層及低折射率層係分別存在複數層。此情況,高折射率層彼此的膜厚,或低折射率層彼此的膜厚可不一定要相同。又,多層反射膜5最表面之Si層的膜厚可在不會降低反射率之範圍內調整。最表面之Si(高折射率層)的膜厚可為3nm至10nm。
多層反射膜5的形成方法在本技術領域中為公知。多層反射膜5的各層可藉由例如離子束濺鍍法進行成膜而形成。上述Mo/Si週期多層膜的情況,係藉由例如離子束濺鍍法,首先,使用Si靶材,於下層膜3上形成膜厚4nm左右的Si膜。之後使用Mo靶材來形成膜厚3nm左右的Mo膜。以該Si膜及Mo膜為1週期,層積40至60週期,來形成多層反射膜5(最表面的層為Si層)。
下層膜3與多層反射膜5的成膜最好係在減壓真空下連續進行。進行大氣開放工序及真空抽氣工序時,異物缺陷率會提高。另一方面,藉由在減壓真空下連續成膜,則可降低異物缺陷。 此外,考慮有效率地運用設備,亦可在下層膜3的成膜後,暫時大氣開放,而以別的裝置來形成多層反射膜5。
<<保護膜6>>
本發明之具多層反射膜之基板110較佳係在多層反射膜5上具有保護膜6。藉由於多層反射膜5上形成保護膜6,可抑制使用具多層反射膜之基板110來製造反射型遮罩200時對多層反射膜5表面造成的損傷。於是,所獲得之反射型遮罩200之相對於EUV光的反射率特性會變得良好。
保護膜6係為了自後述反射型遮罩200的製造工序中之乾蝕刻及洗淨來保護多層反射膜5,而形成於多層反射膜5上。又,保護膜6亦兼具使用電子線(EB)之遮罩圖案的黑缺陷補正時之多層反射膜5的保護之功能。此處,圖1及圖3係顯示保護膜6為1層的情況。但亦可使保護膜6為3層以上的層積構造,最下層及最上層為含有例如Ru之物質所構成的層,且在最下層與最上層之間介設有Ru以外的金屬或合金。保護膜6係由例如含有以釕為主成分之材料所形成。含有以釕為主成分之材料舉例有Ru金屬單體,於Ru含有鈦(Ti)、鈮(Nb)、鉬(Mo)、鋯(Zr)、釔(Y)、硼(B)、鑭(La)、鈷(Co)、及/或錸(Re)等金屬之Ru合金,以及於該等包含氮之材料。當中,特別又以使用含有Ti之Ru系材料所構成的保護膜6為佳。此情況下,可抑制為多層反射膜5的構成元素之矽從多層反射膜5的表面擴散至保護膜6之現象。於是,遮罩洗淨時的表面粗糙會減少,又,膜變得不易剝落。由於表面粗糙的降低直接關係到防止相對於EUV曝光光線之多層反射膜5的反射率降低,因此對EUV曝光的曝光效率改善,以及產能提升來說極為重要。
使用於保護膜6之Ru合金的Ru含有率為50原子%以上但小 於100原子%,較佳為80原子%以上但小於100原子%,更佳為95原子%以上但小於100原子%。特別是,Ru合金的Ru含有率為95原子%以上但小於100原子%的情況,可抑制多層反射膜5的構成元素(矽)擴散至保護膜6。又,此情況的保護膜6可充分確保EUV光的反射率,且亦兼具遮罩洗淨耐受性、對吸收體膜7進行蝕刻加工時的蝕刻停止功能、以及防止多層反射膜5的與時變化之功能。
EUV微影中,由於相對於曝光光線為透明的物質很少,故能夠防止異物附著在遮罩圖案面之EUV護膜(pellicle)在技術上並不簡單。基於以上所述,因此不使用護膜之無護膜運用便成為主流。又,EUV微影中,會因EUV曝光而發生有碳膜堆積或氧化膜成長在遮罩之所謂的曝光污染。於是,使用遮罩於半導體裝置的製造之階段中,便必須每次地進行洗淨來去除遮罩上的異物或污染。基於以上所述,EUV反射型遮罩200便被要求要有相較於光微影用的透過型遮罩等級不同之遮罩洗淨耐受性。使用含有Ti之Ru系材料所構成的保護膜6時,相對於硫酸、硫酸+過氧化氫混合物(SPM)、氨水、氫氧化銨+過氧化氫+去離子水混合物(APM)、OH自由基洗淨水、及濃度10ppm以下的臭氧水等的洗淨液之洗淨耐受性會特別提高,故可滿足遮罩洗淨耐受性的要求。
保護膜6的膜厚只要能達成作為保護膜6之功能的話並未特別限制。由EUV光之反射率的觀點來看,保護膜6的膜厚較佳為1.0nm至8.0nm,更佳為1.5nm至6.0nm。
保護膜6的形成方法可不特別限制而採用公知的膜形成方法。保護膜6之形成方法的具體例舉例有濺鍍法及離子束濺鍍法。
於多層反射膜5上形成有保護膜6之狀態下,最好係在100℃以上300℃以下,較佳為120℃以上250℃以下,更佳為150℃以上200℃以下進行熱處理(退火)。藉此退火,應力會緩和,可防止因遮罩基板應力應變而造成平坦度的降低,且可防止多層反射膜5的EUV光反射率與時變化。特別是,保護膜6為含有Ti之RuTi合金的情況,會強烈地抑制Si從上述退火的多層反射膜5擴散,可防止相對於EUV光之反射率降低。
<<吸收體膜7>>
吸收體膜7係形成於多層反射膜5之上(形成有保護膜6的情況為保護膜6之上)。吸收體膜7的基本功能為吸收EUV光。吸收體膜7可為以EUV光的吸收為目的之吸收體膜7,或是亦考慮了EUV光的相位差而具有相位轉移功能的吸收體膜7。具有相位轉移功能之吸收體膜7會吸收EUV光且反射一部分來使相位轉移。亦即,在圖案化有具相位轉移功能之吸收體膜7的反射型遮罩200中,形成有吸收體膜7之部分會吸收EUV光且一邊減光而以對圖案轉印無不良影響之等級來使一部分的光反射。又,未形成有吸收體膜7之區域(場部)處,則EUV光會透過保護膜6而自多層反射膜5反射。於是,來自具有相位轉移功能之吸收體膜7的反射光,與來自場部的反射光之間便會具有期望的相位差。具有相位轉移功能之吸收體膜7係形成為來自吸收體膜7的反射光與來自多層反射膜5的反射光之相位差會成為170度至190度般。藉由在180度附近之反轉後的相位差的光與光彼此會在圖案邊緣部互相干涉,來提升投影光學影像的影像對比。隨著其影像對比的提升,解析度會增加,可增加曝光量容許範圍及焦點容許範圍等之關於曝光的各種容許範圍。
吸收體膜7可為單層的膜,或是複數的膜(例如,下層吸收 體膜71及上層吸收體膜72)所構成之多層膜。單層膜的情況,係具有可減少遮罩基板製造時的工序數來提高生產效率之特徵。多層膜的情況,可適當地設定上層吸收體膜72的光學常數與膜厚,來使其成為使用光之遮罩圖案檢查時的反射防止膜。藉此,使用光之遮罩圖案檢查時的檢查感度會提升。又,若將添加有會提升氧化耐受性之氧(O)及氮(N)等的膜使用於上層吸收體膜72,則經時穩定性會提升。如此地,藉由使吸收體膜7為多層膜,便可附加各種功能。當吸收體膜7為具有相位轉移功能之吸收體膜7的情況,藉由使其為多層膜,可增加光學面的調整範圍,故可容易獲得期望的反射率。
吸收體膜7的材料只要是具有吸收EUV光之功能,且可藉由蝕刻等來加工(較佳地,可以氯(Cl)或氟(F)系氣體的乾蝕刻來進行蝕刻),則未特別限制。具有上述功能者,較佳地可使用含有鉭(Ta)單體或以Ta為主成分之鉭化合物。
上述鉭及鉭化合物等的吸收體膜7可以DC濺鍍法及RF濺鍍法等之磁控濺鍍法來形成。例如,可使用含有鉭及硼之靶材,而藉由使用添加有氧或氮的氬氣之反應性濺鍍法,來形成吸收體膜7。
用以形成吸收體膜7之鉭化合物含有Ta的合金。若吸收體膜7為Ta合金的情況,由平滑性及平坦性之觀點來看,吸收體膜7的結晶狀態較佳為非晶狀或微晶的構造。若吸收體膜7的表面不平滑、平坦,則吸收體圖案7a及7b的邊緣粗糙度會增加,而有圖案的尺寸精度惡化之情況。吸收體膜7之表面粗糙度以均方根粗糙度(Rms)來表示時,較佳為0.5nm以下,更佳為0.4nm以下,再更佳為0.3nm以下。
用以形成吸收體膜7之鉭化合物可使用含有Ta與B之化合 物;含有Ta與N之化合物;含有Ta、O及N之化合物;含有Ta與B,且進一步含有O與N至少一者之化合物;含有Ta與Si之化合物;含有Ta、Si及N之化合物;含有Ta與Ge之化合物;以及含有Ta、Ge及N之化合物等。
Ta係一種EUV光的吸收係數大,又,可以氯系氣體及/或氟系氣體來容易進行乾蝕刻之材料。因此,Ta可說是一種加工性優異之吸收體膜7的材料。再者,藉由於Ta添加B、Si及/或Ge等,可容易地獲得非晶狀之材料。其結果,可提高吸收體膜7的平滑性。又,若於Ta添加N及/或O,則吸收體膜7之相對於氧化的耐受性會提升,故可獲得能夠提高經時穩定性之效果。
使吸收體膜7為TaBN的下層吸收體膜71及TaBO的上層吸收體膜72所構成之層積膜,並使上層吸收體膜72之TaBO的膜厚為約14nm,藉此,當使用光之遮罩圖案缺陷檢查時,此上層吸收體膜72便會成為反射防止膜。於是,可提高遮罩圖案缺陷檢查時的檢查感度。
又,構成吸收體膜7之材料,除了鉭或鉭化合物以外,舉例有Cr、CrN、CrCON、CrCO、CrCOH及CrCONH等的鉻及鉻化合物,以及WN、TiN及Ti等的材料。
<<中間膜4>>
如圖3所示,本發明之遮罩基底用基板120較佳係於基板1的表面(主面)中在與設置有下層膜3之方面為相反側的表面(內面),具有形成於基板1與內面導電膜2之間之特定的中間膜4。
本發明之遮罩基底用基板120係相對於設置有下層膜3之面,而於相反側的面形成中間膜4,再進一步形成內面導電膜2的情況,較佳地,配置於基板1的表面之下層膜3的表面在大於280nm、320nm以下的波長範圍中的反射率為10%以下。
基板1的第2主面(內面)側(多層反射膜5形成面的相反側)必須形成有靜電夾具用的內面導電膜2。然而,若反射型遮罩200的內面存在有內面導電膜2,則使用EUV光進行曝光時,EUV光所含的帶外光會因內面導電膜2而反射,進而對圖案轉印造成影響。反射型遮罩200藉由具有形成於基板1與內面導電膜2之間之特定的中間膜4,可降低帶外光的反射,特別是大於280nm、320nm以下的波長範圍(依情況,大於280nm、400nm以下的波長範圍)中之帶外光的反射。亦即,藉由基板1與中間膜4之界面處的反射光和中間膜4與內面導電膜2之界面處的反射光之干涉,可抑制穿透基板1而因內面導電膜2所反射之280nm至320nm的波長範圍(依情況,大於280nm、400nm以下的波長範圍)的帶外光。因此,可降低大於280nm、320nm以下波長範圍(依情況,大於280nm、400nm以下的波長範圍)的帶外光。
具體來說,可藉由適當地選擇特定的中間膜4,來使配置於基板1的表面之下層膜3的表面在大於280nm、320nm以下的波長範圍中反射率為10%以下。此外,有關190nm以上280nm以下波長範圍的帶外光,由於穿透上述下層膜3時會發生衰減,故可降低。如以上所述,本發明之遮罩基底用基板120藉由具有設置於基板1與內面導電膜2之間的中間膜4,便可獲得一種在半導體裝置的製造工序中,當藉由使用EUV光之曝光光線來將特定圖案轉印在晶圓上時,能夠降低因來自基板1的內面之反射而造成的帶外光之反射型遮罩200。
本發明之遮罩基底用基板120較佳地,配置於基板1的表面之下層膜3在大於280nm、400nm以下的波長範圍中反射率為15%以下。反射型遮罩200藉由具有形成於基板1與內面導電膜2之間之特定的中間膜4,可降低曝光光線的反射,亦即較大於 280nm、320nm以下的波長範圍要廣之範圍,特別是大於280nm、400nm以下的波長範圍中之曝光光線的反射。因此,可降低大於280nm、400nm以下波長範圍的帶外光。
本發明之遮罩基底用基板120的中間膜4較佳係由含有鉭、硼及氧之材料(TaBO)所構成。以TaBO作為材料之中間膜4可使用TaB作為靶材,並在Ar氣體及O2氣體的混合氣體氛圍下藉由反應性濺鍍法來形成。中間膜4為TaBO膜的情況,可較易地形成具有降低帶外光效果之中間膜4。此外,為了更確實地達成中間膜的效果,TaBO膜中的氧含量較佳為50原子%以上。
本發明之遮罩基底用基板120之中間膜4的膜厚較佳為2~40nm,更佳為18~35nm。若中間膜4的膜厚為較2nm要薄的情況,則會過薄而無法達成作為中間膜4之功能。又,中間膜4的膜厚為較40nm要厚的情況,則中間膜4的形成會花費過多時間而不經濟。因此,藉由使中間膜4的膜厚為上述特定範圍,便可經濟且確實地形成具有帶外光降低效果之中間膜4。
<<內面導電膜2>>
基板1的第2主面(內面)側(即多層反射膜5形成面的相反側,若形成有中間膜4的情況則為中間膜4上)係形成有靜電夾具用的內面導電膜2。內面導電膜2作為靜電夾具用而被要求的片電阻通常為100Ω/□以下。內面導電膜2的形成方法為使用例如鉻或鉭等金屬或該等的合金之靶材之磁控濺鍍法或離子束濺鍍法。內面導電膜2之含有鉻(Cr)的材料較佳為於Cr含有選自硼、氮、氧及碳至少一者之Cr化合物。Cr化合物可舉出例如CrN、CrON、CrCN、CrCON、CrBN、CrBON、CrBCN及CrBOCN等。內面導電膜2之含有鉭(Ta)的材料較佳宜使用Ta(鉭)或含Ta的合金,或是於該等任一者含有硼、氮、氧、碳至少其中一者之Ta 化合物。Ta化合物可舉出例如TaB、TaN、TaO、TaON、TaCON、TaBN、TaBO、TaBON、TaBCON、TaHf、TaHfO、TaHfN、TaHfON、TaHfCON、TaSi、TaSiO、TaSiN、TaSiON及TaSiCON等。內面導電膜2的膜厚只要能滿足作為靜電夾具用功能的話則未特別限制,但通常為10nm至200nm。又,該內面導電膜2亦兼具遮罩基板100之第2主面側的應力調整功能。亦即,內面導電膜2係與來自形成於第1主面側之各種膜的應力取得平衡,而獲得平坦的反射型遮罩基底100般地被調整。
<<其他薄膜>>
本發明之反射型遮罩基底100可於吸收體膜7上具有蝕刻用硬遮罩膜(亦稱作「蝕刻遮罩膜」。)及/或阻膜。蝕刻用硬遮罩膜的代表性材料有矽(Si),以及於矽添加氧(O)、氮(N)、碳(C)及/或氫(H)之材料等。具體來說,舉例有SiO2、SiON、SiN、SiO、Si、SiC、SiCO、SiCN及SiCON等。但當吸收體膜7為含有氧之化合物的情況,由蝕刻耐受性的觀點來看,較佳宜避免以含有氧之材料(例如SiO2)作為蝕刻用硬遮罩膜。形成蝕刻用硬遮罩膜的情況,可使得阻膜的膜厚較薄,故對於圖案的微細化為有利的。
<反射型遮罩200及其製造方法>
本發明之反射型遮罩200係對上述反射型遮罩基底100的吸收體膜7進行圖案化,而於多層反射膜5上具有吸收體圖案。藉由使用本發明之反射型遮罩200,在半導體裝置的製造工序中,當藉由使用EUV光之曝光光線來將特定圖案轉印在晶圓上時,可降低圖案轉印的帶外光。
使用本實施型態之反射型遮罩基底100來製造反射型遮罩200。此處僅概要地進行說明,而在之後的實施例中會參閱圖式 來詳細地說明。
準備反射型遮罩基底100,於其第1主面的最表面(如同以下的實施例所說明般為吸收體膜7上)形成阻膜8(作為反射型遮罩基底100而具有阻膜的情況則不需要),並於該阻膜8描繪(曝光)電路圖案等的所欲圖案,再藉由顯影、沖洗來形成特定的阻劑圖案8a。
以該阻劑圖案8a作為遮罩使用,來對吸收體膜7進行乾蝕刻,藉此形成吸收體圖案7a。此外,蝕刻氣體可使用選自Cl2、SiCl4及CHCl3等之氯系氣體;以特定比率含有氯系氣體與O2之混合氣體;以特定比率含有氯系氣體與He之混合氣體;以特定比率含有氯系氣體與Ar之混合氣體;CF4、CHF3、C2F6、C3F6、C4F6、C4F8、CH2F2、CH3F、C3F8、SF6及F2等之氟系氣體;以及以特定比率含有氟系氣體與O2之混合氣體等。此處,若在蝕刻的最終階段於蝕刻氣體含有氧,則Ru系保護膜6會發生表面粗糙。因此,將Ru系保護膜6曝露於蝕刻之過蝕刻階段中,較佳宜使用不含氧之蝕刻氣體。
之後,藉由灰化或阻劑剝離液來去除阻劑圖案8a,而製作形成有所欲電路圖案之吸收體圖案7a。
此外,此處雖顯示以阻劑圖案8a作為蝕刻遮罩時的情況,但亦可使用蝕刻用硬遮罩來製作形成有所欲電路圖案之吸收體圖案7a。此情況,係於吸收體膜7上形成蝕刻用硬遮罩,再於其上形成阻膜8。作為蝕刻用硬遮罩,係選擇能夠與吸收體膜7取得蝕刻選擇性之膜。於該阻膜8描繪(曝光)電路圖案等的所欲圖案,再進行顯影、沖洗,藉此形成特定的阻劑圖案8a。以該阻劑圖案8a作為遮罩來將蝕刻用硬遮罩膜乾蝕刻而形成硬遮罩圖案,並以灰化或阻劑剝離液等來去除阻劑圖案8a。之後,以該 硬遮罩圖案作為遮罩來對吸收體膜7進行乾蝕刻,藉此製作形成有所欲電路圖案之吸收體圖案7a。之後,藉由濕蝕刻或乾蝕刻來去除硬遮罩圖案。
又,亦有在硬遮罩圖案形成後不立刻去除阻劑圖案8a,而以具阻劑圖案之硬遮罩圖案來蝕刻吸收體膜7之方法。此情況下,在蝕刻吸收體膜7時,阻劑圖案8a會自動被去除,故具有簡化工序之特徵。另一方面,以阻劑圖案8a被除去後的硬遮罩圖案作為遮罩來蝕刻吸收體膜7之方法中,來自會在蝕刻途中消失之阻劑的有機生成物(外部氣體)不會發生變化,而具有能夠穩定地進行蝕刻之特徵。
接下來,形成阻膜9。於該阻膜9描繪(曝光)遮光帶圖案,再進行顯影、沖洗,藉此形成遮光帶形成用的阻劑圖案9b。
本發明之反射型遮罩200可於形成有吸收體圖案之轉印圖案區域的外側具有吸收體膜7及多層反射膜5被除去後的遮光帶部11。遮光帶部11係在EUV用反射型遮罩200中,不僅是相位轉移膜型遮罩,且吸收體膜型遮罩亦是如此,為了充分降低來自鄰接進行的曝光所帶來之曝光光線反射的影響而設置。亦即,遮光帶部11係指圍繞遮罩的轉印圖案區域(電路圖案區域)般所設置之遮光框(區域),係用來使曝光光線不會溢漏至晶圓上之經圖案轉印後的區塊所相鄰接之區域,例如相鄰處經轉印形成的轉印圖案區域。轉印圖案區域係以例如反射型遮罩基底的中心為基準之132mm×132mm的區域。本發明之反射型遮罩200可充分降低來自遮光帶部11的反射所造成之帶外光。此外,為了降低帶外光,遮光帶部11必須存在有下層膜3。因此,在形成遮光帶部11時,便必須在基板1殘留有下層膜3之狀態下停止多層反射膜5的蝕刻。
接下來,以遮光帶部11形成用的阻劑圖案9a作為遮罩使用,並以上述方法來將吸收體膜7乾蝕刻後,亦將保護膜6及多層反射膜5乾蝕刻。此處,保護膜6及多層反射膜5的蝕刻氣體係使用於Cl2、SiCl4及CHCl3等氯系氣體含有O2之混合氣體。保護膜6為Ru系材料的情況,可藉由以於氯系氣體含有O2之混合氣體進行乾蝕刻,來一次性地蝕刻保護膜6及多層反射膜5。因此,此方法具有製造效率高之特徵。
此外,亦可不一次性地將保護膜6及多層反射膜5乾蝕刻,而使用不同的蝕刻氣體進行乾蝕刻。例如,可使用O2與Cl2的混合氣體,或O2與Br系的混合氣體來將保護膜6乾蝕刻,並使用Cl2氣體來將多層反射膜5乾蝕刻。又,亦可在多層反射膜5的乾蝕刻途中改變蝕刻氣體。例如,多層反射膜5的上部以氯系氣體來進行蝕刻,而在中途導入O2氣體,多層反射膜5的下部則以氯系氣體與O2氣體的混合氣體來進行乾蝕刻。
之後,藉由灰化或阻劑剝離液來去除阻劑圖案,而製作所欲的遮光帶圖案(遮光帶部11)。之後,進行使用酸性或鹼性的水溶液之濕洗淨與遮罩圖案EB缺陷檢查,來適當地進行遮罩缺陷補正。
藉由以上的工序,便可獲得本發明之反射型遮罩200。
<半導體裝置的製造方法>
本發明之半導體裝置的製造方法係具有使用上述反射型遮罩200來進行使用曝光裝置之微影製程,而於被轉印體上形成轉印圖案之工序。依據本發明之半導體裝置的製造方法,可降低圖案轉印時的帶外光,故可製造具有微細且高精度的轉印圖案之半導體裝置。
具體來說,係使用上述本實施型態的反射型遮罩200來進行 EUV曝光,藉此可於半導體基板1上形成所欲的轉印圖案。除了上述微影工序以外,再經由被加工膜的蝕刻,或絕緣膜、導電膜的形成,摻雜物的導入,或是退火等各種工序,藉此便可以高良率來製造形成有所欲的電子電路之半導體裝置。
【實施例】
以下,針對各實施例,參閱圖式加以說明。
(實施例1)
實施例1係製作遮罩基底用基板120,其係於如圖2所示般形成有下層膜3之遮罩基底用基板120的形成有下層膜3一側的相反側主面形成有內面導電膜2。將實施例1之遮罩基底用基板120的下層膜3及內面導電膜2的材料及膜厚顯示於表1。實施例1之遮罩基底用基板120的製作係如下述般進行。
((基板1))
準備第1主面及第2主面的兩表面經研磨後之6025尺寸(約152mm×152mm×6.35mm)的低熱膨脹玻璃基板(SiO2-TiO2系玻璃基板)作為基板1。進行粗略研磨加工工序、精密研磨加工工序、局部加工工序以及接觸研磨加工工序所構成的研磨來使其成為平坦且平滑的主表面。
測量上述方式所製造之基板1的單體(基板單體)的反射率。將基板單體之波長180~400nm範圍下反射率的波長依存性顯示於圖8。波長範圍190~280nm之反射率的最大值,及波長範圍280~320nm之反射率的最大值如表1所示。
((內面導電膜2))
藉由磁控濺鍍(反應性濺鍍)法,並以下述條件,而於SiO2-TiO2系玻璃基板1的第2主面(內面)形成CrN所構成的內面導電膜2。內面導電膜2的形成條件:Cr靶材,Ar與N2的混合氣 體氛圍(Ar:90原子%,N:10原子%),膜厚20nm。
(((下層膜3)))
接下來,如表1所示,在Ar氣體氛圍中進行使用SiO2靶材之離子束濺鍍,而於形成有內面導電膜2一側之相反側的基板1主表面(第1主面)上形成膜厚20nm之SiO2膜所構成的下層膜3。此處,Si及O的濺鍍粒子係相對於基板1之主表面的法線而以30度的角度入射。使用以同樣的方法至下層膜3為止所製作之試料,測量波長範圍190~320nm的折射率及消光係數,其結果如表1所示之範圍。
測量實施例1之遮罩基底用基板120的反射率。將實施例1之波長180~400nm的範圍下反射率的波長依存性顯示於圖8。波長範圍190~280nm之反射率的最大值,及波長範圍280~320nm之反射率的最大值如表1所示。
(實施例2)
實施例2除了下層膜3的膜厚為12nm以外,係與實施例1同樣地製造於基板1的第1主面形成有下層膜3,以及於基板1的第2主面形成有內面導電膜2之遮罩基底用基板120。將實施例2之遮罩基底用基板120的下層膜3及內面導電膜2的材料及膜厚顯示於表1。
測量實施例2之遮罩基底用基板120的反射率。波長範圍190~280nm之反射率的最大值,以及波長範圍280~320nm之反射率的最大值如表1所示。
(實施例3)
實施例3除了於基板1與內面導電膜2之間形成特定的中間膜4,且使內面導電膜2為膜厚70nm的TaBN膜以外,係與實施例1同樣地製造於基板1的第1主面形成有下層膜3,且於基板1的第 2主面形成有中間膜4及內面導電膜2之遮罩基底用基板120。將實施例3之遮罩基底用基板120的下層膜3、中間膜4及內面導電膜2的材料及膜厚顯示於表1。
((中間膜4))
實施例3的中間膜4係依下述方式形成。亦即,藉由磁控濺鍍(反應性濺鍍)法,並以下述條件,而於SiO2-TiO2系玻璃基板1的第2主面(內面)形成TaBO所構成的中間膜4。中間膜4形成條件:TaB靶材(原子比為80:20),Ar與O2的混合氣體氛圍(Ar:67流量%,O2:33流量%),膜厚22nm。
((內面導電膜2))
實施例3的內面導電膜2係依下述方式形成。亦即,藉由磁控濺鍍(反應性濺鍍)法,並以下述條件,而於形成有中間膜4之SiO2-TiO2系玻璃基板1的第2主面(內面)形成TaBN所構成的內面導電膜2。內面導電膜2的形成條件:TaB靶材(原子比為80:20),Xe與N2的混合氣體氛圍(Xe:66流量%,N2:34流量%),膜厚70nm。
測量實施例3之遮罩基底用基板120的反射率。將實施例3之波長180~400nm範圍下反射率的波長依存性顯示於圖8。波長範圍190~280nm之反射率的最大值,及波長範圍280~320nm之反射率的最大值如表1所示。
(比較例1)
比較例1除了未形成下層膜3以外,係與實施例1同樣地製造於基板1的第2主面形成有內面導電膜2之遮罩基底用基板120。將比較例1之遮罩基底用基板120的內面導電膜2的材料及膜厚顯示於表1。
測量比較例1之遮罩基底用基板120的反射率。將比較例1之 波長180~400nm範圍下反射率的波長依存性顯示於圖8。波長範圍190~280nm之反射率的最大值,及波長範圍280~320nm之反射率的最大值如表1所示。
(參考例1)
參考例1除了未形成下層膜3以外,係與實施例3同樣地製造於基板1的第2主面形成有中間膜4及內面導電膜2之遮罩基底用基板120。將參考例1之遮罩基底用基板120的中間膜4及內面導電膜2的材料及膜厚顯示於表1。
測量參考例1之遮罩基底用基板120的反射率。將參考例1之波長180~400nm範圍下反射率的波長依存性顯示於圖8。波長範圍190~280nm之反射率的最大值,及波長範圍280~320nm之反射率的最大值如表1所示。
(反射率的測量結果)
由表1及圖8可明白得知基板單體、比較例1及參考例1的反射率在大約280nm以下的波長範圍中為相同的值。又,由表1及圖8可明白得知實施例1及實施例3的反射率在大約280nm以下的波長範圍中為相同的值。
由表1及圖8可明白得知基板單體及比較例1相較於實施例1,相對於基板單體及比較例1之波長範圍190~280nm下反射率的最大值為5.7%,而實施例1之波長範圍190~280nm下反射率的最大值為4.2%之較低值。此顯示了藉由下層膜3的存在,可減少波長範圍190~280nm的帶外光。又,由基板單體與比較例1的比較可發現藉由內面導電膜2的存在,波長範圍280~320nm的反射率會提高。
由表1及圖8可明白得知參考例1相較於實施例3,相對於參考例1之波長範圍190~280nm下反射率的最大值為5.7%,而實 施例3之波長範圍190~280nm下反射率的最大值為4.2%之較低值。此顯示了藉由下層膜3的存在,可減少波長範圍190~280nm的帶外光。
由表1可明白得知比較例1相較於實施例2,相對於比較例1之波長範圍190~280nm下反射率的最大值為5.7%,而實施例2之波長範圍190~280nm下反射率的最大值為4.8%之較低值。此顯示了藉由12nm之較薄下層膜3的存在,亦可減少波長範圍190~280nm的帶外光。
由表1及圖8可明白得知,存在有中間膜4之參考例1及實施例3相較於雖具有內面導電膜2但不存在中間膜4之比較例1及實施例1,在參考例1及實施例3的情況,波長範圍大於280nm的反射率會大幅降低。此顯示了藉由中間膜4的存在,可減少因內面導電膜2而反射之大於280nm、320nm以下波長範圍,甚且,大於280nm、400nm以下波長範圍的帶外光。
由表1及圖8可明白得知存在有下層膜3及中間膜4兩者之實施例3的情況,波長範圍190~280nm及波長範圍大於280nm兩者的反射率會大幅降低。此顯示了藉由存在有下層膜3及中間膜4兩者,可減少190~320nm以下波長範圍,甚且,190~400nm以下波長範圍的帶外光。
(反射型遮罩基底100)
可使用上述實施例1~3的遮罩基底用基板120來製造反射型遮罩基底100。以下,針對反射型遮罩基底100的製造方法加以說明。
(((多層反射膜5)))
於上述遮罩基底用基板120之第1主面的下層膜3上形成多層反射膜5。亦即,係自下層膜3工序在減壓真空下連續地形成 多層反射膜5。為了使該多層反射膜5為適合於波長13.5nm的EUV光之多層反射膜5,係使其為Si與Mo所構成的週期多層反射膜5。多層反射膜5係使用Si靶材與Mo靶材,在Ar氣體氛圍中藉由離子束濺鍍而於下層膜3上交互地層積形成Si層及Mo層。此處,Si及Mo的濺鍍粒子係相對於基板1主表面的法線而以30度的角度入射。首先,成膜膜厚4.2nm的Si膜,接著,成膜膜厚2.8nm的Mo膜。以此為1週期,同樣地層積40週期,最後,成膜膜厚4.0nm的Si膜,來形成多層反射膜5。因此,多層反射膜5的最下層,亦即與下層膜3相接之多層反射膜5的材料為Si,又,多層反射膜5的最上層,亦即與保護膜6相接之多層反射膜5的材料亦為Si。此外,此處雖為40週期,但不限於此,例如亦可為60週期。60週期的情況,雖然工序數會較40週期增加,但可提高相對於EUV光的反射率。
((保護膜6))
接著,在Ar氣體氛圍中,藉由使用Ru靶材之離子束濺鍍,來成膜膜厚2.5nm之Ru所構成的保護膜6。此處,Ru的濺鍍粒子係相對於基板1主表面的法線而以30度的角度入射。之後,在大氣中進行130℃的退火。
((吸收體膜7))
接下來,藉由DC濺鍍法,層積膜厚56nm的TaBN膜來作為下層吸收體膜71,層積膜厚14nm的TaBO膜來作為上層吸收體膜72,以形成此2層膜所構成的吸收體膜7。TaBN膜係使用TaB作為靶材,並在Ar氣體與N2氣體的混合氣體氛圍下藉由反應性濺鍍法而形成。TaBO膜係使用TaB作為靶材,並在Ar氣體與O2氣體的混合氣體氛圍下藉由反應性濺鍍法而形成。TaBO膜為與時變化較少之膜,並且此膜厚的TaBO膜在使用光來進行遮罩圖案 檢查時具有反射防止膜的作用,可提高檢查感度。即便是以EB來進行遮罩圖案檢查的情況,由於產能的關係,大多係採用合併使用藉由光進行遮罩圖案檢查之方法。亦即,針對使用了如記憶體單元部般的微細圖案之區域,係以檢查感度高之EB來進行遮罩圖案檢查,而針對如間接周邊電路部般之較大圖案所構成的區域,則以產能高的光來進行遮罩圖案檢查。
如以上所述般,使用實施例1~3的遮罩基底用基板120來製造反射型遮罩基底100。
(反射型遮罩200)
接下來,使用上述反射型遮罩基底100來製造反射型遮罩200。以由使用實施例3的遮罩基底用基板120之反射型遮罩基底100來製造反射型遮罩200之情況為例,參閱圖6加以說明反射型遮罩200的製造方法。使用使用了實施例1及2的遮罩基底用基板120之反射型遮罩基底100的情況,亦同樣地可製造反射型遮罩200。
首先,如圖6(b)所示,於反射型遮罩基底100的上層吸收體膜72上形成阻膜8。然後,於該阻膜8描繪(曝光)電路圖案等的所欲圖案,再進行顯影、沖洗,藉此形成特定的阻劑圖案8a(圖6(c))。接下來,以阻劑圖案8a作為遮罩,並使用CF4氣體將TaBO膜(上層吸收體膜72)乾蝕刻,接著,使用Cl2氣體將TaBN膜(下層吸收體膜71)乾蝕刻,藉此形成第1吸收體圖案7a(圖6(d))。Ru構成的保護膜6係相對於Cl2氣體乾蝕刻耐受性極高,具有充分的蝕刻停止功能。之後,藉由灰化及/或阻劑剝離液等來將阻劑圖案8a去除(圖6(e))。
之後,於形成有第1吸收體圖案7a之反射型遮罩基底100上形成阻膜9(圖6(f))。然後,於該阻膜9描繪(曝光)遮光帶圖案, 再進行顯影、沖洗,藉此形成特定的遮光帶阻劑圖案9a(圖6(g))。接下來,以阻劑圖案9a作為遮罩,並使用CF4氣體將TaBO膜,使用Cl2氣體將TaBN膜,使用Cl2與O2的混合氣體將保護膜6及多層反射膜5乾蝕刻,藉此來形成形成有遮光帶部11之第2圖案(圖6(h))。該第2圖案如圖6(h)所示,係由上層吸收體圖案72b及下層吸收體圖案71b的2層圖案構成的吸收體圖案7b、保護膜圖案6b、及多層反射膜圖案5b所構成。下層膜3係如上所述地為矽化合物之薄膜。此材料相對於Cl2與O2的混合氣體具有極高的蝕刻停止功能,且膜厚的減少亦極少。之後,藉由灰化及/或阻劑剝離液等將阻劑圖案8b去除,並進行硫酸+過氧化氫混合物(SPM)洗淨與使用鹼性水溶液之濕洗淨,來製造反射型遮罩200(圖6(i))。之後進行遮罩圖案EB缺陷檢查,並適當地依需要進行遮罩缺陷補正。
將藉由上述方法所製造之反射型遮罩200的俯視圖顯示於圖7。
(半導體裝置的製造)
將使用實施例1~3的遮罩基底用基板120所製造之反射型遮罩200安裝在EUV掃描機,並對半導體基板上形成有被加工膜與阻膜之晶圓進行EUV曝光。然後,藉由將該已曝光的阻膜顯影,藉此於形成有被加工膜之半導體基板上形成阻劑圖案。
使用實施例1~3的遮罩基底用基板120所製造之反射型遮罩200可降低圖案轉印時的帶外光,故可形成微細且高精度的轉印圖案。又,遮光帶部11之相對於波長130nm至280nm的光之反射率為5%以下,來自遮光帶部11的帶外反射光亦十分少,且轉印精度亦高。
經由藉蝕刻來將該阻劑圖案轉印在被加工膜,以及絕緣 膜、導電膜的形成,摻雜物的導入,或是退火等各種工序,便可以高良率來製造具有所欲的特性之半導體裝置。
1‧‧‧基板
2‧‧‧內面導電膜
3‧‧‧下層膜
5‧‧‧多層反射膜
6‧‧‧保護膜
7‧‧‧吸收體膜
100‧‧‧反射型遮罩基底

Claims (15)

  1. 一種遮罩基底用基板,係於基板上具有下層膜之遮罩基底用基板;該下層膜係由在190nm以上280nm以下的波長範圍中具有較該基板要小的折射率之材料所形成;配置於該基板表面之該下層膜的反射率在190nm以上280nm以下的波長範圍中係小於該基板的反射率。
  2. 如申請專利範圍第1項之遮罩基底用基板,其中配置於該基板表面之該下層膜的反射率為5%以下。
  3. 如申請專利範圍第1或2項之遮罩基底用基板,其中該下層膜的折射率係未達1.6。
  4. 如申請專利範圍第1至3項中任一項之遮罩基底用基板,其中該下層膜係由含有矽化合物之材料所構成。
  5. 如申請專利範圍第1至4項中任一項之遮罩基底用基板,其中該下層膜的膜厚為6~65nm。
  6. 如申請專利範圍第1至5項中任一項之遮罩基底用基板,其係相對於設置有該下層膜之面,而具有形成於相反側的面之內面導電膜,以及設置於該基板與該內面導電膜之間之中間膜;配置於該基板表面之該下層膜在大於280nm、320nm以下的波長範圍中,反射率為10%以下。
  7. 如申請專利範圍第6項之遮罩基底用基板,其中配置於該基板表面之該下層膜在大於280nm、400nm以下的波長範圍中,反射率為15%以下。
  8. 如申請專利範圍第6或7項之遮罩基底用基板,其中該中間膜係由含有鉭、硼及氧之材料所構成。
  9. 如申請專利範圍第6至8項中任一項之遮罩基底用基板,其中該中間膜的膜厚為2~40nm。
  10. 一種具多層反射膜之基板,係在如申請專利範圍第1至9項中任一項之遮罩基底用基板中的該下層膜上具有會反射曝光光線之多層反射膜。
  11. 如申請專利範圍第10項之具多層反射膜之基板,其中該多層反射膜上具有保護膜。
  12. 一種反射型遮罩基底,係於如申請專利範圍第10項所記載之具多層反射膜之基板的該多層反射膜上,或如申請專利範圍第11項所記載之具多層反射膜之基板的該保護膜上具有吸收體膜。
  13. 一種反射型遮罩,係對如申請專利範圍第12項所記載之反射型遮罩基底的該吸收體膜進行圖案化,而於該多層反射膜上具有吸收體圖案。
  14. 如申請專利範圍第13項之反射型遮罩,其係在形成有該吸收體圖案之轉印圖案區域的外側具有已除去該吸收體膜及該多層反射膜之遮光帶。
  15. 一種半導體裝置的製造方法,係具有使用如申請專利範圍第13或14項所記載之反射型遮罩,來進行使用曝光裝置之微影製程,而於被轉印體上形成轉印圖案之工序。
TW105138561A 2015-11-27 2016-11-24 遮罩基底用基板、具多層反射膜之基板、反射型遮罩基底及反射型遮罩以及半導體裝置之製造方法 TWI732801B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2015231444 2015-11-27
JP2015-231444 2015-11-27

Publications (2)

Publication Number Publication Date
TW201730663A true TW201730663A (zh) 2017-09-01
TWI732801B TWI732801B (zh) 2021-07-11

Family

ID=58763499

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105138561A TWI732801B (zh) 2015-11-27 2016-11-24 遮罩基底用基板、具多層反射膜之基板、反射型遮罩基底及反射型遮罩以及半導體裝置之製造方法

Country Status (5)

Country Link
US (1) US10921705B2 (zh)
JP (2) JP6789972B2 (zh)
KR (1) KR20180086457A (zh)
TW (1) TWI732801B (zh)
WO (1) WO2017090485A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110874009A (zh) * 2018-08-31 2020-03-10 台湾积体电路制造股份有限公司 极紫外遮罩及其制造方法
CN113138528A (zh) * 2020-01-17 2021-07-20 台湾积体电路制造股份有限公司 极紫外光罩与其制造方法
TWI786605B (zh) * 2017-02-27 2022-12-11 日商Hoya股份有限公司 光罩基底、反射型光罩之製造方法、及半導體裝置之製造方法
TWI811610B (zh) * 2020-01-08 2023-08-11 南韓商S&S技術股份有限公司 用於極紫外光之反射式空白罩幕以及光罩

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2995235B1 (en) 2007-05-09 2021-08-11 iRobot Corporation Compact autonomous coverage robot
SG11201906153SA (en) 2017-01-17 2019-08-27 Hoya Corp Reflective mask blank, reflective mask, method of manufacturing same, and method of manufacturing semiconductor device
JP6729508B2 (ja) * 2017-06-29 2020-07-22 信越化学工業株式会社 フォトマスクブランク及びフォトマスク
JP6863169B2 (ja) * 2017-08-15 2021-04-21 Agc株式会社 反射型マスクブランク、および反射型マスク
US10996553B2 (en) 2017-11-14 2021-05-04 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet mask with reduced wafer neighboring effect and method of manufacturing the same
JP2019191209A (ja) * 2018-04-18 2019-10-31 Hoya株式会社 導電膜付き基板、多層反射膜付き基板、反射型マスクブランク、反射型マスク及び半導体装置の製造方法
WO2020036694A1 (en) * 2018-08-16 2020-02-20 Applied Materials, Inc. Photomask laser etch
US11119398B2 (en) * 2018-09-28 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. EUV photo masks
US11249245B2 (en) * 2019-09-05 2022-02-15 Himax Technologies Limited Patterned light guide structure and method to form the same
KR102644109B1 (ko) 2019-10-29 2024-03-07 에이지씨 가부시키가이샤 반사형 마스크 블랭크 및 반사형 마스크
KR20210089406A (ko) * 2020-01-08 2021-07-16 주식회사 에스앤에스텍 극자외선용 반사형 블랭크 마스크 및 포토마스크
US11111176B1 (en) * 2020-02-27 2021-09-07 Applied Materials, Inc. Methods and apparatus of processing transparent substrates
KR20210156461A (ko) * 2020-06-18 2021-12-27 삼성전자주식회사 극자외선 노광 장치의 노광 마스크
TW202246879A (zh) * 2021-02-09 2022-12-01 美商應用材料股份有限公司 極紫外光遮罩毛胚結構
US20220390825A1 (en) * 2021-05-27 2022-12-08 AGC Inc. Electroconductive-film-coated substrate and reflective mask blank
JP2024034663A (ja) * 2022-09-01 2024-03-13 信越化学工業株式会社 反射型マスクブランクおよび反射型マスクの製造方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63204259A (ja) * 1987-02-20 1988-08-23 Hitachi Ltd マスク
JP2001100393A (ja) 1999-09-28 2001-04-13 Toshiba Corp フォトマスク
JP2001194506A (ja) * 1999-11-05 2001-07-19 Asahi Glass Co Ltd 紫外および真空紫外領域の反射防止基体
KR100709045B1 (ko) 1999-11-05 2007-04-18 아사히 가라스 가부시키가이샤 자외 및 진공자외 영역의 반사방지 기초체
JP4212025B2 (ja) 2002-07-04 2009-01-21 Hoya株式会社 反射型マスクブランクス及び反射型マスク並びに反射型マスクの製造方法
JP4602430B2 (ja) 2008-03-03 2010-12-22 株式会社東芝 反射型マスク及びその作製方法
JP5282507B2 (ja) 2008-09-25 2013-09-04 凸版印刷株式会社 ハーフトーン型euvマスク、ハーフトーン型euvマスクの製造方法、ハーフトーン型euvマスクブランク及びパターン転写方法
JP5533718B2 (ja) * 2010-07-28 2014-06-25 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランク、該マスクブランク用の機能膜付基板
JP5772135B2 (ja) * 2011-03-28 2015-09-02 凸版印刷株式会社 反射型マスクブランク及び反射型マスク
KR20130085774A (ko) * 2012-01-20 2013-07-30 에스케이하이닉스 주식회사 Euv 마스크
JP5953833B2 (ja) * 2012-03-08 2016-07-20 凸版印刷株式会社 反射型フォトマスクおよびその製造方法
TWI652541B (zh) 2012-12-28 2019-03-01 日商Hoya股份有限公司 Method for manufacturing substrate for mask material, method for manufacturing substrate with multilayer reflective film, method for producing reflective mask material, and method for manufacturing semiconductor device
JP6225478B2 (ja) 2013-05-20 2017-11-08 凸版印刷株式会社 反射型マスク
JP6186996B2 (ja) 2013-07-30 2017-08-30 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランク、および、euvリソグラフィ用反射型マスク
JP6287046B2 (ja) * 2013-10-22 2018-03-07 凸版印刷株式会社 反射型マスク及び反射型マスクブランク並びにその製造方法

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI786605B (zh) * 2017-02-27 2022-12-11 日商Hoya股份有限公司 光罩基底、反射型光罩之製造方法、及半導體裝置之製造方法
CN110874009A (zh) * 2018-08-31 2020-03-10 台湾积体电路制造股份有限公司 极紫外遮罩及其制造方法
TWI709812B (zh) * 2018-08-31 2020-11-11 台灣積體電路製造股份有限公司 極紫外遮罩及其製造方法
US11275301B2 (en) 2018-08-31 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet mask and method of manufacturing the same
US11774844B2 (en) 2018-08-31 2023-10-03 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet mask and method of manufacturing the same
TWI811610B (zh) * 2020-01-08 2023-08-11 南韓商S&S技術股份有限公司 用於極紫外光之反射式空白罩幕以及光罩
US11815801B2 (en) 2020-01-08 2023-11-14 S & S Tech Co., Ltd. Reflective type blankmask and photomask for EUV
CN113138528A (zh) * 2020-01-17 2021-07-20 台湾积体电路制造股份有限公司 极紫外光罩与其制造方法
US11221554B2 (en) 2020-01-17 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. EUV masks to prevent carbon contamination
TWI778465B (zh) * 2020-01-17 2022-09-21 台灣積體電路製造股份有限公司 極紫外光罩與其製造方法
US11561464B2 (en) 2020-01-17 2023-01-24 Taiwan Semiconductor Manufacturing Co., Ltd. EUV masks to prevent carbon contamination
US11988953B2 (en) 2020-01-17 2024-05-21 Taiwan Semiconductor Manufacturing Co., Ltd. EUV masks to prevent carbon contamination

Also Published As

Publication number Publication date
JP6789972B2 (ja) 2020-11-25
JP7047046B2 (ja) 2022-04-04
US20180356719A1 (en) 2018-12-13
TWI732801B (zh) 2021-07-11
JP2021015299A (ja) 2021-02-12
JPWO2017090485A1 (ja) 2018-09-13
KR20180086457A (ko) 2018-07-31
WO2017090485A1 (ja) 2017-06-01
US10921705B2 (en) 2021-02-16

Similar Documents

Publication Publication Date Title
JP7047046B2 (ja) マスクブランク用基板、多層反射膜付き基板、反射型マスクブランク及び反射型マスク、並びに半導体装置の製造方法
TWI810176B (zh) 反射型光罩基底、反射型光罩及其製造方法、與半導體裝置之製造方法
TWI664489B (zh) 反射型光罩基底及其製造方法、反射型光罩及其製造方法、以及半導體裝置之製造方法
US20190369483A1 (en) Substrate with conductive film, substrate with multilayer reflective film, reflective mask blank, reflective mask and method for manufacturing semiconductor device
TWI657481B (zh) 附多層反射膜之基板、euv微影用反射型光罩基底、euv微影用反射型光罩及其製造方法、以及半導體裝置之製造方法
JP7193344B2 (ja) 反射型マスクブランク、反射型マスクの製造方法、及び半導体装置の製造方法
TW202041964A (zh) 反射型遮罩基底、反射型遮罩以及半導體裝置之製造方法
JP7268211B2 (ja) 反射型マスクブランク、反射型マスク及びその製造方法、並びに半導体装置の製造方法
JP2024023584A (ja) 多層反射膜付き基板、反射型マスクブランク及び反射型マスク、並びに半導体装置の製造方法
KR102002441B1 (ko) 반사형 마스크 블랭크, 반사형 마스크 및 그 제조 방법, 및 반도체 장치의 제조 방법
WO2020184473A1 (ja) 反射型マスクブランク、反射型マスク及びその製造方法、並びに半導体装置の製造方法
JP6425951B2 (ja) 反射型マスクブランク及びその製造方法、反射型マスクの製造方法、並びに半導体装置の製造方法
TW202113462A (zh) 反射型遮罩基底、反射型遮罩、以及反射型遮罩及半導體裝置之製造方法
JP7288782B2 (ja) 多層反射膜付き基板、反射型マスクブランク及び反射型マスク、並びに半導体装置の製造方法
TW202122907A (zh) 附導電膜之基板、反射型光罩基底及反射型光罩、以及半導體裝置之製造方法
TW202115483A (zh) 附薄膜之基板、附多層反射膜之基板、反射型光罩基底、反射型光罩及半導體裝置之製造方法