TW201635439A - 半導體裝置結構及其製造方法 - Google Patents

半導體裝置結構及其製造方法 Download PDF

Info

Publication number
TW201635439A
TW201635439A TW104133637A TW104133637A TW201635439A TW 201635439 A TW201635439 A TW 201635439A TW 104133637 A TW104133637 A TW 104133637A TW 104133637 A TW104133637 A TW 104133637A TW 201635439 A TW201635439 A TW 201635439A
Authority
TW
Taiwan
Prior art keywords
semiconductor layer
source
semiconductor
semiconductor device
semiconductor substrate
Prior art date
Application number
TW104133637A
Other languages
English (en)
Other versions
TWI596707B (zh
Inventor
陳隆
郭康民
詹文炘
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201635439A publication Critical patent/TW201635439A/zh
Application granted granted Critical
Publication of TWI596707B publication Critical patent/TWI596707B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/07Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本揭示提供半導體裝置結構及其製造方法,半導體裝置結構包含位於半導體基底上的閘極堆疊;半導體裝置結構也包含位於半導體基底上的源極/汲極結構,且源極/汲極結構包含摻雜質;半導體裝置結構更包含位於閘極堆疊下方的通道區;此外,半導體裝置結構包含圍繞源極/汲極結構的半導體層,半導體層的設置係用來防止摻雜質進入通道區。

Description

半導體裝置結構及其製造方法
本發明係有關於半導體裝置,特別係有關於在源極/汲極結構與通道區間形成半導體層的半導體裝置結構及其製造方法。
半導體積體電路(IC)歷經快速的成長,IC材料及設計上的進步製造出許多世代的積體電路。每一世代比起之前的世代具有更小及更複雜的電路。然而,這些進步使IC製程及製造日益複雜。
IC演變過程中,功能密度(即,單位晶片面積內互相連接的裝置數目)逐漸增加而幾何尺寸(即,用一製造製程能產生的最小的元件(或線))逐漸減小。此按比例縮小的製程藉由增加生產效率及降低相關的成本以提供利益。
然而,由於特徵部件尺寸持續減小,製程也持續變得更難以實施。因此,在越來越小的尺寸下形成可靠的半導體裝置是一大挑戰。
本揭露的一些實施例係關於半導體裝置結構,其包括閘極堆疊,位於半導體基底上;源極/汲極結構,位於半 導體基底上,其中源極/汲極結構包括摻雜質;通道區,位於閘極堆疊下;以及半導體層圍繞源極/汲極結構,其中半導體層的設置係用來防止摻雜質進入通道區。
本揭露的另一些實施例係關於半導體裝置結構,其包括閘極堆疊,位於半導體基底上;源極/汲極結構,位於半導體基底上;通道區,位於閘極堆疊下;以及半導體層位於源極/汲極結構與半導體基底之間,其中半導體層具有面向通道區的凹陷。
本揭露的另一些實施例係關於半導體裝置結構的製造方法,其包括形成閘極堆疊於半導體基底上;形成凹陷於半導體基底內;形成第一半導體層於凹陷內;移除部分的第一半導體層;移除部分的半導體基底使得凹陷朝向閘極堆疊下方的通道區橫向延伸;移除部分的第一半導體層與半導體基底後,形成第二半導體層於凹陷內;以及形成源極/汲極結構於第二半導體層上。
100‧‧‧半導體基底
101A、101B‧‧‧通道區
102A、102B‧‧‧閘極堆疊
104‧‧‧閘極介電層
106‧‧‧閘極電極
108‧‧‧硬遮罩
109‧‧‧密封元件
110‧‧‧間隙物元件
112、123‧‧‧凹陷
114‧‧‧第一半導體層
114'‧‧‧半導體層
116‧‧‧第二半導體層
118‧‧‧源極/汲極結構
119‧‧‧半導體層
119b‧‧‧底部
119S1、119S2‧‧‧表面
120‧‧‧覆蓋元件
121a‧‧‧第一部分
121b‧‧‧第二部分
第1A-1G圖顯示根據某些實施例,形成半導體裝置結構之不同階段的製程的剖面示意圖。
第2圖顯示根據某些實施例,用來形成半導體裝置結構之製程腔室的剖面示意圖。
第3圖顯示根據某些實施例,半導體裝置結構的剖面示意圖。
要瞭解的是本說明書以下的揭露內容提供許多不同的實施例或範例,以實施本發明的不同特徵部件。而本說明書以下的揭露內容是敘述各個構件及其排列方式的特定範例,以求簡化發明的說明。當然,這些特定的範例並非用以限定本發明。例如,若是本說明書以下的揭露內容敘述了將第一特徵部件形成於第二特徵部件之上或上方,即表示其包含了所形成的上述第一特徵部件與上述第二特徵部件是直接接觸的實施例,亦包含了尚可將附加的特徵部件形成於上述第一特徵部件與上述第二特徵部件之間,而使上述第一特徵部件與上述第二特徵部件可能未直接接觸的實施例。另外,本發明的說明中不同範例可能使用重複的參考符號及/或用字。這些重複符號或用字係為了簡化與清晰的目的,並非用以限定各個實施例及/或所述外觀結構之間的關係。
再者,為了方便描述圖式中一元件或特徵部件與另一(複數)元件或(複數)特徵部件的關係,可使用空間相關用語,例如“在...之下”、“下方”、“下部”、“上方”、“上部”及類似的用語。除了圖式所繪示的方位之外,空間相關用語涵蓋使用或操作中的裝置的不同方位。例如,若翻轉圖式中的裝置,描述為位於其他元件或特徵部件“下方”或“在...之下”的元件,將定位為位於其他元件或特徵部件“上方”。因此,範例的用語“下方”可涵蓋上方及下方的方位。所述裝置也可被另外定位(例如,旋轉90度或者位於其他方位),並對應地解讀所使用的空間相關用語的描述。
本揭示的一些實施例如下所述,第1A-1G圖顯示 根據某些實施例,形成半導體裝置結構之不同階段的製程的剖面示意圖。額外的製程可以在第1A-1G圖所述的階段之前、之中、及/或之後提供。一些所述的階段在不同的實施例中可以被取代或移除。半導體裝置結構可以增加額外的特徵部件。一些如下所述的特徵部件在不同的實施例中可以被取代或移除。
如第1A圖所示,提供半導體基底100。在一些實施例中,半導體基底100係一半導體基底塊材,例如為半導體晶圓。例如,半導體基底100為矽晶圓。半導體基底100可包含矽或其他的元素半導體材料,例如鍺。在另一些實施例中,半導體基底100包含化合物半導體。化合物半導體可包括砷化鍺、碳化矽、砷化銦、磷化銦及其他適合的化合物半導體或其組合。
在一些實施例中,半導體基底100包括絕緣上覆矽(SOI)基板,SOI基板的製作可使用氧離子植入矽晶隔離(separation by implantation of oxygen,SIMOX)製程、晶圓接合(bonding)製程、其他適合的方法或其組合。在一些實施例中,半導體基底100包括鰭(fin)結構。鰭結構可包含多重鰭。在一些實施例中,第1A圖所示的結構為其中一個鰭的一部分。
在一些實施例中,隔離特徵部件(未繪示)形成於半導體基底100內,隔離特徵部件係用來定義及/或電性隔離各種形成於半導體基底100內及/或上的不同裝置元件。在一些實施例中,隔離特徵部件包含淺溝槽隔離(STI)特徵部件、局部氧化矽(local oxidation of silicon,LOCOS)特徵部件、其他適合的隔離特徵部件或其組合。在一些實施例中,隔離特徵部 件由介電材料形成。介電材料可包括氧化矽、氮化矽、氮氧化矽、氟矽玻璃(fluoride-doped silicate glass,FSG)、低介電常數介電材料及其他適合的材料或其中組合。
根據一些實施例,如第1A圖所示,閘極堆疊包含閘極堆疊102A及102B,其形成於半導體基底100上。如第1A圖所示,在一些實施例中,通道區101A及101B係形成於或定義於閘極堆疊102A及102B下方。通道區101A及101B可用來提供後來形成的源極/汲極結構間的載子的連接路徑。
在一些實施例中,每一個閘極堆疊102A及102B包括閘極介電層104及閘極電極106。在一些實施例中,每一個閘極堆疊102A及102B更包含位於閘極電極106上的硬遮罩108,在形成閘極電極106時,硬遮罩108可作為蝕刻遮罩,硬遮罩108也可在後續的製程中保護閘極電極106。在一些實施例中,硬遮罩108係由氮化矽、氮氧化矽、碳化矽、氧化矽、氮碳化矽、其他適合的材料或其組合形成。
在一些實施例中,閘極電極106包含多晶矽、金屬材料、其他適合的導電材料或其組合。在一些實施例中,閘極電極106為虛置閘極電極且將被其他導電材料,例如金屬材料取代。虛置閘極電極層例如由多晶矽形成。
在一些實施例中,閘極介電層104由氧化矽、氮化矽、氮氧化矽、高介電常數(high-K)介電材料及其他適合的介電材料或其組合形成。高介電常數介電材料的例子包含氧化鉿(hafnium oxide)、氧化鋯(zirconium oxide)、氧化鋁(aluminum oxide)、二氧化鉿鋁合金(hafnium dioxide-alumina alloy)、氧化 矽鉿(hafnium silicon oxide)、氮氧化矽鉿(hafnium silicon oxynitride)、氧化鉭鉿(hafnium tantalum oxide)、氧化鈦鉿(hafnium titanium oxide),氧化鋯鉿(hafnium zirconium oxide)、其他適合的高介電常數材料或其組合組成。在一些實施例中,閘極介電層104為虛置閘極介電層,其將在後續的閘極替換製程中被移除掉。虛置閘極介電層例如為氧化矽層。
在一些實施例中,閘極介電材料層、閘極電極層及硬遮罩層沉積於半導體基底100上。每一個閘極介電材料層、閘極電極層及硬遮罩層可藉由化學氣相沉積(CVD)製程、原子層沉積(ALD)製程、物理氣相沉積(PVD)製程、其他可應用的製程或其組合沉積。之後,實施微影製程及蝕刻製程來圖案化硬遮罩以形成硬遮罩108。之後,藉由硬遮罩108定義的開口蝕刻閘極介電材料層及閘極電極層。結果,形成多重閘極堆疊,其包括閘極堆疊102A及102B。
在一些實施例中,如第1A圖所示,密封元件109形成於閘極堆疊102A及102B的側壁上。密封元件109可用來保護閘極電極106,密封元件109也可用來輔助輕摻雜源極及汲極(LDS/D)區的形成(未繪示)。在一些實施例中,使用離子佈值製程,且以閘極堆疊102A和102B及密封元件109作為遮罩,將適合的摻雜質植入半導體基底100,結果,形成LDS/D區。
密封元件109可由氧化矽、氮氧化矽、氮化矽、碳化矽、氮碳化矽、其他適合的材料或其組合形成。在一些實施例中,密封材料層沉積於半導體基底100與閘極堆疊102A 及102B上。之後,實施蝕刻製程,例如非等向性蝕刻製程以移除部分的密封材料層。結果,如第1A圖所示,密封材料層的餘留部分形成密封元件109。
在一些實施例中,如第1A圖所示,間隙物元件110形成於密封元件109上,間隙物元件110可由氮化矽,氮氧化矽、碳化矽、氮碳化矽、其他適合的材料或其組合形成。在一些實施例中,間隙物材料層沉積半導體基底100、閘極堆疊102A和102B及密封元件109上。之後,實施蝕刻製程,例如非等向性蝕刻製程以移除部分的間隙物材料層。結果,如第1A圖所示,間隙物材料層的餘留部分形成間隙物元件110。
根據一些實施例,如第1B圖所示,多個凹陷包含凹陷112形成於半導體基底100內。根據一些實施例,包括凹陷112的這些凹陷係用來容納之後形成的源極/汲極結構。在一些實施例中,凹陷112位於半導體基底100內且與分別在閘極堆疊102A及102B下的通道區101A及101B相鄰。在一些實施例中,如第1B圖所示,凹陷112在相應閘極堆疊的相應通道區下橫向延伸。在一些實施例中,凹陷112具有“V形”側壁。
在一些實施例中,使用一或多道蝕刻操作形成凹陷112。在形成凹陷112時,閘極堆疊102A和102B及間隙物元件110可一起作為蝕刻遮罩。在一些實施例中,形成凹陷112包含對半導體基底100實施非等向性蝕刻。之後,藉由將半導體基底100浸入蝕刻液或將蝕刻液塗至半導體基底100上,以實施濕蝕刻來橫向地擴大凹陷。結果,如第1B圖所示,形成 凹陷112。
根據一些實施例,如第1C圖所示,第一半導體層114沉積於凹陷112內。在一些實施例中,第一半導體層114係直接接觸半導體基底100。在一些實施例中,第一半導體層114包含第一元素及第二元素。在一些實施例中,第一元素為矽。在一些實施例中,第二元素的原子半徑大於第一元素。在一些實施例中,第二元素為鍺。在一些實施例中,第一半導體層114由矽鍺形成。在一些實施例中,第一半導體層114中鍺的原子濃度介於約10-35%的範圍間。在另一些實施例中,第一半導體層114中鍺的原子濃度介於約15-30%的範圍間。在一些實施例中,半導體層114未植入摻雜質,例如硼。
在一些實施例中,第一半導體層114中鍺的原子濃度沿著自第一半導體層114的底部朝向頂部的方向逐漸增加。在一些實施例中,鄰近第一半導體層114與半導體基底100間的介面處之鍺的原子濃度介於約15-20%的範圍間。在一些實施例中,鍺的原子濃度沿遠離第一半導體層114與半導體基底100間的介面的方向而逐漸增加。在一些實施例中,鄰近第一半導體層114的頂面處之鍺的原子濃度介於約25-35%的範圍間。
在一些實施例中,第一半導體層114磊晶生成在凹陷112所露出的半導體基底100的表面上。在一些實施例中,第一半導體層114藉由使用選擇性磊晶生成(selective epitaxial growth,SEG)製程、CVD製程(例如氣相磊晶(vapor-phase epitaxy,VPE)製程、低壓化學氣相沉積(LPCVD) 製程及/或超高真空化學氣相沉積(ultra-high vacuum CVD,UHV-CVD)製程、分子束磊晶(molecular beam epitaxy)製程、其他可應用的製程或其組合形成。
在一些實施例中,第一半導體層114係利用混合氣體形成。在一些實施例中,使用含矽氣體和含鍺氣體,以磊晶生成第一半導體層114。在一些實施例中,含矽氣體包括二氯矽烷(dichlorosilane,DCS)、矽烷(SiH4)、甲基矽烷(SiCH6)、其他適合的氣體或其組合。在一些實施例中,含鍺氣體包含甲鍺烷(GeH4)、其他適合的氣體或其組合。在一些實施例中,在生成第一半導體層114的期間,含鍺氣體的流速逐漸增加。結果,所生成的第一半導體層114中鍺的原子濃度逐漸增加。
根據一些實施例,如第1D圖所示,第一半導體層114被移除了一部分。如第1D圖所示,被移除了一部分的第一半導體層現在以圖式標號114'來表示。在一些實施例中,使用蝕刻製程來回蝕刻(etch back)第一半導體層114。在一些實施例中,半導體基底100也被移除了一部分。在一些實施例中,在移除部分的第一半導體層114得蝕刻製程當中,移除部分的半導體基底100。亦即,移除部分的半導體基底100發生在移除部分的第一半導體層114的期間。
根據一些實施例,如第1D圖所示,蝕刻製程之後,凹陷112更朝向通道區101A及101B延伸。如第1D圖所示,凹陷112露出部分的半導體基底100。由於凹陷112更朝向通道區101A及/或通道區101B延伸,因此隨後形成的應力源(stressor)可在通道區101A及/或通道區101B內提供更有效 的應力。後續形成的應力源包含例如為源極/汲極應力源結構。由於第一半導體層114和半導體基底100被移除了一部分,產生了將在後續討論的第二半導體層的生成空間。
在一些實施例中,利用蝕刻製程,例如乾蝕刻製程,移除部分的第一半導體層114和半導體基底100。第2圖顯示根據某些實施例,用來形成半導體裝置結構之製程腔室200的剖面示意圖。在一些實施例中,第一半導體層114在製程腔室200內磊晶生成。在一些實施例中,蝕刻製程也在生成第一半導體層114的製程腔室200內實施。在一些實施例中,形成第一半導體層114及移除部分的第一半導體層114和半導體基底100在製程腔室200內原位(in-situ)實施。因此,可避免污染半導體裝置結構而保證最終產品的品質。
在一些實施例中,使用含鹵素氣體進行蝕刻製程。在一些實施例中,含鹵素氣體包含一種(或多種)含氯氣體。含氯氣體包含例如氯化氫氣體。在一些實施例中,蝕刻製程操作的溫度介於約400-700℃的範圍間。在另一些實施例中,操作的溫度介於約500-600℃的範圍間。由於操作的溫度較高,因此在蝕刻製程中也會移除部分的半導體基底100。
根據一些實施例,如第1E圖所示,第二半導體層116沉積在凹陷112裡的半導體層114'和半導體基底100所露出的表面上。如上所述,移除部分的第一半導體層114和半導體基底100後,產生了讓第二半導體層116形成的空間,以覆蓋及保護通道區101A及101B。
在一些實施例中,第二半導體層116係直接接觸 半導體層114'。在一些實施例中,第二半導體層116係直接接觸半導體基底100。在一些實施例中,第二半導體層116係直接接觸通道區101A及/或通道區101B。
在一些實施例中,與半導體層114'相似,第二半導體層116也包含第一元素和第二元素。如同上述,第二元素的原子半徑大於第一元素。在一些實施例中,第二半導體層116由矽鍺形成。在一些實施例中,第二半導體層116中鍺的原子濃度介於約15-35%的範圍間。在另一些實施例中,第二半導體層116中鍺的原子濃度介於約20-30%的範圍間。
在一些實施例中,第二半導體層116中鍺的原子濃度沿著自第二半導體層116的底部朝向頂部的方向逐漸增加。在一些實施例中,鄰近第二半導體層116與半導體層114'間的介面處之鍺的原子濃度介於約15-20%的範圍間。在一些實施例中,鍺的原子濃度隨著遠離第二半導體層116與半導體層114'的介面的方向而逐漸增加。在一些實施例中,鄰近第二半導體層116的頂面中鍺的原子濃度介於約25-35%的範圍間。
在一些實施例中,第二半導體層116磊晶生成在凹陷112所露出的半導體層114'和半導體基底100的表面上。在一些實施例中,第二半導體層116使用選擇性磊晶生成(SEG)製程、CVD製程(例如氣相磊晶(vapor-phase epitaxy,VPE)製程、低壓化學氣相沉積(LPCVD)製程及/或超高真空化學氣相沉積(ultra-high vacuum CVD,UHV-CVD)製程、分子束磊晶(molecular beam epitaxy)製程、其他可應用的製程或其組合形成。在一些實施例中,第二半導體層116在生成第一半導體層 114的製程腔室200內形成。因此,可避免污染半導體裝置結構而保證最終產品的品質。
在一些實施例中,第二半導體層116係利用混合氣體形成。在一些實施例中,使用含矽氣體和含鍺氣體以磊晶生成第二半導體層116。在一些實施例中,含矽氣體包含二氯矽烷(DCS)、矽烷(SiH4)、甲基矽烷(SiCH6)、其他適合的氣體或其組合。在一些實施例中,含鍺氣體包括甲鍺烷(GeH4)、其他適合的氣體或其組合。在一些實施例中,在生成第二半導體層116的期間,含鍺氣體的流速逐漸增加。結果,所生成的第二半導體層116中鍺的原子濃度逐漸增加。
根據一些實施例,如第1E圖所示,半導體層114'和第二半導體層116一起形成了半導體層119(或半導體保護層)。半導體層119被設置用來防止將在凹陷112內形成的源極/汲極結構中的摻雜質進入通道區101A。在一些實施例中,半導體層114'與第二半導體層116間的介面可用電子顯微鏡觀察到。在另一些實施例中,半導體層114'與第二半導體層116間的介面無法用電子顯微鏡觀察到,在這些情況,半導體層114'與第二半導體層116間的介面用虛線來表示。
如第1E圖所示,半導體層119具有第一部分121a及第二部分121b。第3圖顯示根據某些實施例中,半導體裝置結構的放大剖面示意圖。在一些實施例,第3圖顯示第1E圖中所示的區域A裡的放大剖面示意圖。如第3圖所示,在一些實施例中,第一部分121a具有厚度T1,其小於第二部分121b的厚度T2。在一些實施例中,厚度T1介於約1-3nm的範圍間。 在一些實施例中,厚度T2介於約5-10nm的範圍間。在一些實施例中,厚度T1與厚度T2的比值(T1/T2)介於約0.1-0.6的範圍間。在另一些實施例中,比值(T1/T2)介於約0.1-0.3的範圍間。
在一些實施例中,第一部分121a係直接接觸通道區101A。在一些實施例中,第一部分121a中第二元素(例如鍺)的原子濃度大於第二部分121b中第二元素(例如鍺)的原子濃度。在一些實施例中,半導體層119中第二元素(例如鍺)的原子濃度沿著自半導體層119的底部119b朝向半導體層119頂面的方向逐漸增加。
根據一些實施例,如第1E及3圖所示,半導體層119具有面向通道區101A的凹陷123。在一些實施例中,凹陷123與閘極堆疊102A相鄰。在一些實施例中,凹陷123具有“V形”側壁或“V形”、“類似V形”的輪廓。在一些實施例中,第一部分121a的表面119S1形成凹陷123的第一側壁,第二部分121b的表面119S2形成凹陷123的第二側壁。
根據一些實施,例如第1F圖所示,源極/汲極(源極或汲極結構)結構118形成於第二半導體層116上。在一些實施例中,源極/汲極結構118凸出於半導體基底100上方。源極/汲極結構118可用來作為提供給通道區101A及/或101B應力或應變的應力源,藉此增加載子的遷移率。在一些實施例中,半導體層119也作為應力源,以輔助增進載子的遷移率。在一些實施例中,半導體層119環繞源極/汲極結構118,且用來防止源極/汲極結構118內的摻雜質擴散至通道區101A。
在一些實施例中,源極/汲極結構118為p型區域,例如,源極/汲極結構118可包含磊晶生成摻雜了p型摻雜質(例如硼)的矽鍺。在一些實施例中,源極/汲極結構118中第二元素(例如鍺)的原子濃度大於半導體層119中第二元素(例如鍺)的原子濃度。在一些實施例中,源極/汲極結構118中鍺的原子濃度介於約30-50%的範圍間。在另一些實施例中,源極/汲極結構118中鍺的原子濃度介於約35-45%的範圍間。
在一些實施例中,源極/汲極結構118中鍺的原子濃度沿著自源極/汲極結構118的底部朝向頂部的方向逐漸增加。在一些實施例中,鄰近源極/汲極結構118與第二半導體層116間的介面處之鍺的原子濃度介於約30-35%的範圍間。在一些實施例中,鍺的原子濃度沿著遠離第二半導體層116與源極/汲極結構118間的介面的方向而逐漸增加。在一些實施例中,鄰近源極/汲極結構118的頂面處之鍺的原子濃度介於約45-50%的範圍間。
在一些實施例中,源極/汲極結構118磊晶生成在凹陷112所露出的第二半導體層116的表面上。在一些實施例中,源極/汲極結構118使用選擇性磊晶生成(SEG)製程、CVD製程(例如氣相磊晶(vapor-phase epitaxy,VPE)製程、低壓化學氣相沉積(LPCVD)製程及/或超高真空化學氣相沉積(ultra-high vacuum CVD,UHV-CVD)製程、分子束磊晶(molecular beam epitaxy)製程、其他可應用的製程或其組合形成。在一些實施例中,源極/汲極結構118在生成第一半導體層114和第二半導體層116的製程腔室200內形成。
在一些實施例中,源極/汲極結構118係利用混合氣體生成。在一些實施例中,使用含矽氣體和含鍺氣體以磊晶生成源極/汲極結構118。在一些實施例中,含矽氣體包含二氯矽烷(DCS)、矽烷(SiH4)、甲基矽烷(SiCH6)、其他適合的氣體或其組合。在一些實施例中,含鍺氣體包括甲鍺烷(GeH4)及其他適合的氣體或其組合。在一些實施例中,在生成源極/汲極結構118時,含鍺氣體的流速逐漸增加。結果,所生成的源極/汲極結構118中鍺的原子濃度逐漸增加。
在一些實施例中,源極/汲極結構118被植入一種或多種適合的摻雜質,例如,源極/汲極結構118為摻雜硼(B)或其他適合的摻雜質的SiGe源極/汲極特徵部件。在一些實施例中,在磊晶生成源極/汲極結構118的期間,原位摻雜源極/汲極結構118。
在一些實施例中,半導體層114'及第二半導體層116大抵上沒有源極/汲極結構118裡的摻雜質(例如硼)。亦即,半導體層119不包含摻雜質。在另一些實施例中,與源極/汲極結構118內的摻雜質的量比較時,半導體層119只包含微量的摻雜質(例如硼)。
在一些實施例中,第二半導體層116使得通道區101A(或101B)與源極/汲極結構118隔開。在一些實施例中,半導體層119的第一部分121a使得通道區101A與源極/汲極結構118隔開。在一些實施例中,半導體層119的第一部分121a直接接觸源極/汲極結構118。在一些實施例中,半導體層119的第一部分121a直接接觸源極/汲極結構118和通道區101A。
在一些實施例中,第二半導體層116避免源極/汲極結構118中的摻雜質(例如硼)擴散至通道區101A及/或101B。源極/汲極結構118中的摻雜質(例如硼)被阻擋進入通道區101A。由於半導體層119的第一部分121a的保護,因此源極/汲極結構118可植入較高濃度的摻雜質。凹陷112可更延伸至通道區101A或101B,以提供更多的應變至通道區101A或101B,藉此改善半導體裝置結構的效能。
根據一些實施例,如第1G圖所示,覆蓋(cap)元件120形成於源極/汲極結構118上。覆蓋元件120可用來保護位於其下方的源極/汲極結構118。覆蓋元件120也可用來形成金屬矽化物特徵部件,以提升源極/汲極結構與其他導電元件之間的電性連接。在一些實施例中,源極/汲極結構118係直接接觸覆蓋元件120。
在一些實施例中,覆蓋元件120包括矽、矽鍺或其組合。在一些實施例中,覆蓋元件120大抵上不包含鍺。在一些實施例中,覆蓋元件120大抵上由純矽形成。
在一些實施例中,覆蓋元件120使用選擇性磊晶生成(SEG)製程、CVD製程(例如氣相磊晶(vapor-phase epitaxy,VPE)製程、低壓化學氣相沉積(LPCVD)製程及/或超高真空化學氣相沉積(ultra-high vacuum CVD,UHV-CVD)製程、分子束磊晶(molecular beam epitaxy)製程、其他可應用的製程或其組合形成。在一些實施例中,覆蓋元件120在生成源極/汲極結構118的相同製程腔室200內原位形成。
本揭示實施例在源極/汲極應力源結構與通道區 間形成半導體層,以防止源極/汲極應力源結構中的摻雜質進入通道區。由於半導體層的保護,因此,可容許源極/汲極應力源結構被植入較高濃度的摻雜質。源極/汲極應力源結構可更延伸至通道區以提供更多應變至通道區,藉此改善半導體裝置結構的效能。
本揭露的一些實施例係關於半導體裝置結構,其包括閘極堆疊,位於半導體基底上;源極/汲極結構,位於半導體基底上,其中源極/汲極結構包括摻雜質;通道區,位於閘極堆疊下;以及半導體層圍繞源極/汲極結構,其中半導體層的設置係用來防止摻雜質進入通道區。
本揭露的另一些實施例係關於半導體裝置結構,其包括閘極堆疊,位於半導體基底上;源極/汲極結構,位於半導體基底上;通道區,位於閘極堆疊下;以及半導體層位於源極/汲極結構與半導體基底之間,其中半導體層具有面向通道區的凹陷。
本揭露的另一些實施例係關於半導體裝置結構的製造方法,其包括形成閘極堆疊於半導體基底上;形成凹陷於半導體基底內;形成第一半導體層於凹陷內;移除部分的第一半導體層;移除部分的半導體基底使得凹陷朝向閘極堆疊下方的通道區橫向延伸;移除部分的第一半導體層與半導體基底後,形成第二半導體層於凹陷內;以及形成源極/汲極結構於第二半導體層上。
以上敘述許多實施例的特徵,使所屬技術領域中具有通常知識者能夠清楚理解以下的說明。所屬技術領域中具 有通常知識者能夠理解其可利用本發明揭示內容作為基礎,以設計或更動其他製程及結構而完成相同於上述實施例的目的及/或達到相同於上述實施例的優點。所屬技術領域中具有通常知識者亦能夠理解不脫離本發明之精神和範圍的等效構造可在不脫離本發明之精神和範圍內作任意之更動、替代與潤飾。
100‧‧‧半導體基底
101A、101B‧‧‧通道區
102A、102B‧‧‧閘極堆疊
104‧‧‧閘極介電層
106‧‧‧閘極電極
108‧‧‧硬遮罩
109‧‧‧密封元件
110‧‧‧間隙物元件
114'‧‧‧半導體層
116‧‧‧第二半導體層
118‧‧‧源極/汲極結構
119‧‧‧半導體層
119b‧‧‧底部
119S1、119S2‧‧‧表面
120‧‧‧覆蓋元件
121a‧‧‧第一部分
121b‧‧‧第二部分
123‧‧‧凹陷

Claims (13)

  1. 一種半導體裝置結構,包括:一閘極堆疊,位於一半導體基底上;一源極/汲極結構,位於該半導體基底上,其中該源極/汲極結構包括一摻雜質;一通道區,位於該閘極堆疊下;以及一半導體層圍繞該源極/汲極結構,其中該半導體層的設置係用來防止該摻雜質進入該通道區。
  2. 如申請專利範圍第1項所述之半導體裝置結構,其中該半導體層使得該源極/汲極結構與該通道區隔開。
  3. 如申請專利範圍第1項所述之半導體裝置結構,其中每一個該半導體層及該源極/汲極結構包括一第一元素及一第二元素,該第一元素為矽,且該第二元素為鍺。
  4. 如申請專利範圍第1項所述之半導體裝置結構,其中每一個該半導體層及該源極/汲極結構包括一第一元素及一第二元素,該源極/汲極結構中的該第二元素的一原子濃度大於該半導體層中的該第二元素的一原子濃度,該半導體層的該第二元素的該原子濃度沿著一自該半導體層的一底部朝向該源極/汲極結構的方向逐漸增加。
  5. 如申請專利範圍第1項所述之半導體裝置結構,其中該半導體層不包括該摻雜質。
  6. 如申請專利範圍第1項所述之半導體裝置結構,其中該半導體層具有一第一部分及一厚度大於該第一部分的第二部分,該半導體層的該第一部分係直接接觸該源極/汲極結構 及該通道區。
  7. 如申請專利範圍第1項所述之半導體裝置結構,其中該半導體層具有一第一部分及一厚度大於該第一部分的第二部分,該第一部分中的鍺的一原子濃度大於該第二部分中的鍺的一原子濃度。
  8. 一種半導體裝置結構,包括:一閘極堆疊,位於一半導體基底上;一源極/汲極結構,位於該半導體基底上;一通道區,位於該閘極堆疊下;以及一半導體層位於該源極/汲極結構與該半導體基底間,其中該半導體層具有一面向該通道區的凹陷。
  9. 如申請專利範圍第項8所述之半導體裝置結構,其中該凹陷具有一V形輪廓。
  10. 如申請專利範圍第項8所述之半導體裝置結構,其中該半導體層具有一第一部分及一厚度大於該第一部分的第二部分,該第一部分的一表面形成該凹陷的一第一側壁,且該第二部分的一表面形成該凹陷的一第二側壁,該第一部分中的鍺的一原子濃度大於該第二部分中的鍺的一原子濃度。
  11. 一種半導體裝置結構的製造方法,包括:形成一閘極堆疊於一半導體基底上;形成一凹陷於該半導體基底內;形成一第一半導體層於該凹陷內;移除部分的該第一半導體層; 移除部分的該半導體基底使得該凹陷朝向該閘極堆疊下方的一通道區橫向延伸;移除部分的該第一半導體層與該半導體基底後,形成一第二半導體層於該凹陷內;以及形成一源極/汲極結構於該第二半導體層上。
  12. 如申請專利範圍第11項所述之半導體裝置結構的製造方法,其中移除部分的該半導體基底發生在移除部分的該第一半導體層的期間,移除部分的該第一半導體層與該半導體基底係在溫度介於約400-700℃的範圍間使用一含氯的蝕刻劑實施。
  13. 如申請專利範圍第11項所述之半導體裝置結構的製造方法,其中形成該第一半導體層及移除部分的該第一半導體層和該半導體基底係在一製程腔室內原位實施,形成該第二半導體層及該源極/汲極結構係在該製程腔室內原位實施。
TW104133637A 2015-03-30 2015-10-14 半導體裝置結構及其製造方法 TWI596707B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562140241P 2015-03-30 2015-03-30
US14/704,324 US10008568B2 (en) 2015-03-30 2015-05-05 Structure and formation method of semiconductor device structure

Publications (2)

Publication Number Publication Date
TW201635439A true TW201635439A (zh) 2016-10-01
TWI596707B TWI596707B (zh) 2017-08-21

Family

ID=56937706

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104133637A TWI596707B (zh) 2015-03-30 2015-10-14 半導體裝置結構及其製造方法

Country Status (5)

Country Link
US (1) US10008568B2 (zh)
KR (1) KR101734665B1 (zh)
CN (1) CN106024767B (zh)
DE (1) DE102015107439A1 (zh)
TW (1) TWI596707B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI812271B (zh) * 2017-08-29 2023-08-11 南韓商三星電子股份有限公司 半導體裝置

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9431536B1 (en) 2015-03-16 2016-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with raised source/drain having cap element
KR102480447B1 (ko) * 2015-11-20 2022-12-22 삼성전자주식회사 반도체 장치 및 그 제조 방법
WO2018080513A1 (en) * 2016-10-28 2018-05-03 Intel Corporation Local interconnect for group iv source/drain regions
KR102606237B1 (ko) 2018-02-09 2023-11-24 삼성전자주식회사 모스 트랜지스터를 포함하는 집적 회로 반도체 소자
KR102582670B1 (ko) * 2018-07-13 2023-09-25 삼성전자주식회사 반도체 장치
US10879238B2 (en) 2018-07-31 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Negative capacitance finFET and method of fabricating thereof
KR20210046915A (ko) 2019-10-18 2021-04-29 삼성전자주식회사 반도체 소자
CN113611736B (zh) * 2020-05-29 2022-11-22 联芯集成电路制造(厦门)有限公司 半导体元件及其制作方法
US11923436B2 (en) * 2020-08-07 2024-03-05 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain structure for semiconductor device

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6621131B2 (en) * 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
US8097924B2 (en) * 2003-10-31 2012-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. Ultra-shallow junction MOSFET having a high-k gate dielectric and in-situ doped selective epitaxy source/drain extensions and a method of making same
US7776745B2 (en) * 2006-02-10 2010-08-17 Stmicroelectronics S.A. Method for etching silicon-germanium in the presence of silicon
US8207523B2 (en) * 2006-04-26 2012-06-26 United Microelectronics Corp. Metal oxide semiconductor field effect transistor with strained source/drain extension layer
US7504301B2 (en) 2006-09-28 2009-03-17 Advanced Micro Devices, Inc. Stressed field effect transistor and methods for its fabrication
US7943469B2 (en) * 2006-11-28 2011-05-17 Intel Corporation Multi-component strain-inducing semiconductor regions
US7538387B2 (en) * 2006-12-29 2009-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Stack SiGe for short channel improvement
US7989901B2 (en) * 2007-04-27 2011-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with improved source/drain regions with SiGe
WO2009093328A1 (ja) 2008-01-25 2009-07-30 Fujitsu Microelectronics Limited 半導体装置及びその製造方法
DE102008035806B4 (de) 2008-07-31 2010-06-10 Advanced Micro Devices, Inc., Sunnyvale Herstellungsverfahren für ein Halbleiterbauelement bzw. einen Transistor mit eingebettetem Si/GE-Material mit einem verbesserten Boreinschluss sowie Transistor
DE102009047304B4 (de) 2009-11-30 2012-04-26 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Leistungssteigerung in PFET-Transistoren mit einem Metallgatestapel mit großem ε durch Verbessern des Dotierstoffeinschlusses
US8901537B2 (en) * 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
US8361847B2 (en) 2011-01-19 2013-01-29 International Business Machines Corporation Stressed channel FET with source/drain buffers
US8482079B2 (en) 2011-06-15 2013-07-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing the same
TWI527088B (zh) * 2011-10-31 2016-03-21 聯華電子股份有限公司 半導體裝置及製作磊晶層的方法
US9142642B2 (en) * 2012-02-10 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for doped SiGe source/drain stressor deposition
US9105654B2 (en) * 2012-03-21 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain profile for FinFET
US9034741B2 (en) * 2013-05-31 2015-05-19 International Business Machines Corporation Halo region formation by epitaxial growth
US20150372143A1 (en) * 2014-06-20 2015-12-24 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI812271B (zh) * 2017-08-29 2023-08-11 南韓商三星電子股份有限公司 半導體裝置
US11908952B2 (en) 2017-08-29 2024-02-20 Samsung Electronics Co., Ltd. Semiconductor devices and manufacturing methods thereof

Also Published As

Publication number Publication date
US20160293702A1 (en) 2016-10-06
KR101734665B1 (ko) 2017-05-11
CN106024767B (zh) 2019-09-06
KR20160117117A (ko) 2016-10-10
CN106024767A (zh) 2016-10-12
TWI596707B (zh) 2017-08-21
DE102015107439A1 (de) 2016-10-06
US10008568B2 (en) 2018-06-26

Similar Documents

Publication Publication Date Title
TWI596707B (zh) 半導體裝置結構及其製造方法
US11688769B2 (en) Semiconductor device with cap element
KR101727371B1 (ko) 핀형 전계 효과 트랜지스터의 구조물 및 형성 방법
TWI544626B (zh) 半導體元件及其形成方法
TWI458096B (zh) 半導體裝置及其製造方法
TWI785126B (zh) 半導體裝置結構及其形成方法
US9490346B2 (en) Structure and formation method of fin-like field effect transistor
KR20080075411A (ko) 반도체 소자 및 그 제조방법
JP2011009412A (ja) 半導体装置およびその製造方法
US9865587B1 (en) Method and structure for forming buried ESD with FinFETs
JP2007227721A (ja) 半導体装置およびその製造方法
US20230307523A1 (en) Structure and formation method of semiconductor device with gate stack
CN110047753B (zh) 一种半导体器件的形成方法和半导体器件
TW201427019A (zh) 半導體結構及積體電路之製造方法