TW201628079A - 半導體結構的形成方法 - Google Patents

半導體結構的形成方法 Download PDF

Info

Publication number
TW201628079A
TW201628079A TW104140066A TW104140066A TW201628079A TW 201628079 A TW201628079 A TW 201628079A TW 104140066 A TW104140066 A TW 104140066A TW 104140066 A TW104140066 A TW 104140066A TW 201628079 A TW201628079 A TW 201628079A
Authority
TW
Taiwan
Prior art keywords
source
layer
forming
drain structure
metal layer
Prior art date
Application number
TW104140066A
Other languages
English (en)
Other versions
TWI575596B (zh
Inventor
安德魯 約瑟夫 凱利
鬼木悠丞
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201628079A publication Critical patent/TW201628079A/zh
Application granted granted Critical
Publication of TWI575596B publication Critical patent/TWI575596B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • H01L29/66507Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide providing different silicide thicknesses on the gate and on source or drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66515Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned selective metal deposition simultaneously on the gate and on source or drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32134Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823443MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes silicided or salicided gate conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/452Ohmic electrodes on AIII-BV compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Abstract

提供一種半導體結構的形成方法。在一些實施例中,提供了一種用於形成半導體結構的方法。用於形成半導體結構的方法包括:在基板上方形成源極/汲極結構以及在源極/汲極結構上形成金屬層。用於形成半導體結構的方法還包括:使金屬層的一部分與源極/汲極結構反應以在源極/汲極結構上形成金屬化層。用於形成半導體結構的方法還包括藉由蝕刻製程移除位於金屬化層上的金屬層的未反應部分。此外,蝕刻製程包括使用包含氫氟酸和碳酸丙烯酯的蝕刻劑,並且在蝕刻劑中氫氟酸與碳酸丙烯酯的體積比介於約1:10至約1:10000。

Description

半導體結構的形成方法
本發明係有關於一種半導體結構的形成方法,且特別是有關於一種具源極/汲極結構的半導體結構的形成方法。
半導體裝置應用於各種電子裝置,例如個人電腦、手機、數位相機等各式電子儀器。半導體裝置的形成通常包括在半導體基板上依序沉積絕緣層或介電層、導電層及半導體層材料,並利用微影圖案化各種材料層,以在基板上形成電路元件。
提升裝置表現的方法之一為藉由縮小在給定晶片上的裝置尺寸,以提高電路的積體程度。此係藉由在給定晶片上微型化或縮小裝置尺寸。在進行晶片尺寸的縮小上,容忍度扮演了重要的角色。
然而,雖然現有的半導體製程已可達到部分應用上的需求,但隨著元件尺寸的縮小,其表現仍未在所有層面上令人滿意。
在一些實施例中,提供了一種用於形成半導體結構的方法。用於形成半導體結構的方法包括:在基板上方形成 源極/汲極結構以及在源極/汲極結構上形成金屬層。用於形成半導體結構的方法還包括:使金屬層的一部分與源極/汲極結構反應以在源極/汲極結構上形成金屬化層。用於形成半導體結構的方法還包括藉由蝕刻製程移除位於金屬化層上的金屬層的未反應部分。此外,蝕刻製程包括使用包含氫氟酸和碳酸丙烯酯的蝕刻劑,並且在蝕刻劑中氫氟酸與碳酸丙烯酯的體積比介於約1:10至約1:10000。
在一些實施例中,提供了一種用於形成半導體結 構的方法。用於形成半導體結構的方法包括在基板上方形成源極/汲極結構以及在源極/汲極結構上方形成金屬層。用於形成半導體結構的方法還包括:進行回火製程以使金屬層的一部分與源極/汲極結構反應以在源極/汲極結構上形成金屬化層。用於形成半導體結構的方法還包括藉由蝕刻製程移除位於金屬化層上的金屬層的未反應部分以及在金屬化層上方形成接觸插塞。此外,蝕刻製程包括使用包含氫氟酸和碳酸丙烯酯的蝕刻劑,並且在蝕刻劑中氫氟酸與碳酸丙烯酯的體積比介於約1:50至約1:200。
在一些實施例中,提供了一種用於形成半導體結 構的方法。用於形成半導體結構的方法包括在基板上方形成第一源極/汲極結構,並且第一源極/汲極結構由IIIA-VA族合金形成。用於形成半導體結構的方法還包括在基板上方形成第二源極/汲極結構,並且第二源極/汲極結構由IVA族元素或IVA族合金形成。用於形成半導體結構的方法還包括在第一源極/汲極結構上方形成第一金屬層和在第二源極/汲極結構上方形成第 二金屬層。用於形成半導體結構的方法還包括進行回火製程,從而使第一金屬層的一部分與第一源極/汲極結構反應以在第一源極/汲極結構上形成第一金屬化層以及使第二金屬層的一部分與第二源極/汲極結構反應以在第二源極/汲極結構上形成第二金屬化層。用於形成半導體結構的方法還包括進行蝕刻製程以移除位於第一金屬化層上的第一金屬層的未反應部分和位於第二金屬化層上的第二金屬層的未反應部分。此外,蝕刻製程包括使用包含氫氟酸和碳酸丙烯酯的蝕刻劑,並且在蝕刻劑中氫氟酸與碳酸丙烯酯的體積比介於約1:50至約1:200。
為讓本發明之上述和其他目的、特徵、和優點能更明顯易懂,下文特舉出較佳實施例,並配合所附圖式,作詳細說明如下:
100、100’、100”‧‧‧半導體結構
102‧‧‧基板
104‧‧‧介電層
106‧‧‧罩幕層
108‧‧‧感光層
110a‧‧‧第一鰭狀結構
110b‧‧‧第二鰭狀結構
112‧‧‧絕緣層
114‧‧‧淺溝槽隔離結構
122a‧‧‧第一凹槽
122b‧‧‧第二凹槽
124a‧‧‧第一源極/汲極結構
124b‧‧‧第二元極/汲極結構
126a‧‧‧第一金屬層
126b‧‧‧第二金屬層
126c‧‧‧金屬層的一部分
128a‧‧‧第一金屬化層
128b‧‧‧第二金屬化層
129‧‧‧蝕刻製程
132‧‧‧層間介電層
134a‧‧‧第一接觸插塞
134b‧‧‧第二接觸插塞
240‧‧‧金屬閘極結構
241‧‧‧間隙物
242‧‧‧閘極介電層
244‧‧‧功函數金屬層
246‧‧‧金屬閘極電極層
326a‧‧‧第一接觸插塞溝槽
326b‧‧‧第二接觸插塞溝槽
500‧‧‧奈米柱場效應電晶體
510‧‧‧奈米柱結構
524’‧‧‧源極結構
524”‧‧‧汲極結構
528‧‧‧金屬化層
538‧‧‧接觸插塞
540‧‧‧源極間隙物
542‧‧‧源極金屬化物
544‧‧‧中間層
546‧‧‧高介電常數介電層
548‧‧‧金屬閘極結構
550‧‧‧汲極間隙物
552‧‧‧閘極間隙物
第1A圖至第1K圖是在一些實施例中,形成半導體結構的各個階段的剖面圖。
第2圖是在一些實施例中的藉由以上描述的第1A圖至第1K圖中示出的製程形成的半導體結構的立體圖。
第3A圖至第3E圖是在一些實施例中的形成半導體結構的各個階段的剖面圖。
第4圖是在一些實施例中的半導體結構的剖面圖。
第5圖是在一些實施例中的奈米柱場效應電晶體的剖面圖。
第6圖顯示出在比較例1至3中的蝕刻速率和鎳-鎳銦砷 (Ni-NiInAs)蝕刻選擇性。
第7圖顯示出了當使用H2SO4/PC或H2SO4/DMSO作為其電解液時的電流密度。
第8圖顯示出在比較例4和5以及實例1中的蝕刻速率和鎳-鎳銦砷蝕刻選擇性。
第9圖顯示出了不同材料層在不同體積比的HF/PC中的蝕刻速率。
第10圖顯示出了處於不同蝕刻溫度下的HF/PC(1:100)中的鎳層、SiO2層或SiN層的蝕刻速率。
以下描述本揭露的實施例的利用及製造。然而,應了解的是,上述實施例可用於各種廣泛的特定內容。所描述特定的實施例僅用以說明,但並不用以限定本揭露之範圍。
應了解以下揭露了應用於本揭露的不同元件的許多不同的實施例或例子。以下所描述的元件及排列的特定例子僅用於簡化本揭露。這些例子當然只是例子,而非以此為限。此外,在第二製程之前進行第一製程這樣的描述中,可包括在第一製程之後緊接著進行第二製程這樣的實施例,也可包括在第一及第二製程之間進行額外的製程的實施例。為了簡化即清楚,各種元件可任意繪製為不同尺寸。此外,形成第一元件在第二元件上或之上的描述,可包括第一及第二元件直接接觸或間接接觸的實施例。
描述一些不同的實施例。在各種視圖及說明的實施例中,利用類似的元件符號標示類似的元件。應了解的是, 在此方法的其他實施例中,可在方法之前、之中及之後提供額外的操作,且所描述的一些操作可取代或省略。
根據本發明的一些實施例提供了形成半導體結構 的方法。半導體結構包括源極/汲極結構,以及在源極汲極結構上方形成的金屬化層。金屬化層的形成可藉由以下方法:在源極/汲極結構上方形成金屬層,回火金屬層以與源極/汲極結構反應而形成金屬化層,以及移除金屬化層上方的未反應的金屬層。此外,可利用蝕刻劑移除未反應的金屬層。
第1A圖至第1K圖是在一些實施例中,形成半導體 結構100的各個階段的剖面圖。如第1A圖所示,在一些實施例中,接收基板102。基板102可以是諸如矽晶圓的半導體晶圓。 可選地或額外地,基板102可以包括元素半導體材料、化合物半導體材料和/或合金半導體材料。元素半導體材料例如為晶體矽、多晶矽、非晶矽、鍺和/或金剛石,但並非以此為限。 化合物半導體的材料例如為碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦和/或銻化銦,但並非以此為限。合金半導體材料例如為矽鍺(SiGe)、鎵砷磷(GaAsP)、鋁銦砷(AlInAs)、鋁鎵砷(AlGaAs)、鎵銦砷(GaInAs)、鎵銦磷(GaInP)和/或鎵銦砷磷(GaInAsP),但並非以此為限。
此外,基板102可以包括諸如摻雜區、層間介電層 (ILD layer)、導電部件和/或隔離結構。此外,基板102還可以包括被圖案化的單個或多個材料層。該材料層例如可以包括矽層、介電層和/或摻雜的多晶矽層。
如第1A圖所示,在一些實施例中,在基板102上方 形成介電層104和罩幕層106,以及在罩幕層106上方形成感光層108。介電層104可以作為基板102和罩幕層106之間的接合層。此外,介電層104也可以用作用於蝕刻罩幕層106的蝕刻停止層。在一些實施例中,介電層104是由氧化矽製造的。可藉由使用熱氧化製程形成介電層104,但是在一些其他實施例中,也可以使用其他沉積製程。
可在隨後的光微影製程中,將罩幕層106用作硬罩 幕。在一些實施例中,罩幕層106由氮化矽製造。可以使用低壓化學氣相沉積(LPCVD)或等離子體增強化學氣相沉積(PECVD)來形成罩幕層106,但是在一些其他實施例中,也可以使用其他沉積製程。
接下來,如第1B圖所示,在一些實施例中,藉由 穿過感光層108依次蝕刻罩幕層106、介電層104和基板102來形成第一鰭狀結構110a和第二鰭狀結構110b。之後,移除感光層108。
如第1C圖所示,在一些實施例中,在形成第一鰭 狀結構110a和第二鰭狀結構110b之後,在基板102上形成絕緣層112以覆蓋第一鰭狀結構110a和第二鰭狀結構110b。在一些實施例中,絕緣層112由氧化矽、氮化矽、氮氧化矽、氟摻雜的矽酸鹽玻璃(FSG)或其他低介電常數介電材料製造。可以藉由使用高密度等離子體(HDP)CVD製程形成絕緣層112,但是在其他實施例中也可以使用其他沉積製程。
如第1D圖所示,在一些實施例中,在形成絕緣層 112之後,移除絕緣層112的頂部、罩幕層106和介電層104以暴 露出第一鰭狀結構110a和第二鰭狀結構110b的頂表面。在一些實施例中,進行化學機械研磨(CMP)製程。
接下來,如第1E圖所示,在一些實施例中,使絕 緣層112凹陷以在第一鰭狀結構110a和第二鰭狀結構110b周圍形成淺溝槽隔離結構114。可以藉由濕蝕刻製程或乾蝕刻製程使絕緣層112凹陷。
之後,在一些實施例中,可以在第一鰭狀結構110a和第二鰭狀結構110b上方形成閘極結構(未在第1F圖中示出)。閘極結構可橫跨第一鰭狀結構110a和第二鰭狀結構110b並延伸至淺溝槽隔離結構114上。在一些實施例中,以多晶矽形成該閘極結構。在一些實施例中,該閘極結構為之後將被金屬閘極結構取代的虛設閘極結構。
如第1F圖所示,在一些實施例中,在形成閘極結構之後,蝕刻第一鰭狀結構110a的一部分以形成第一凹槽122a以及蝕刻第二鰭狀結構110b的一部分以形成第二凹槽122b。在一些實施例中,兩個第一凹槽122a形成在鄰近閘極結構的第一鰭狀結構110a的相對兩側上,並且兩個第二凹槽122b形成在鄰近閘極結構的第二鰭狀結構110b的相對兩側上。在一些實施例中,第一凹槽122a和第二凹槽122b的頂表面低於淺溝槽隔離結構114的頂表面。
如第1G圖所示,在一些實施例中,在形成第一凹槽122a和第二凹槽122b之後,在基板102的第一鰭狀結構110a上方的第一凹槽122a中形成第一源極/汲極結構124a,以及在基板102的第二鰭狀結構110b上方的第二凹槽122b中形成第二源 極/汲極結構124b。在一些實施例中,第一源極/汲極結構124a和第二源極/汲極結構124b是位於基板102上方的具有菱形結構的突起的源極/汲極結構。
可以利用磊晶(epi)製程,藉由在第一凹槽122a和 第二凹槽122b中生長應變材料來形成第一源極/汲極結構124a和第二源極/汲極結構124b。在一些實施例中,第一源極/汲極結構124a由鍺(Ge)、矽鍺(SiGe)、砷化鎵(GaAs)、砷化銦(InAs)、砷化銦鎵(InGaAs)、砷化銦鋁(InAlAs)、磷化銦(InP)、磷化銦鋁(InAlP)、氮化銦(InN)、氮化鎵(GaN)、氮化銦鎵(InGaN)、磷化銦鎵(InGaP)、鎵銻(GaSb)、銦銻(InSb)或磷化銦砷銻(InAsSbP)所形成。所形成。在一些實施例中,第二源極/汲極結構124b由鍺(Ge)、矽鍺(SiGe)、砷化鎵(GaAs)、砷化銦(InAs)、砷化銦鎵(InGaAs)、砷化銦鋁(InAlAs)、磷化銦(InP)、磷化銦鋁(InAlP)、氮化銦(InN)、氮化鎵(GaN)、氮化銦鎵(InGaN)、磷化銦鎵(InGaP)、鎵銻(GaSb)、銦銻(InSb)或磷化銦砷銻(InAsSbP)所形成。
在一些實施例中,第一源極/汲極結構124a和第二 源極/汲極結構124b係由不同的材料所形成。在一些實施例中,第一源極/汲極結構124a是由第IIIA-VA族合金所形成,並且第二源極/汲極結構124b是由第IVA族元素或第IVA族合金所形成。在一些實施例中,第一源極/汲極結構是由砷化鎵(GaAs)、砷化銦(InAs)、砷化銦鎵(InGaAs)、砷化銦鋁(InAlAs)、磷化銦(InP)、磷化銦鋁(InAlP)、氮化銦(InN)、氮化鎵(GaN)、氮化銦鎵(InGaN)、磷化銦鎵(InGaP)、鎵銻(GaSb)、銦銻(InSb) 或磷化銦砷銻(InAsSbP)所形成,並且第二源極/汲極結構124b是由鍺(Ge)或矽鍺(SiGe)所形成。
如第1H圖所示,在一些實施例中,在形成第一源 極/汲極結構124a和第二源極/汲極結構124b之後,分別在第一源極/汲極結構124a和第二源極/汲極結構124b上方形成第一金屬層126a和第二金屬層126b。在一些實施例中,藉由在基板102上方形成金屬層以覆蓋第一源極/汲極結構124a和第二源極/汲極結構124b的頂表面,以形成第一金屬層126a和第二金屬層126b。此外,如第1H圖所示,在一些實施例中,也可以在淺溝槽隔離結構114的頂表面上方形成金屬層的一部分126c。在一些實施例中,第一金屬層126a和第二金屬層126b由相同的材料形成。在一些實施例中,第一金屬層126a和第二金屬層126b由鎳(Ni)、鈷(Co)、鉬(Mo)、鈦(Ti)、鋁(Al)、錫(Sn)、鈀(Pd)、鉑(Pt)、金(Au)、銀(Ag)或銅(Cu)所形成。
如第1I圖所示,在一些實施例中,在形成第一金屬 層126a和第二金屬層126b之後,進行回火製程以形成第一金屬化層128a和第二金屬化層128b。更具體而言,在回火製程期間,與第一源極/汲極結構124a直接接觸的第一金屬層126a的底部與第一源極/汲極結構124a的頂表面反應以形成第一金屬化層128a。藉此在第一源極/汲極結構124a上形成第一金屬化層128a。例如,若第一源極/汲極結構124a由如第III-VA族合金砷化銦(InAs)形成,且第一金屬層126a由鎳形成,則產生的第一金屬化層128a將由砷化鎳銦(NiInAs)形成。
同樣的,在回火製程期間,與第二源極/汲極結構 124b直接接觸的第二金屬層126b的底部與第二源極/汲極結構124b的頂表面反應以形成第二金屬化層128b。因此,在第二源極/汲極結構124b上形成第二金屬化層128b。例如,若第二源極/汲極結構124b由第IVA族元素如鍺或第IVA族合金形成,並且第二金屬層126b由鎳形成,則產生的第二金屬化層128b將由鎳鍺形成。
用於形成第一金屬化層128a和第二金屬化層128b 的材料例如為NiGe、NiSiGe、NiInGaAs、CoInAs、TiInGaAs、TiGe、Ni2Ge、NiGe2、TiGe2、Ti2Ge、NiInAs、TiInAs、Ni2InAs、Ti2InAs、Co2InAs、NiGaAs、Ni2GaAs、TiGaAs、CoGaAs等,但並非以此為限。
在一些實施例中,在不大於400℃的溫度下進行回 火製程。若回火製程在太高的溫度下進行,則所形成的金屬化層(如以NiInAs形成)可能會熱不穩定(thermal unstable)和分凝(segregation),導致源極/汲極結構和金屬化層之間的粗糙的介面。在一些實施例中,回火製程是快速熱回火(RTA)製程。
如第1J圖所示,在一些實施例中,在形成第一金屬 化層128a和第二金屬化層128b之後,進行蝕刻製程129以移除金屬層的未反應部分。此外,在蝕刻製程129期間,也移除部分126c。蝕刻製程129包括使用蝕刻劑。
在一些實施例中,在蝕刻製程129中使用的蝕刻劑 包括氫氟酸(HF)和碳酸丙烯酯(propylene carbonate;PC)。在一些實施例中,在蝕刻劑中氫氟酸對碳酸丙烯酯的體積比介於約1:10至約1:10000。在蝕刻劑中使用的氫氟酸可為氫氟酸溶液。 在一些實施例中,氫氟酸溶液的濃度為49%(在水中)。因此,若蝕刻劑中的碳酸丙烯酯含量不足,則會使得蝕刻劑中水的比例較大,而可能導致蝕刻選擇性降低。
在一些實施例中,在進行蝕刻製程129期間,第一 金屬層126a的未反應部分的蝕刻速率比第一金屬化層128a的蝕刻速率至少大20倍。也就是說,在蝕刻製程129中,第一金屬層126a的未反應部分的蝕刻速率對第一金屬化層128a的蝕刻速率的比率大於20。由於蝕刻劑對第一金屬層126a的未反應部分和第一金屬化層128a具有良好的選擇性,因此可藉由使用該蝕刻劑來移除第一金屬層126a的未反應部分而不移除第一金屬化層128a。
此外,在一些實施例中,在進行蝕刻製程129期 間,第二金屬層126b的未反應部分的蝕刻速率比第二金屬化層128b的蝕刻速率至少大20倍。也就是說,蝕刻劑對第二金屬層126b的未反應部分和第二金屬化層128b也具有良好的選擇性。因此藉由使用該蝕刻劑,可以移除第二金屬層126b的未反應部分,而不移除第二金屬化層128b。在一些實施例中,在約20℃至約150℃下進行該蝕刻製程。
此外,相對於介電材料(例如,二氧化矽(SiO2)或 氮化矽(SiN)),上述蝕刻劑對金屬層(例如,第一金屬層126a和第二金屬層126b)的蝕刻選擇性較高。在一些實施例中,在上述蝕刻劑中,鎳的蝕刻速率與二氧化矽或氮化矽的蝕刻速率的比率大於20。因此,即使結構中具有如間隙物、層間介電層或淺溝槽隔離結構等其他介電結構時,也可以進行蝕刻製程129。
在一些實施例中,第一源極/汲極結構124a和第二 源極/汲極結構由不同的材料形成。例如,第一源極/汲極結構124a可以由銦砷(InAs)形成,並且第二源極/汲極結構可以由鍺(Ge)形成。此外,金屬層可以由鎳(Ni)形成。因此,第一金屬化層128a可由鎳銦砷(NiInAs)形成,且第二金屬化層128b可由鎳鍺(NiGe)形成。對第一金屬層126a和第二金屬層126b進行蝕刻製程129,以移除第一金屬層126a和第二金屬層126b的未反應部分。在一些實施例中,在蝕刻劑中氫氟酸(HF)與碳酸丙烯酯(PC)的體積比介於約1:50至約1:200。在一些實施例中,在約50℃至約100℃下進行該蝕刻製程。如上所述,雖然第一金屬化層128a和第二金屬化層128b由不同的材料形成,但是在蝕刻製程129中使用的蝕刻劑對第一金屬層126a和第一金屬化層128a可以具有較大的蝕刻選擇性,並且針對第二金屬層126b和第二金屬化層128b也具有較大的蝕刻選擇性。
如第1K圖所示,在一些實施例中,在形成第一金 屬化層128a和第二金屬化層128b之後,在基板102上方形成層間介電(ILD)層132。此外,第一源極/汲極結構124a、第二源極汲極結構124b和淺溝槽隔離結構114皆由層間介電層132覆蓋。
層間介電層132可以包括由多種介電材料形成的 多層,多種介電材料如氧化矽、氮化矽、氮氧化矽、磷矽酸鹽玻璃(PSG)、硼磷矽酸鹽玻璃(BPSG)、低k介電材料和/或其他適用的介電材料。低k介電材料的實例包括但不限於氟化矽酸鹽玻璃(FSG)、碳摻雜的氧化矽、氟化非晶碳、聚對二甲苯、雙苯並環丁烯(BCB)或聚醯亞胺。可以藉由化學氣相沉積 (CVD)、物理氣相沉積(PVD)、原子層沉積(ALD)、旋塗或其他適用的製程形成層間介電層132。
如第1K圖所示,在一些實施例中,在形成層間介 電層132之後,形成穿過層間介電層132的第一接觸插塞134a和第二接觸插塞134b。可藉由在位於第一源極/汲極結構124a和第二源極/汲極結構124b上方的層間介電層132中形成接觸插塞溝槽以及藉由導電材料填充接觸插塞溝槽來形成第一接觸插塞134a和第二接觸插塞134b。
在一些實施例中,用於形成第一接觸插塞134a和 第二接觸插塞134b的導電材料包括鋁(Al)、銅(Cu)、鎢(W)、鈦(Ti)、鉭(Ta)、氮化鈦(TiN)、氮化鉭(TaN)、矽化鎳(NiSi)、矽化鈷(CoSi)、碳化鉭(TaC)、氮化矽鉭(TaSiN)、碳氮化鉭(TaCN)、鈦鋁(TiAl)、氮化鈦鋁(TiAlN)、其他適用的導電材料或它們的組合。在一些實施例中,第一接觸插塞134a和第二接觸插塞134b皆包括氮化鈦層和形成在氮化鈦層上方的鎢。
此外,第一接觸插塞134a和第二接觸插塞134b還 可以包括襯層和/或阻擋層。例如,可以在接觸插塞溝槽的底部和側壁上形成襯層(圖中未顯示)。襯層可以由氮化矽形成,但是也可以可選地使用任何其他適用的電介質。可以使用等離子體增強化學氣相沉積(PECVD)製程形成襯層,但是也可以可選地使用諸如物理氣相沉積或熱製程的其他適用的製程。阻擋層(圖中未顯示)可以形成在襯層(若存在)上方並且可以覆蓋開口的側壁和底部。可以使用諸如化學氣相沉積(CVD)、物理氣相沉積(PVD)、等離子體增強CVD(PECVD)、等離子體增強的 物理氣相沉積(PEPVD)、原子層沉積(ALD)或任何其他適用的沉積製程的製程來形成阻擋層。阻擋層可以由氮化鉭形成,但是也可以使用諸如鉭、鈦、氮化鈦等的其他材料。
第2圖是在一些實施例中,藉由以上描述的第1A圖 至第1K圖中示出的製程形成的半導體結構100的立體圖。半導體結構100包括在基板102上方形成的第一鰭狀結構110a、第二鰭狀結構110b以及金屬閘極結構240,金屬閘極結構240橫跨第一鰭狀結構110a和第二鰭狀結構110b。如前述,在形成第一源極/汲極結構124a和第二源極/汲極結構124b之前,可以形成如虛設閘極結構的閘極結構,並且在形成層間介電層132之後,以金屬閘極結構240取代之。此外,在一些實施例中,在金屬閘極結構240的側壁上形成間隙物241。
在一些實施例中,間隙物241由氮化矽、氧化矽、 氮氧化矽、碳化矽或其他適用的介電材料形成。間隙物241可以包括單層或多層。
在一些實施例中,金屬閘極結構240包括閘極介電 層242、功函數金屬層244和金屬閘極電極層246。在一些實施例中,閘極介電層242由高介電常數介電材料形成。高介電常數介電材料的實例可以包括但不限於氧化鉿(HfO2)、氧化鉿矽(HfSiO)、氮氧化鉿矽(HfSiON)、氧化鉿鉭(HfTaO)、氧化鉿鈦(HfTiO)、氧化鉿鋯(HfZrO)、金屬氧化物、金屬氮化物、金屬矽酸鹽、過渡金屬氧化物、過渡金屬氮化物、過渡金屬矽酸鹽、金屬的氮氧化物、金屬鋁酸鹽、矽酸鋯、鋁酸鋯、氧化矽、氮化矽、氮氧化矽、氧化鋯、氧化鈦、氧化鋁或二氧化鉿-氧化 鋁(HfO2-Al2O3)合金。
在一些實施例中,在閘極介電層242上方形成功函數金屬層244。功函數金屬層244可設計為具有適當的功函數。例如,如欲用於PMOS裝置的p型功函金屬(P型金屬),則可以使用TiN、WN或W。另一方面,如欲用於NMOS裝置的N型功函金屬(N型金屬),則可以使用TiAl、TiAlN或TaCN。
在一些實施例中,在功函數金屬層244上方形成金屬閘極電極層246。在一些實施例中,金屬閘極電極層246由諸如鋁、銅、鎢、鈦、鉭、氮化鈦、氮化鉭、矽化鎳、矽化鈷、TaC、TaSiN、TaCN、TiAl、TiAlN或其他適用的材料的導電材料形成。可以藉由任何適用的製程形成任何合適厚度的閘極介電層242、功函數金屬層244和金屬閘極電極層246。
應注意的是,可在閘極介電層242、功函數金屬層244和金屬閘極電極層246之上和/或之下形成額外的層,諸如襯層、介面層、晶種層、接合層、阻擋層等。此外,閘極介電層242、功函數金屬層244和金屬閘極電極層246皆可以由一種或多種材料形成和/或可以包括一個或多個層。
此外,應注意的是,第一鰭狀結構110a和第二鰭狀結構110b可為彼此相鄰的結構,或者可以在它們之間形成額外的結構,本揭露之概念並非以此為限。
第3A圖至第3E圖是在一些實施例中的形成半導體結構100’的各個階段的剖面圖。用於形成半導體結構100’的一些方法和材料與用於形成第1A圖至第1K圖示出的半導體結構100的那些方法和材料類似或相同,並且本文中不再重複。
更具體而言,在第1A圖至第1G圖中所示及前述方 法和材料也可以用於形成半導體結構100’。然而,如第3A圖所示,在一些實施例中,在形成第一源極/汲極結構124a和第二源極/汲極結構124b(如第1G圖所示)之後,但是在形成第一金屬層126a和第二金屬層126b之前,先形成層間介電層132以覆蓋基板102上方的第一源極/汲極結構124a和第二源極/汲極結構124b。
如第3A圖所示,在一些實施例中,在形成層間介 電層132之後,分別在第一源極/汲極結構124a和第二源極/汲極結構124b上方的層間介電層132中形成第一接觸插塞溝槽326a和第二接觸插塞溝槽326b。可以藉由蝕刻製程形成第一接觸插塞溝槽326a和第二接觸插塞溝槽326b。
如第3A圖所示,由第一接觸插塞溝槽326a暴露出 第一源極/汲極結構124a,並由第二接觸插塞溝槽326b暴露出第二源極/汲極結構124b。接下來,如第3B圖所示,在一些實施例中,在第一源極/汲極結構124a上形成第一金屬層126a以及在第二源極/汲極結構124b上形成第二金屬層126b。在一些實施例中,藉由相同的沉積製程形成第一金屬層126a和第二金屬層126b。
在形成第一金屬層126a和第二金屬層126b之後, 進行類似於在第1H圖至第1K圖中所示及前述的製程。更具體而言,如第3C圖所示,在一些實施例中,進行回火製程以形成第一金屬化層128a和第二金屬化層128b。
如第3D圖所示,在一些實施例中,在形成第一金 屬化層128a和第二金屬化層128b之後,進行蝕刻製程129以移除第一金屬層126a和第二金屬層126b未反應的部分。如前所述,在蝕刻製程129中使用的蝕刻劑包括氫氟酸和碳酸丙烯酯,此外,相對於第一金屬化層128a和第二金屬化層128b,蝕刻劑對第一金屬層126a和第二金屬層126b的未反應部分具有較大的蝕刻選擇性(蝕刻速率)。因此,可以完全地移除第一金屬層126a和第二金屬層126b未反應的部分。
此外,由於相對於如二氧化矽和氮化矽等介電材 料,蝕刻劑對第一金屬層126a和第二金屬層126b未反應的部分也擁有較大的蝕刻選擇性(蝕刻速率),因此,可以減小對層間介電層132和淺溝槽隔離結構114的損壞。
接下來,如第3E圖所示,在一些實施例中,在第 一接觸插塞溝槽326a中形成第一接觸插塞134a以及在第二接觸插塞溝槽326b中形成第二接觸插塞134b。
雖然在前述半導體結構100和100’的第一源極/汲 極結構124a和第二源極/汲極結構124b上皆形成有接觸插塞和金屬化層,但其僅為舉例說明。第4圖是在一些實施例中的半導體結構100”的剖面圖。除了僅在第一源極/汲極結構124a上形成第一接觸插塞134a外,半導體結構100”的其他部分可與第1A圖至第3E圖中所示半導體結構100和100’類似或相同。如第4圖所示,形成第一接觸插塞134a與第一源極/汲極結構124a接觸,但是在第二源極/汲極結構124b上不形成接觸插塞或金屬化層。
應注意的是,雖然在第1A圖至第4圖中所示半導體 結構是鰭式場效應電晶體(FinFET),但本發明並非以此為限。 例如,上述方法和材料(如:蝕刻製程129)也可用於形成平面金屬氧化物半導體場效應電晶體(平面MOSFET)或奈米柱(nanowire)場效應電晶體。
第5圖是在一些實施例中的奈米柱場效應電晶體 500的剖面圖。奈米柱場效應電晶體500包括奈米柱結構510,並且奈米柱結構510包括源極結構524’和汲極結構524”。在一些實施例中,汲極結構524”具有與前述的第一源極/汲極結構124a和第二源極/汲極結構124b類似的菱形結構。在一些實施例中,源極結構524’和汲極結構524”是奈米柱結構510中的突起的源極/汲極結構。
在一些實施例中,在汲極結構524”上方形成金屬 化層528。金屬化層528可以與前述的第一金屬化層128a和第二金屬化層128b相同或類似。例如,也可以藉由在汲極結構524”上方形成金屬層,對金屬層進行回火,藉由使用蝕刻劑移除金屬層的未反應部分來形成金屬化層528。用於移除金屬層的未反應部分的蝕刻劑可以與在前述的蝕刻製程129中使用的蝕刻劑相同。
如第5圖所示,在一些實施例中,在形成金屬化層 528之後,在位於汲極結構524”上的金屬化層528上形成接觸插塞538。接觸插塞538可以與前述的第一接觸插塞138a和第二接觸插塞138b相同或類似。在一些實施例中,接觸插塞538是汲極焊盤。
如第5圖所示,奈米柱場效應電晶體結構500還包 括鄰近源極結構524’形成的如矽化物的源極間隙物540和源極金屬化層542。此外,奈米柱結構510設置在源極結構524’和汲極結構524”之間的通道區,並被中間層544、高介電常數介電層546和金屬閘極結構548圍繞。汲極間隙物550鄰近汲極結構524”,並且閘極間隙物552鄰近金屬閘極結構548。
應注意的是,為了清楚以便更好地示出本發明的 概念,已經簡化了第1A圖至第5圖。半導體結構100、100’、100”和奈米柱場效應電晶體結構500可具有額外的元件,且在一些其他實施例中,可以替代或去除上述的一些元件。
如前述,在蝕刻製程129中,使用包括氫氟酸和碳 酸丙烯酯的蝕刻劑。與使用基於水的酸(例如,HCl、H2SO4和HNO3)相比,上述蝕刻劑對金屬層(例如,第一金屬層126a和第二金屬層126b)和金屬化層(例如,第一金屬化層128a和第二金屬化層128b)擁有相對高的蝕刻選擇性。因此,可以完全地移除未反應的金屬層。
應注意的是,雖然高蝕刻選擇性可將未反應的金 屬層完全移除,但也需考慮金屬化層的蝕刻速率。更具體而言,金屬化層的蝕刻速率應當足夠小,從而可以避免金屬化層的移除。例如,雖然濃鹽酸(36%)對鎳和鎳銦砷擁有相對高的蝕刻選擇性,但是由於鎳銦砷的蝕刻速率仍然太高,因此在移除未反應的鎳層的蝕刻製程中仍不適合使用濃鹽酸(36%)。然而,在蝕刻製程129中使用的蝕刻劑對金屬層和金屬化層擁有較大的蝕刻選擇性,並且對金屬化層的蝕刻速率低。因此,包括氫氟酸(HF)和碳酸丙烯酯(PC)的蝕刻劑可以用於移除未反 應的金屬層而不移除過多的金屬化層。
此外,在蝕刻製程129中使用的蝕刻劑的溶劑是碳 酸丙烯酯,其是一種有機溶劑。碳酸丙烯酯的使用可以防止如氮化矽或二氧化矽的介電材料被蝕刻劑蝕刻。因此,相對於介電元件(諸如層間介電層132和淺溝槽隔離結構114),蝕刻劑也可以對金屬層的未反應部分擁有較大的蝕刻選擇性。因此,即使當介電元件也存在於結構中時,也可以進行蝕刻製程129。
然而,應注意的是,並不是所有的有機溶劑皆可 達到同樣的結果。例如,諸如二甲基亞碸(DMSO)等的有機溶劑可能在金屬層(諸如鎳層)上方形成保護層,因此金屬層在HF/DSMO中的蝕刻速率可以非常差。
此外,前述蝕刻劑適用於第IIIA-VA族合金和第 IVA族元素(或合金)。因此,當半導體結構包括兩組源極/汲極結構(例如,第一源極/汲極結構124a和第二源極/汲極結構124b)時,兩個金屬層的未反應部分均可以在同一蝕刻製程129中移除。因此,不需要複雜的罩幕和蝕刻製程,並且降低了形成結構的成本。
因此,可在位於源極/汲極結構(例如,第一源極/ 汲極結構124a和第二源極/汲極結構124b)上的金屬化層(例如,第一金屬化層128a和第二金屬化層128b)上形成自對準接觸插塞(例如,第一接觸插塞134a和第二接觸插塞134b)。此外,蝕刻劑是對環境友善並且具有更少的安全和浪費問題。此外,上述蝕刻製程129可輕易的在現有製程中進行,而不需要進行複雜的製程或使用不尋常的化學品。因此,可以降低形成成本。
本揭露提供了一種用於形成半導體結構的實施 例。該半導體結構包括源極/汲極結構、形成在源極/汲極結構上方的金屬化層和形成在金屬化層上方的接觸插塞。藉由在源極/汲極結構上方形成金屬層,回火金屬層以及移除未反應的金屬層來形成金屬化層。藉由使用包括氫氟酸和碳酸丙烯酯的蝕刻劑的蝕刻製程來移除未反應的金屬層。蝕刻劑針對金屬層和金屬化層具有良好的選擇性,並且因此藉由進行蝕刻製程可以完全地移除未反應的金屬層。
在一些實施例中,提供了一種用於形成半導體結 構的方法。用於形成半導體結構的方法包括:在基板上方形成源極/汲極結構以及在源極/汲極結構上形成金屬層。用於形成半導體結構的方法還包括:使金屬層的一部分與源極/汲極結構反應以在源極/汲極結構上形成金屬化層。用於形成半導體結構的方法還包括藉由蝕刻製程移除位於金屬化層上的金屬層的未反應部分。此外,蝕刻製程包括使用包含氫氟酸和碳酸丙烯酯的蝕刻劑,並且在蝕刻劑中氫氟酸與碳酸丙烯酯的體積比介於約1:10至約1:10000。
在一些實施例中,提供了一種用於形成半導體結 構的方法。用於形成半導體結構的方法包括在基板上方形成源極/汲極結構以及在源極/汲極結構上方形成金屬層。用於形成半導體結構的方法還包括:進行回火製程以使金屬層的一部分與源極/汲極結構反應以在源極/汲極結構上形成金屬化層。用於形成半導體結構的方法還包括藉由蝕刻製程移除位於金屬化層上的金屬層的未反應部分以及在金屬化層上方形成接觸 插塞。此外,蝕刻製程包括使用包含氫氟酸和碳酸丙烯酯的蝕刻劑,並且在蝕刻劑中氫氟酸與碳酸丙烯酯的體積比介於約1:50至約1:200。
在一些實施例中,提供了一種用於形成半導體結 構的方法。用於形成半導體結構的方法包括在基板上方形成第一源極/汲極結構,並且第一源極/汲極結構由IIIA-VA族合金形成。用於形成半導體結構的方法還包括在基板上方形成第二源極/汲極結構,並且第二源極/汲極結構由IVA族元素或IVA族合金形成。用於形成半導體結構的方法還包括在第一源極/汲極結構上方形成第一金屬層和在第二源極/汲極結構上方形成第二金屬層。用於形成半導體結構的方法還包括進行回火製程,從而使第一金屬層的一部分與第一源極/汲極結構反應以在第一源極/汲極結構上形成第一金屬化層以及使第二金屬層的一部分與第二源極/汲極結構反應以在第二源極/汲極結構上形成第二金屬化層。用於形成半導體結構的方法還包括進行蝕刻製程以移除位於第一金屬化層上的第一金屬層的未反應部分和位於第二金屬化層上的第二金屬層的未反應部分。此外,蝕刻製程包括使用包含氫氟酸和碳酸丙烯酯的蝕刻劑,並且在蝕刻劑中氫氟酸與碳酸丙烯酯的體積比介於約1:50至約1:200。
【比較例1至5】
提供由矽製造的毯式晶圓。通過磊晶生長製程在毯式晶圓上方形成銦砷(InAs)層。在形成銦砷層之後,在銦砷層上沉積鎳(Ni)層。對鎳層進行回火製程以形成鎳銦砷(NiInAs) 層。在回火製程之後,在70℃下進行蝕刻製程以去除未反應的鎳層。在比較例1至5中使用不同的蝕刻劑。在表1中示出了在蝕刻製程中使用的蝕刻劑。
【實施例1】
提供由矽製造的毯式晶圓。通過磊晶生長製程在 毯式晶圓上方形成銦砷層。在形成銦砷層之後,在銦砷層上沉積鎳層。對鎳層進行回火製程以形成鎳銦砷層。在回火製程之後,在70℃下進行蝕刻製程以去除未反應的鎳層。在蝕刻製程中使用的蝕刻劑包括氫氟酸(HF;49%)和碳酸丙烯酯(PC)。氫氟酸與碳酸丙烯酯的體積比為1:100。
【溶劑效應】
第6圖顯示出在比較例1至3中的蝕刻速率和鎳-鎳銦砷(Ni-NiInAs)蝕刻選擇性。在蝕刻製程之後,通過X射線螢光(XRF)測量鎳層和鎳銦砷層的厚度,並且相應地計算蝕刻速 率。如圖6所示,在蝕刻製程中,利用碳酸丙烯酯(PC)、碳酸乙烯酯(EC)或二甲基亞碸(DMSO)稀釋硫酸(H2SO4),且鎳的蝕刻速率隨著溶劑的供體(donor)數量的增加而減小。在比較例1至3中,H2SO4/PC對鎳層和鎳銦砷層具有最好的蝕刻選擇性。 然而,蝕刻選擇性仍然不夠高。此外,H2SO4/DMSO針對鎳層和鎳銦砷層均具有較差的蝕刻速率。
通過電化學伏安法進一步檢測該結果。更具體而 言,H2SO4/PC和H2SO4/DMSO用作電解液。工作電極(WE)是鎳(在矽上)。在對電極(CE)和參比電極(RE)之間施加電壓。抽取電流。在室溫下進行反應。
第7圖顯示出了當使用H2SO4/PC或H2SO4/DMSO作 為其電解液時的電流密度。如第7圖所示,當使用H2SO4/DMSO時,陽極電流在DMSO中被抑制。結果表明,由於DMSO分子的較高的供體數量(30),鎳表面被DMSO分子鈍化。因此,鎳的蝕刻速率在H2SO4/DMSO中相對較低。
另一方面,當使用H2SO4/PC時,產生的電流密度 相對高。亦即,在該製程期間,陽極性地蝕刻(anodically etched)鎳。
【pH值效應】
第8圖顯示出在比較例4和5以及實例1中的蝕刻速 率和鎳-鎳銦砷蝕刻選擇性。在蝕刻製程之後,通過XRF測量鎳層和鎳銦砷層的厚度,並且相應地計算蝕刻速率。如第8圖所示,在蝕刻製程中,使用PC稀釋H2SO4、HF和BHF。
如第8圖所示,H2SO4是強酸,並且鎳層的蝕刻速 率很高。然而,鎳銦砷層在H2SO4中的蝕刻速率太高。另一方面,雖然鎳銦砷層在BHF中的蝕刻速率非常低,但是鎳層在BHF中的蝕刻速率也太低而無法去除鎳層。
因此,HF/PC對鎳層和鎳銦砷層具有最好的蝕刻選 擇性。此外,在HF/PC中的蝕刻選擇性大於100,這足以去除在第1A圖至第5圖中所述及前述金屬化層上未反應的金屬層。
【不同材料的蝕刻速率】
提供由矽製造的毯式晶圓。在晶圓上沉積材料 層。材料層是鎳層、二氧化矽層或氮化矽層。在70℃下進行蝕刻製程以蝕刻材料層。蝕刻製程包括使用表2中示出的體積比的HF/PC。
第9圖顯示出了不同材料層在不同體積比的HF/PC 中的蝕刻速率。在蝕刻製程之後,通過橢偏儀測量材料層的厚度,並且相應地計算蝕刻速率。如第9圖所示,當HF與PC的比率為1:100或1:200時,蝕刻劑在鎳(Ni)和二氧化矽(SiO2)或氮化矽(SiN)之間具有相對高的蝕刻選擇性。
如前所述,由於HF/PC對鎳和鎳銦砷具有較大的選 擇性,因此它在蝕刻製程中可以用作蝕刻劑以去除金屬化層上方的未反應的金屬層。然而,在蝕刻製程期間,諸如二氧化矽和氮化矽層的其他材料層也可能與蝕刻劑接觸。因此,也要關注鎳、二氧化矽和氮化矽層之間的蝕刻選擇性。如第9圖所示,已經發現HF/PC也可以應用於需要相對較高的鎳和二氧化矽(或氮化矽)蝕刻選擇性的蝕刻製程。
【不同溫度下的蝕刻速率】
提供由矽製造的毯式晶圓。在晶圓上沉積材料 層。材料層是鎳層、二氧化矽(SiO2)層或氮化矽(SiN)層。在25℃、50℃或75℃下進行蝕刻製程以蝕刻材料層。蝕刻製程包括使用HF/PC(1:100)。
第10圖顯示出了處於不同蝕刻溫度下的 HF/PC(1:100)中的鎳層、SiO2層或SiN層的蝕刻速率。如第10圖所示,相比於SiO2層或SiN層的蝕刻劑速率,鎳層的蝕刻劑速率對溫度更敏感。因此,當溫度升高時,鎳層的蝕刻劑速率增加,但是SiO2層或SiN層的蝕刻劑速率不增加。因此,當在超過50℃的溫度下進行蝕刻製程時,蝕刻劑對鎳和SiO2(或SiN)具有相對較高的蝕刻選擇性。
【方位及回火溫度的影響】
提供銦砷(InAs)塊狀晶圓(100)和銦砷(InAs)塊狀 晶圓(111)。在InAs塊狀晶圓(100)和InAs塊狀晶圓(111)上沉積 鎳層。對鎳層進行快速熱回火(RTA)製程以形成鎳銦砷層。在200℃或300℃下進行回火製程。在回火製程之後,在70℃下進行蝕刻製程以去除未反應的鎳層。在蝕刻製程中使用的蝕刻劑包括HF/PC或HCl/H2O。HF與PC的體積比為1:100,並且HCl與H2O的體積比為1:10。在蝕刻製程之後,通過XRF測量材料層的厚度,並且相應地計算蝕刻速率。在表3中示出了結果。
檢測了具有不同方位的鎳和鎳銦砷的蝕刻速率, 並且HCl/H2O用作蝕刻劑的對比實例。如表3所示,當HCl/H2O用作蝕刻劑時,鎳銦砷的蝕刻速率非常高,並且因此相對於鎳銦砷,鎳的蝕刻選擇性非常差。另一方面,當HF/PC作為蝕刻劑時,雖然由於基板的方位差異,鎳和鎳銦砷的蝕刻速率已經變化,但是鎳銦砷的蝕刻速率仍然相對低。因此相對於鎳銦砷,鎳的蝕刻選擇性仍然高。因此,即使基板的方位可能不同,但是在蝕刻製程中可以使用HF/PC,HF/PC對鎳銦砷及鎳具有良好的蝕刻選擇性。
此外,如表3所示,鎳銦砷層的蝕刻速率不受回火 溫度的影響。通過透射電子顯微鏡(TEM)(未示出)進一步分析了所產生的結構。已經發現,當將HF/PC用作蝕刻劑時,當在低於300℃的溫度下進行回火製程時,在蝕刻製程之後,鎳銦砷層展現出平滑的頂面。此外,在鎳銦砷層和銦砷層之間示出陡峭介面。
雖然本發明已以數個較佳實施例揭露如上,然其並非用以限定本發明,任何所屬技術領域中具有通常知識者,在不脫離本發明之精神和範圍內,當可作任意之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
100‧‧‧半導體結構
102‧‧‧基板
110a‧‧‧第一鰭狀結構
110b‧‧‧第二鰭狀結構
114‧‧‧淺溝槽隔離結構
124a‧‧‧第一源極/汲極結構
124b‧‧‧第二元極/汲極結構
128a‧‧‧第一金屬化層
128b‧‧‧第二金屬化層
132‧‧‧層間介電層
134a‧‧‧第一接觸插塞
134b‧‧‧第二接觸插塞

Claims (10)

  1. 一種半導體結構的形成方法,包括:在一基板上形成一源極/汲極結構;在該源極/汲極結構上形成一金屬層;使該金屬層的一部分與該源極/汲極結構反應,以在該源極/汲極結構上形成一金屬化層;以及藉由一蝕刻製程移除該金屬化層上該金屬層未反應的部分;其中,該蝕刻製程包括使用一蝕刻劑,該一蝕刻劑包括氫氟酸(HF)和碳酸丙烯酯(propylene carbonate),且在該蝕刻劑中,氫氟酸對碳酸丙烯酯的體積比介於約1:10至約1:10000。
  2. 如申請專利範圍第1項所述之半導體結構的形成方法,其中在約20℃至約150℃下進行該蝕刻製程。
  3. 如申請專利範圍第1項所述之半導體結構的形成方法,其中該金屬層係利用鎳(Ni)、鈷(Co)、鉬(Mo)、鈦(Ti)、鋁(Al)、錫(Sn)、鈀(Pd)、鉑(Pt)、金(Au)、銀(Ag)或銅(Cu)所形成。
  4. 如申請專利範圍第1項所述之半導體結構的形成方法,其中該源極/汲極結構係利用矽鍺(SiGe)、鍺(Ge)、砷化鎵(GaAs)、砷化銦(InAs)、砷化銦鎵(InGaAs)、砷化銦鋁(InAlAs)、磷化銦(InP)、磷化銦鋁(InAlP)、氮化銦(InN)、氮化鎵(GaN)、氮化銦鎵(InGaN)、磷化銦鎵(InGaP)、鎵銻(GaSb)、銦銻(InSb)或磷化銦砷銻(InAsSbP)所形成。
  5. 如申請專利範圍第1項所述之半導體結構的形成方法,其中 在該蝕刻製程期間,該金屬層的未反應部分的蝕刻速率比該金屬化層的蝕刻速率大20倍。
  6. 如申請專利範圍第1項所述之半導體結構的形成方法,其中在不大於400℃的溫度下,使該金屬層的該部分與該源極/汲極結構反應。
  7. 如申請專利範圍第1項所述之半導體結構的形成方法,其中該源極/汲極結構係形成在該基板上的一鰭狀結構中的一突起的源極/汲極結構。
  8. 如申請專利範圍第1項所述之半導體結構的形成方法,其中該源極/汲極結構係形成在該基板上的奈米柱(nanowire)結構中的一突起的源極/汲極結構。
  9. 一種半導體結構的形成方法,包括:在一基板上形成一源極/汲極結構;在該源極/汲極結構上形成一金屬層;進行一回火製程使該金屬層的一部分與該源極/汲極結構反應,以在該源極/汲極結構上形成一金屬化層;利用一蝕刻製程移除位於該金屬化層上該金屬層未反應的部分;以及在該金屬化層上形成一接觸插塞;其中,該蝕刻製程包括使用一蝕刻劑,該一蝕刻劑包括氫氟酸(HF)和碳酸丙烯酯(propylene carbonate),且在該蝕刻劑中氫氟酸對碳酸丙烯酯的體積比介於約1:50至約1:200。
  10. 一種半導體結構的形成方法,包括:在一基板上形成一第一源極/汲極結構,其中,由第IIIA-VA 族合金形成該第一源極/汲極結構;在該基板上形成一第二源極/汲極結構,其中,由第IVA族元素或IVA族合金形成該第二源極/汲極結構;在該第一源極/汲極結構上形成一第一金屬層並在該第二源極/汲極結構上方形成一第二金屬層;進行回火製程,使該第一金屬層的一部分與該第一源極/汲極結構反應,以在該第一源極/汲極結構上形成一第一金屬化層,並且使該第二金屬層的一部分與該第二源極/汲極結構反應,以在該第二源極/汲極結構上形成一第二金屬化層;以及進行一蝕刻製程以移除位於該第一金屬化層上的該第一金屬層未反應的部分和位於該第二金屬化層上的該第二金屬層未反應的部分;其中,該蝕刻製程包括使用一蝕刻劑,該蝕刻劑包括氫氟酸和碳酸丙烯酯,且在該蝕刻劑中,氫氟酸與碳酸丙烯酯的體積比介於約1:50至約1:200。
TW104140066A 2015-01-29 2015-12-01 半導體結構的形成方法 TWI575596B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/608,805 US9324820B1 (en) 2014-10-28 2015-01-29 Method for forming semiconductor structure with metallic layer over source/drain structure

Publications (2)

Publication Number Publication Date
TW201628079A true TW201628079A (zh) 2016-08-01
TWI575596B TWI575596B (zh) 2017-03-21

Family

ID=55759411

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104140066A TWI575596B (zh) 2015-01-29 2015-12-01 半導體結構的形成方法

Country Status (4)

Country Link
US (1) US9324820B1 (zh)
KR (1) KR101785167B1 (zh)
CN (1) CN105845556B (zh)
TW (1) TWI575596B (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI655679B (zh) * 2016-12-14 2019-04-01 台灣積體電路製造股份有限公司 包含鰭式場效電晶體之半導體裝置及其形成方法
US10297690B2 (en) 2016-12-30 2019-05-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a contact structure for a FinFET semiconductor device
US11309418B2 (en) 2016-12-30 2022-04-19 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure for FinFET semiconductor device

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014178426A1 (ja) * 2013-05-02 2014-11-06 富士フイルム株式会社 エッチング方法、これに用いるエッチング液およびエッチング液のキット、ならびに半導体基板製品の製造方法
US9773786B2 (en) * 2015-04-30 2017-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. FETs and methods of forming FETs
EP3182459A1 (en) * 2015-12-15 2017-06-21 IMEC vzw Method of producing a pre-patterned structure for growing vertical nanostructures
JP6539578B2 (ja) 2015-12-22 2019-07-03 株式会社Screenホールディングス 熱処理装置および熱処理方法
US9716093B1 (en) * 2016-03-07 2017-07-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device
US10128237B2 (en) * 2016-06-24 2018-11-13 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of gate replacement in semiconductor devices
US9859403B1 (en) * 2016-07-22 2018-01-02 Globalfoundries Inc. Multiple step thin film deposition method for high conformality
US10347497B2 (en) 2016-09-23 2019-07-09 The Board Of Trustees Of The University Of Illinois Catalyst-assisted chemical etching with a vapor-phase etchant
US10748781B2 (en) 2016-09-23 2020-08-18 The Board Of Trustees Of The University Of Illinois Catalyst-assisted chemical etching with a vapor-phase etchant
US10008497B2 (en) 2016-11-29 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10727131B2 (en) * 2017-06-16 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Source and drain epitaxy re-shaping
US10818562B2 (en) * 2017-11-30 2020-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and testing method thereof
US10607841B2 (en) * 2017-12-17 2020-03-31 Applied Materials, Inc. Silicide films through selective deposition
CN110240906A (zh) * 2018-03-07 2019-09-17 中国科学院苏州纳米技术与纳米仿生研究所 Iii-v族半导体刻蚀液及其制备方法和应用

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6562726B1 (en) 1999-06-29 2003-05-13 Micron Technology, Inc. Acid blend for removing etch residue
WO2005019499A1 (ja) * 2003-08-20 2005-03-03 Daikin Industries, Ltd. 金属変質層の除去液及び金属変質層の除去方法
US6972253B2 (en) 2003-09-09 2005-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming dielectric barrier layer in damascene structure
JP5132169B2 (ja) * 2006-03-31 2013-01-30 株式会社半導体エネルギー研究所 半導体装置の作製方法
WO2010114887A1 (en) 2009-03-31 2010-10-07 Georgia Tech Research Corporation Metal-assisted chemical etching of substrates
US8241971B2 (en) 2009-09-17 2012-08-14 International Business Machines Corporation MOSFET with a nanowire channel and fully silicided (FUSI) wrapped around gate
US8263451B2 (en) 2010-02-26 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxy profile engineering for FinFETs
US8609495B2 (en) 2010-04-08 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid gate process for fabricating finfet device
US8389397B2 (en) 2010-09-14 2013-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Method for reducing UBM undercut in metal bump structures
US8524570B2 (en) 2010-09-27 2013-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for improving gate contact
JP5279807B2 (ja) * 2010-12-08 2013-09-04 株式会社東芝 半導体装置およびその製造方法
CN102832126A (zh) 2011-06-13 2012-12-19 中国科学院微电子研究所 一种半导体结构及其制造方法
US8466027B2 (en) 2011-09-08 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide formation and associated devices
US8866195B2 (en) * 2012-07-06 2014-10-21 Taiwan Semiconductor Manufacturing Co., Ltd. III-V compound semiconductor device having metal contacts and method of making the same
US9136383B2 (en) 2012-08-09 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9006071B2 (en) 2013-03-27 2015-04-14 International Business Machines Corporation Thin channel MOSFET with silicide local interconnect
US9093298B2 (en) * 2013-08-22 2015-07-28 Texas Instruments Incorporated Silicide formation due to improved SiGe faceting
US9177805B2 (en) 2014-01-28 2015-11-03 GlobalFoundries, Inc. Integrated circuits with metal-insulator-semiconductor (MIS) contact structures and methods for fabricating same

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI655679B (zh) * 2016-12-14 2019-04-01 台灣積體電路製造股份有限公司 包含鰭式場效電晶體之半導體裝置及其形成方法
US10483157B2 (en) 2016-12-14 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US10727110B2 (en) 2016-12-14 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US10867841B2 (en) 2016-12-14 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US11189522B2 (en) 2016-12-14 2021-11-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US10297690B2 (en) 2016-12-30 2019-05-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a contact structure for a FinFET semiconductor device
TWI671808B (zh) * 2016-12-30 2019-09-11 台灣積體電路製造股份有限公司 半導體裝置及其製造方法
US11309418B2 (en) 2016-12-30 2022-04-19 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure for FinFET semiconductor device

Also Published As

Publication number Publication date
US9324820B1 (en) 2016-04-26
KR101785167B1 (ko) 2017-10-12
CN105845556B (zh) 2018-11-06
KR20160093534A (ko) 2016-08-08
TWI575596B (zh) 2017-03-21
CN105845556A (zh) 2016-08-10

Similar Documents

Publication Publication Date Title
TWI575596B (zh) 半導體結構的形成方法
US11695006B2 (en) Method of manufacturing a semiconductor device and a semiconductor device
TWI613731B (zh) 半導體結構及其形成方法
US10741678B2 (en) Semiconductor device and manufacturing method thereof
US9922978B2 (en) Semiconductor structure with recessed source/drain structure and method for forming the same
US10790393B2 (en) Utilizing multilayer gate spacer to reduce erosion of semiconductor Fin during spacer patterning
KR20190025589A (ko) 분리 병합된 소스/드레인 구조체를 가지는 반도체 디바이스를 제조하는 방법
US11222892B2 (en) Backside power rail and methods of forming the same
US11735666B2 (en) Gate all around structure with additional silicon layer and method for forming the same
US11201232B2 (en) Semiconductor structure with metal containing layer
US9391205B2 (en) Gate last semiconductor structure and method for forming the same
US11257934B2 (en) Fin field-effect transistors with enhanced strain and reduced parasitic capacitance
US20230268277A1 (en) Semiconductor devices including metal gate protection and methods of fabrication thereof
CN113078153A (zh) 半导体器件及其形成方法
US9595593B2 (en) Semiconductor structure with interfacial layer and method for manufacturing the same
TWI711073B (zh) 半導體裝置的製造方法及半導體裝置
US20240006479A1 (en) Semiconductor structure and method for manufacturing the same
US20240079447A1 (en) Semiconductor structure and method for forming the same
US10157781B2 (en) Method for forming semiconductor structure using polishing process
TW202401825A (zh) 半導體裝置及其製造方法
TW202414835A (zh) 半導體裝置、其製造方法及在金屬閘極結構的上方形成連續的金屬蓋的方法