TW201501204A - 用於半導體腔室部件之氣膠沉積塗層 - Google Patents

用於半導體腔室部件之氣膠沉積塗層 Download PDF

Info

Publication number
TW201501204A
TW201501204A TW103117933A TW103117933A TW201501204A TW 201501204 A TW201501204 A TW 201501204A TW 103117933 A TW103117933 A TW 103117933A TW 103117933 A TW103117933 A TW 103117933A TW 201501204 A TW201501204 A TW 201501204A
Authority
TW
Taiwan
Prior art keywords
component
coating
metal oxide
oxide nanoparticle
article
Prior art date
Application number
TW103117933A
Other languages
English (en)
Inventor
Jennifer Y Sun
Biraja P Kanungo
Tom Cho
Ying Zhang
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201501204A publication Critical patent/TW201501204A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32807Construction (includes replacing parts of the apparatus)
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C24/00Coating starting from inorganic powder
    • C23C24/02Coating starting from inorganic powder by application of pressure only
    • C23C24/04Impact or kinetic deposition of particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24355Continuous and nonuniform or irregular surface on layer or component [e.g., roofing, etc.]
    • Y10T428/24372Particulate matter
    • Y10T428/24413Metal or metal compound

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)
  • Coating By Spraying Or Casting (AREA)

Abstract

一種塗佈在電漿蝕刻之半導體腔室中所用的部件的方法,該方法包括提供該部件且將該部件裝載於沉積腔室中。沉積腔室中的壓力降低至低於大氣壓。透過在近似室溫下將氣膠噴塗至該部件上而將塗層沉積於該部件上,該氣膠包含第一型金屬氧化物奈米顆粒與第二型金屬氧化物奈米顆粒之懸浮液。

Description

用於半導體腔室部件之氣膠沉積塗層 【相關申請案】
本案與美國臨時申請案61/827,290號相關且主張該美國臨時申請案之優先權,該美國臨時申請案於2013年5月24日提出申請。
本案揭露發明之實施例大體上關於製品上的氣膠(aerosol)沉積塗層,且關於用於施加氣膠沉積塗層至基材的製程。
半導體工業中,元件是透過許多製造程序製做,該等製造程序產生尺寸愈來愈小的結構。一些諸如電漿蝕刻與電漿清潔製程之製造程序將基材暴露至高速電漿流,以蝕刻或清潔基材。該電漿可能具高度侵蝕性,且可能侵蝕處理腔室與暴露至電漿的其他表面。此侵蝕可能生成顆粒,該等顆粒常常污染受處理之基材,而造成元件缺陷(即,晶圓上的缺陷,諸如顆粒與金屬污染)。
隨著元件幾何尺寸縮小,缺陷的易感性 (susceptibility)增加,顆粒污染的容許水準可能減少。為了盡量減少電漿蝕刻及/或電漿清潔製程所導入的顆粒污染,已開發抗電漿的腔室材料。不同的材料提供不同的材料特性,該等特性諸如電漿抵抗性、剛性、可撓強度、熱衝擊抵抗性(thermal shock resistance)等。同樣,不同的材料具有不同的材料成本。因此,一些材料具有卓越的電漿抵抗性,其他材料具有較低的成本,而另外其他材料具有卓越的可撓強度及/或熱衝擊抵抗性。
一個實施例中,一種方法包括:提供用於半導體製造腔室中的部件,將該部件裝載於沉積腔室中,以及將沉積腔室中的壓力降低至低於大氣壓。該方法也包括:透過在近似室溫下將氣膠噴塗至該部件上而將塗層沉積於該部件上,該氣膠包含第一型金屬氧化物奈米顆粒與第二型金屬氧化物奈米顆粒之懸浮液。
100‧‧‧製造系統
102‧‧‧沉積腔室
104‧‧‧平台
106‧‧‧基材
108‧‧‧真空系統
110‧‧‧氣膠腔室
112‧‧‧氣體容器
114‧‧‧噴嘴
116‧‧‧塗佈粉末
118‧‧‧載氣
200‧‧‧部件
202‧‧‧基材
204‧‧‧塗層
206‧‧‧阻障層
300‧‧‧部件
302‧‧‧基材
304‧‧‧第一塗層
306‧‧‧阻障層
308‧‧‧第二塗層
400‧‧‧方法
402-408‧‧‧方塊
於附圖的圖式中以示範方式(且非限定之方式)繪示本案揭露發明,該等圖式中,類似元件符號指示類似元件。應注意本案揭露發明中對「一」或「一個」實施例的不同參考對象並不必然是針對相同的實施例,且此類參考對象意指至少一個。
第1圖繪示根據本發明之一個實施例的製造系統之示範性建構物;第2圖繪示根據本發明之一個實施例的基材上的塗 層;第3圖繪示根據本發明之一個實施例的基材上的兩層塗層;以及第4圖繪示根據本發明之一個實施例的藉由氣膠沉積的塗佈方法。
本案揭露發明的實施例涉及施加多種金屬氧化物之塗層至基材的製程,該基材諸如為用於半導體製造腔室中的部件。用於電漿蝕刻的半導體製造腔室中的部件位在沉積腔室內,在該處,由真空系統將沉積腔室的壓力從大氣壓降低。於沉積腔室中在室溫下透過粉末之加壓流將塗層沉積在部件上,該粉末之加壓流被引導朝向該部件,其中該粉末包括至少兩型的金屬氧化物奈米顆粒。此塗層可增加部件的壽命且減少半導體製造期間晶圓上之缺陷。
可將抗電漿塗層(即,抗電漿蝕刻晶圓期間存在的侵蝕性氣體之塗層)之氣膠沉積施加於具二維幾何尺寸或三維幾何尺寸的腔室部件上。舉例而言,電漿部件可包括蓋、靜電夾盤、處理套組環、腔室襯墊、噴嘴、與噴頭。該等部件也可包括壁、基座、氣體分配板、基材保持框架、陰極套管、電漿蝕刻器之陰極、電漿清潔器、電漿推進系統等。
金屬氧化物的類型可包括Y2O3、Er2O3、Gd2O3,或其他稀土金屬氧化物。舉例而言,塗層材料可以是稀土氧化物,包括:形成諸如Y2O3、Al2O3、ZrO2、Er2O3、Nd2O3、Gd2O3、Nb2O5、CeO2、Sm2O3、Yb2O3之固溶體之金屬氧化物混合物 (mixture),以及諸如Y2O3、Al2O3、ZrO2、Er2O3、Nd2O3、Gd2O3、Nb2O5、CeO2、Sm2O3、Yb2O3之不同稀土金屬氧化物的物理性摻合物(blend)。可在室溫下於沉積腔室中藉由額外粉末之加壓流將額外的塗層之層沉積在部件上,該額外粉末之加壓流被引導朝向該部件。該塗層在暴露至電漿時可具有低侵蝕速率。
迥異於由單一金屬氧化物(例如Y2O3或Al2O3)之氣膠沉積所得之塗層(該塗層可被攻擊性化學物質(例如CH4、H2、CO、與其他還原化學物質)損壞),多種氧化物之組成物(例如2、3、4、5、或更多種金屬氧化物)的氣膠沉積可提供緻密且正形(conforming)的塗層,且該塗層對這些攻擊性化學物質更有抵抗性。再者,因為氣膠沉積可在比電漿塗佈更低的溫度下執行,所以對熱不匹配之關注可盡量減少。
當在本文中使用用語「約」與「近似」時,申請人希望這些用語是意味呈現的標稱值準確至±10%以內。也請注意,本文中參照用於半導體製造的電漿蝕刻器所用之部件描述一些實施例。但是,應瞭解此類電漿蝕刻器也可用於製造微電機系統(MEMS)元件。
第1圖繪示製造系統100之示範性建構物。製造系統100可用於藉由氣霧沉積施加多種金屬氧化物塗層至用於半導體製造的部件。製造系統100包括沉積腔室102,該沉積腔室102可包括平台104以裝設基材106。沉積腔室102中的空氣壓力可藉由真空系統108降低。含有塗佈粉末116的氣 膠腔室110耦接氣體容器112,該氣體容器112含有載氣118以推進塗佈粉末116且含有噴嘴114以將塗佈粉末116引導至基材106上以形成塗層。
基材106可以是用於半導體製造的部件。該部件可以是半導體處理腔室之蝕刻反應器或熱反應器之部件等。部件之範例包括蓋、靜電夾盤、處理套組環、腔室襯墊、噴嘴、噴頭、壁、基座、氣體分配板、噴頭基座、基材保持框架、陰極套管、與陰極。基材106可由諸如鋁、矽、石英、塊體(bulk)氧化釔、塊體氧化鋁、塊體的Y4Al2O9之陶瓷化合物與Y2O3-ZrO2固溶體、電漿噴塗的氧化釔、電漿噴塗的氧化鋁、電漿噴塗的Y4Al2O9之陶瓷化合物與Y2O3-ZrO2固溶體、碳化矽、或任何用於半導體製造腔室部件的材料所形成。
一個實施例中,基材106的表面可經研磨以減少基材的表面粗糙度。舉例而言,表面粗糙度可少於約0.2微英吋,該粗糙度可改善塗佈厚度的均勻度與覆蓋率。由於根據一個實施例的塗層一般是薄的(例如少於50微米),因此,既然氣膠沉積是直視(line of straight)製程,故高粗糙度可阻擋一些區域以免受到塗佈。
基材106可在塗佈沉積期間裝設在沉積腔室102中的平台104上。該平台104可為可移動平台(例如,馬達驅動平台),該可移動平台可在一維、二維、或三維上移動,及/或大約在一或多個方向上旋轉/傾斜,使得平台104可移動到不同位置以助於利用以氣膠形式從噴嘴114推進的塗佈粉末116塗佈基材106。例如,既然藉由氣膠噴霧施加塗層是直 視製程,平台104可移動以塗佈基材106的不同部分或側邊。若基材106具有需要塗佈的不同側或複雜幾何形狀,則平台104可調整基材106相對噴嘴114的位置,使得整個組件可被塗佈。換言之,噴嘴114可選擇性從各種角度與方位瞄準基材106的某些部分。
一個實施例中,製造系統100的沉積腔室102可透過使用真空系統108而排空,使得真空存在於沉積腔室102中。舉例而言,真空可少於約0.1mTorr。在沉積腔室102中提供真空可助於施加塗層。舉例而言,當沉積腔室102處於真空下時,從噴嘴推進的塗佈粉末116在行進至基材106時會遇到較少阻力。因此,塗佈粉末116可以較高的速率撞擊基材106,而助於黏著於基材106且形成塗層。
氣體容器112保持加壓載氣118,諸如氮氣或氬氣。加壓載氣118在壓力下從氣體容器112行進至氣膠腔室110。當加壓載氣118從氣膠腔室110行進至噴嘴114時,載氣118將一些塗佈粉末116朝噴嘴114推進。
一個實施例中,塗佈粉末的顆粒經奈米化,塗佈粉末116具有某些流動性。進一步,根據一個實施例,迥異於塗佈諸如氧化釔(可能無法與某些化學物質相容)之單一氧化物,塗佈粉末116可包括多種氧化物之混合物,以形成複合塗層。例如,塗佈粉末可以是複合陶瓷材料或多種金屬氧化物及/或碳化物之混合物。用於塗佈粉末之材料的範例包括Y2O3、Al2O3、YAG(Y3Al5O12)、鉺鋁石榴石(EAG)(Er3Al5O12)、釔鋁單斜晶(YAM)(Y4Al2O9)、Gd2O3、Er2O3、 ZrO2、氧化釔穩定化的氧化鋯(YSZ)與GdAG(Gd3Al5O12)。
塗層粉末中不同材料的物理性摻合物可原位形成金屬氧化物複合物,這是由於塗層施加至基材時動能轉換成熱能所致。這些物理性摻合物(即,包括不同材料之顆粒的塗佈粉末)的範例包括但不限於:Y2O3與Al2O3(形成YAG);Y2O3、Al2O3與ZrO2(形成Y4Al2O9之陶瓷化合物與Y2O3-ZrO2之固溶體);Er2O3與Al2O3(形成EAG);Er2O3、Y2O3與Al2O3;Er2O3、Y2O3、Al2O3與ZrO2;與Gd2O3與Al2O3(形成GAG);Gd2O3、Y2O3與Al2O3;Gd2O3、Y2O3、Al2O3與ZrO2。由這些物理性摻合物形成的塗層可具有低侵蝕速率且在用於半導體製造腔室期間提供改良的晶圓上顆粒的表現。
參考包含Y4Al2O9之陶瓷化合物與Y2O3-ZrO2之固溶體,在一個實施例中,陶瓷化合物包括62.93莫耳比(莫耳%)的Y2O3、23.23莫耳%的ZrO2、與13.94莫耳%的Al2O3。另一實施例中,陶瓷化合物可包括範圍在50-75莫耳%的Y2O3、範圍在10-30莫耳%的ZrO2、與範圍在10-30莫耳%的Al2O3。另一實施例中,陶瓷化合物可包括範圍在40-100莫耳%的Y2O3、範圍在0-60莫耳%的ZrO2、與範圍在0-10莫耳%的Al2O3。另一實施例中,陶瓷化合物可包括範圍在40-60莫耳%的Y2O3、範圍在30-50莫耳%的ZrO2、與範圍在10-20莫耳%的Al2O3。另一實施例中,陶瓷化合物可包括範圍在40-50莫耳%的Y2O3、範圍在20-40莫耳%的ZrO2、與範圍在20-40莫耳%的Al2O3。另一實施例中,陶瓷化合物可包括範圍在70-90莫耳%的Y2O3、範圍在0-20莫耳%的ZrO2、與範 圍在10-20莫耳%的Al2O3。另一實施例中,陶瓷化合物可包括範圍在60-80莫耳%的Y2O3、範圍在0-10莫耳%的ZrO2、與範圍在20-40莫耳%的Al2O3。另一實施例中,陶瓷化合物可包括範圍在40-60莫耳%的Y2O3、範圍在0-20莫耳%的ZrO2、與範圍在30-40莫耳%的Al2O3。其他實施例中,也可使用其他的分佈方式以用於陶瓷化合物。
當推進塗佈粉末116之懸浮液的載氣118從噴嘴114之開口進入沉積腔室102,塗佈粉末116朝基材106推進。一個實施例中,加壓載氣118,使得塗佈粉末116朝向基材106以大約150m/s至約500m/s的速率推進。
一個實施例中,噴嘴形成為抗磨耗。由於塗佈粉末116以高速移動穿過噴嘴114,噴嘴114可快速磨損且劣化。然而,噴嘴114可形成為一形狀,且由一材料形成,使得磨耗最小化或減少。
一旦衝擊基材106,則塗佈粉末116之顆粒因動能而破碎且變形,以產生錨定層,該錨定層黏著基材106。當繼續施加塗佈粉末116,該等顆粒透過自身鍵結而變成塗層或薄膜。基材106上的塗層透過基材106上塗佈粉末116之顆粒連續碰撞而繼續生長。換言之,在真空下顆粒以高速彼此機械式碰撞也碰撞基材,而斷裂成較小的片段以形成緻密層,而非熔融。一個實施例中,塗佈粉末116的顆粒之顆粒晶體結構在施加至基材106後仍存在。一個實施例中,熔融可能發生在動能轉換成熱能時,使得部分熔融可發生在顆粒斷裂成較小片段且這些顆粒變成緻密鍵結時。
不像藉由電漿噴塗施加塗層(電漿噴塗是在高溫下執行的熱技術),藉由一個實施例施加塗層可在室溫或接近室溫下執行。舉例而言,施加氣膠噴霧塗層可在大約攝氏15度至約攝氏30度執行。在氣膠噴霧沉積的實例中,基材不需加熱,且施加製程不會顯著地增加受塗佈之基材的溫度。因為基材維持在大約室溫,所以根據一個實施例的施加可用於以多種材料塗佈組件,該等材料可在熱噴塗技術期間由於熱不匹配而損壞。舉例而言,若使用較高溫的沉積製程,則由多個附接在一起(例如,以在諸如約攝氏100度至約攝氏130度的低溫下熔融的黏著劑或黏接層)的部件形成的基材可由於部件間的熱不匹配或黏著劑的熔融而損壞。因此,根據一個實施例,這類基材較不可能因室溫下的塗佈而損壞。
一個實施例中,塗佈的基材可經受後塗佈製程(諸如熱處理),該後塗佈製程可進一步形成塗層與基材之間的塗層界面。舉例而言,於Al2O3基材上的Y2O3塗層可形成YAG阻障層後熱處理,該後熱處理幫助黏著且提供阻障層,以進一步保護基材。於Al2O3基材上的Y4Al2O9之陶瓷化合物與Y2O3-ZrO2之固溶體之塗層可形成薄的YAG阻障層後熱處理。類似地,於Al2O3上的Er2O3塗層可形成EAG阻障層等(例如,於Al2O3上塗Gd2O3形成GAG)。一個實施例中,塗佈的基材可被加熱到攝氏1450度超過約30分鐘。
同樣,熱處理後塗佈可助於由不同金屬氧化物混合物之物理性摻合物形成化合物。舉例而言,藉由後有熱處理的氣膠沉積塗佈所沉積的Y2O3與Al2O3粉末之物理性摻合物 可形成均勻的YAG塗層。
一個實施例中,在塗層與基材之間形成阻障層阻止會穿透塗層與下面之基材的製程化學物質的反應。此舉可盡量減少脫層的發生。阻障層可增加陶瓷塗層的黏著強度,且可盡量減少剝離。
阻障層以取決於溫度及時間的速率生長。當溫度與熱處理歷時增加,阻障層之厚度也增加。因此,用於熱處理陶瓷製品的溫度(或多個溫度)與歷時應當經選擇以形成厚度不多於約5微米的阻障層。一個實施例中,溫度與歷時經選擇以造成形成約0.1微米至約5微米的阻障層。一個實施例中,阻障層具有極微小的厚度,該厚度足以防止處理期間氣體與陶瓷基材反應,該厚度例如為大約0.1微米。一個實施例中,阻障層具有1至2微米的目標厚度。
第2圖繪示根據一個實施例的部件200,該部件200包括基材202上的塗層204。基材202可以是來自第1圖的基材106。基材202可由諸如陶瓷、鋁、石英、氧化鋁、矽、塊體氧化釔、電漿噴塗的氧化釔、碳化矽、金屬等材料形成。該塗層204可使用參考第1圖所述的氣膠沉積製程形成。
一個實施例中,基材202之待塗佈表面可經研磨而為更加平滑,以例如助於塗層黏著。舉例而言,表面粗糙度可少於約0.2微英吋。
一個實施例中,塗層可從約10微米至約50微米厚。
一個其中部件200受熱處理的實施例中,阻障層206可形成在基材202與塗層204之間。阻障層206可改善塗層 204對基材202的黏著及/或改善部件200於用在半導體製造腔室期間的晶圓上粒子表現。當塗層之層是由Y2O3覆於Al2O3基材上所構成時,阻障層可以是YAG。
第3圖繪示根據一個實施例的部件300,該部件300包括兩層塗層,該兩層塗層位於基材302上。部件300包括具第一塗層304與第二塗層308的基材302。基材302可以是來自第1圖的基材106。第一塗層304與第二塗層306可如上文所述般形成(例如,藉由氣膠沉積)。舉例而言,在第一氣膠沉積製程中,第一塗層304可形成在基材302上。接著,於第二氣膠沉積製程中,第二塗層308可形成於基材302上。一個實施例中,施加兩個不同的塗層使得熱梯度得以受到管理,使得該部件可用在較高的溫度。舉例而言,可塗佈YAG與Y4Al2O9之陶瓷化合物與Y2O3-ZrO2之固溶體之多層。
一個實施例中,部件300可在施加第一塗層304後熱處理。該熱處理可發生在早於或晚於第二塗層308之施加時。熱處理可引發阻障層306形成在基材302與塗層304之間。
第4圖是流程圖,該流程圖顯示根據本案揭露發明的實施例的用於製造塗佈部件的方法400。方法400可透過使用第1圖的製造系統100而執行。
在方塊402,提供用於半導體製造環境中的部件。舉例而言,該部件可以是基材,如前文所述,該基材諸如為蓋、靜電夾盤、處理套組環、腔室襯墊、噴嘴、噴頭、壁、基座、氣體分配板、基材保持框架、陰極套管、或陰極。進 一步而言,部件可由下述材料所形成,該等材料諸如:陶瓷、鋁、石英、氧化鋁、矽、塊體氧化釔、電漿噴塗的氧化釔、碳化矽、金屬、或任何適合用於半導體製造腔室所用之部件的基材。
在方塊404,將部件裝載至沉積腔室中。該沉積腔室可以是前文所述的沉積腔室102。
在方塊406,真空系統將低壓沉積腔室的壓力降低至低於大氣壓。例如,該壓力可降低至1kPa左右至約50kPa左右的範圍。
在方塊408,透過在近似室溫將氣膠噴塗至部件上,而將塗層沉積在該部件上,該氣膠包括第一型金屬氧化物奈米顆粒與第二型金屬氧化物奈米顆粒之懸浮液。舉例而言,該粉末可包括下述材料之至少兩者:Y2O3、Al2O3、YAG、EAG、Gd2、Er2O3、ZrO2、以及GAG。這些材料可以是奈米顆粒,且該等材料可用任何適合的比例摻合。該兩種金屬氧化物奈米顆粒可懸浮在諸如氮氣或氬氣的氣體中。
一個實施例中,該方法進一步包括熱處理該塗佈的部件,以在該部件與該塗層之間形成阻障層。舉例而言,該塗佈的部件可被加熱到攝氏1450度超過30分鐘。該阻障層可具有約0.1微米至約5微米之厚度,且可為YAG、EAG、GAG、或其他化合物,諸如YAM(Y4Al2O9)、YAP(YAlO3)與Er及Gd的類似化合物。
先前的敘述提出數個特定細節(諸如特定系統、部件、方法等之範例),以提供對本案揭露發明的多個實施例的 瞭解。然而,發明所屬技術領域中具有通常知識者將明瞭,可無須這些特定細節而操作本案揭露發明的至少一些實施例。在其他例子中,已知的部件或方法並未詳細描述或以簡單的方塊圖格式呈現,以避免非必要地混淆了本案揭露發明。因此,所提出的特定細節只是示範性質。特別的實施方式可能與這些示範性細節有所不同,且仍考量為落入本案揭露發明之範疇中。
遍及此說明書的對「一個實施例」或「實施例」之參考對象是意味,連同該實施例所描述的特殊特徵、結構、或特性納入至少一個實施例中。因此,遍及此說明書中多處出現詞彙「在一個實施例中」或「在實施例中」,這並非必然全部是指相同實施例。此外,申請人希望用語「或」意味包括性質的「或」,而非排除性質的「或」。
雖然以特定順序顯示與描述在此的方法之操作,但每一方法的操作的順序可變換,使得可以相反順序執行某些操作,或使得某些操作可與其他操作至少部分同步地執行。另一實施例中,截然不同的操作之指令或次操作可為間歇方式或交替方式。
應瞭解,申請人希望上文中的敘述只是說明性質,而非限制性質。對發明所屬技術領域中具有通常知識者而言,一旦閱讀與瞭解上述敘述後,將會明瞭許多其他實施例。因此,本案揭露發明之範疇應參考所附的申請專利範圍以及該等申請專利範圍所賦予權利的等效物之全部範疇而決定。
400‧‧‧方法
402-408‧‧‧方塊

Claims (20)

  1. 一種方法,包括以下步驟:提供用於一半導體製造腔室中的一部件;將該部件裝載於一沉積腔室中;將該沉積腔室的壓力降低至低於大氣壓;以及透過在近似室溫下將一氣膠噴塗至該部件上,而將一塗層沉積於該部件上,該氣膠包含一第一型金屬氧化物奈米顆粒與一第二型金屬氧化物奈米顆粒之一懸浮液。
  2. 如請求項1所述之方法,進一步包括以下步驟:研磨該部件,使得該部件的表面粗糙度少於約0.2微英吋。
  3. 如請求項1所述之方法,其中該氣膠具有大於每秒約300公尺的速率。
  4. 如請求項1所述之方法,其中該氣膠進一步包含氮氣或氬氣之一載氣。
  5. 如請求項1所述之方法,進一步包括以下步驟:在沉積該塗層後,將該部件加熱至大於約攝氏1450度超過約30分鐘,以在該部件與該塗層間形成一阻障層。
  6. 如請求項1所述之方法,進一步包含以下步驟:在該沉積腔室中於室溫下藉由導向該部件的一額外粉末之加壓流, 在該部件上沉積一額外塗層。
  7. 如請求項1所述之方法,其中該部件包括鋁、矽、石英、塊體(bulk)氧化鋁、塊體氧化釔、塊體的Y4Al2O9之陶瓷化合物與Y2O3-ZrO2固溶體、電漿噴塗的氧化釔、或碳化矽。
  8. 如請求項1所述之方法,其中該第一型金屬氧化物奈米顆粒包括Y2O3、Er2O3、或Gd2O3
  9. 如請求項1所述之方法,其中該第二型金屬氧化物奈米顆粒包括一稀土族金屬氧化物奈米顆粒。
  10. 如請求項1所述之方法,其中該塗層在暴露至電漿時具有低的侵蝕速率。
  11. 一種製品,包含:一部件,用於一半導體製造腔室中,該半導體製造腔室用於電漿蝕刻;以及一氣膠沉積塗層,位於該部件上,該氣膠沉積塗層包含一第一型金屬氧化物奈米顆粒與一第二型金屬氧化物奈米顆粒。
  12. 如請求項11所述之製品,其中該部件具有少於約0.2微英吋的表面粗糙度。
  13. 如請求項11所述之製品,其中該部件包括鋁、石英、塊體氧化鋁、矽、塊體氧化釔、塊體的Y4Al2O9之陶瓷化合物與Y2O3-ZrO2固溶體、電漿噴塗的氧化釔、或碳化矽。
  14. 如請求項11所述之製品,其中該第一型金屬氧化物奈米顆粒包括Y2O3、Er2O3、或Gd2O3
  15. 如請求項11所述之製品,其中該第二型金屬氧化物奈米顆粒包括一稀土族金屬氧化物奈米顆粒。
  16. 如請求項11所述之製品,其中該塗層在暴露至電漿時具有低的侵蝕速率。
  17. 一種製品,包含:一部件,用於一半導體製造腔室中,該半導體製造腔室用於電漿蝕刻,該部件具有一塗層,該塗層是透過一製程沉積在該部件上,該製程包含以下步驟:將該部件裝載於一沉積腔室中;將該沉積腔室的壓力降低至低於大氣壓;以及在近似室溫下將一氣膠噴塗至該部件上,該氣膠包含一第一型金屬氧化物奈米顆粒與一第二型金屬氧化物奈米顆粒之一懸浮液。
  18. 如請求項17所述之製品,其中粉末的加壓流的速率大於每秒約300公尺。
  19. 如請求項17所述之製品,其中該氣膠進一步包含氮氣或氬氣之一載氣。
  20. 如請求項17所述之製品,其中該部件於沉積塗層後加熱至一溫度達一段時間,使得一阻障層形成於該部件與該塗層之間,該溫度範圍為從約攝氏1200度至約攝氏1600度,該時間範圍為約30分鐘至約6小時。
TW103117933A 2013-05-24 2014-05-22 用於半導體腔室部件之氣膠沉積塗層 TW201501204A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361827290P 2013-05-24 2013-05-24
US14/282,824 US9708713B2 (en) 2013-05-24 2014-05-20 Aerosol deposition coating for semiconductor chamber components

Publications (1)

Publication Number Publication Date
TW201501204A true TW201501204A (zh) 2015-01-01

Family

ID=51934180

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103117933A TW201501204A (zh) 2013-05-24 2014-05-22 用於半導體腔室部件之氣膠沉積塗層

Country Status (3)

Country Link
US (2) US9708713B2 (zh)
TW (1) TW201501204A (zh)
WO (1) WO2014190211A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI632059B (zh) * 2016-02-19 2018-08-11 新日鐵住金股份有限公司 陶瓷積層體、陶瓷絕緣基板、以及陶瓷積層體的製造方法
TWI795717B (zh) * 2020-03-30 2023-03-11 大陸商中微半導體設備(上海)股份有限公司 耐電漿腐蝕部件及其製備方法,及電漿處理設備

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US10468235B2 (en) 2013-09-18 2019-11-05 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US9725799B2 (en) 2013-12-06 2017-08-08 Applied Materials, Inc. Ion beam sputtering with ion assisted deposition for coatings on chamber components
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US9976211B2 (en) 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US9460898B2 (en) 2014-08-08 2016-10-04 Applied Materials, Inc. Plasma generation chamber with smooth plasma resistant coating
US9790582B2 (en) 2015-04-27 2017-10-17 Lam Research Corporation Long lifetime thermal spray coating for etching or deposition chamber application
US11178899B2 (en) * 2015-07-13 2021-11-23 Philip Morris Products S.A. Producing an aerosol-forming composition
US10092926B2 (en) * 2016-06-01 2018-10-09 Arizona Board Of Regents On Behalf Of Arizona State University System and methods for deposition spray of particulate coatings
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
FR3057475B1 (fr) 2016-10-17 2022-01-21 Commissariat Energie Atomique Procede de generation d'un jet de nanoparticules
US10975469B2 (en) 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
JP7035726B2 (ja) * 2018-03-30 2022-03-15 日本製鉄株式会社 セラミックス積層体
WO2020017671A1 (ko) * 2018-07-17 2020-01-23 (주)코미코 내 플라즈마 코팅을 위한 에어로졸 증착 코팅방법
CN109877012A (zh) * 2019-02-09 2019-06-14 沈阳富创精密设备有限公司 一种制备高致密氧化钇涂层的方法
EP3953060A4 (en) * 2019-04-10 2022-12-28 New Mexico Tech University Research Park Corporation SOLID STATE AEROSOL GENERATOR
JP2023502137A (ja) * 2019-11-22 2023-01-20 ラム リサーチ コーポレーション プラズマチャンバの低温焼結コーティング
CN111424273A (zh) * 2020-03-30 2020-07-17 沈阳富创精密设备有限公司 一种制备高洁净度涂层的方法
TWI777504B (zh) * 2020-04-30 2022-09-11 日商Toto股份有限公司 複合結構物及具備複合結構物之半導體製造裝置
WO2023229892A1 (en) * 2022-05-26 2023-11-30 Lam Research Corporation Yttria coating for plasma processing chamber components

Family Cites Families (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6409839B1 (en) 1997-06-02 2002-06-25 Msp Corporation Method and apparatus for vapor generation and film deposition
JP2000001362A (ja) 1998-06-10 2000-01-07 Nippon Seratekku:Kk 耐食性セラミックス材料
US6383964B1 (en) 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
JP3348154B2 (ja) 1999-10-12 2002-11-20 独立行政法人産業技術総合研究所 複合構造物及びその作製方法並びに作製装置
TW503449B (en) 2000-04-18 2002-09-21 Ngk Insulators Ltd Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members
US6645585B2 (en) 2000-05-30 2003-11-11 Kyocera Corporation Container for treating with corrosive-gas and plasma and method for manufacturing the same
AU2001296005A1 (en) 2000-10-23 2002-05-15 National Institute Of Advanced Industrial Science And Technology Composite structure and method for manufacture thereof
US7255934B2 (en) 2000-10-23 2007-08-14 National Institute Of Advanced Industrial Science And Technology Composite structure body and method and apparatus for manufacturing thereof
US6746539B2 (en) * 2001-01-30 2004-06-08 Msp Corporation Scanning deposition head for depositing particles on a wafer
US7670688B2 (en) * 2001-06-25 2010-03-02 Applied Materials, Inc. Erosion-resistant components for plasma process chambers
JP4277973B2 (ja) 2001-07-19 2009-06-10 日本碍子株式会社 イットリア−アルミナ複合酸化物膜の製造方法、イットリア−アルミナ複合酸化物膜および耐蝕性部材
BR0211579A (pt) 2001-08-02 2004-07-13 3M Innovative Properties Co Vidro-cerâmica, contas, pluralidade de partìculas abrasivas, artigo abrasivo, e, métodos para abradar uma superfìcie, para fabricar vidro-cerâmica, para fabricar um artigo de vidro-cerâmica e para fabricar partìculas abrasivas
CN1608036B (zh) 2001-08-02 2010-09-22 3M创新有限公司 Al2O3-Y2O3-ZrO2/HfO2材料及其制备和使用方法
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US20080213496A1 (en) 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US8067067B2 (en) 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
JP3894313B2 (ja) 2002-12-19 2007-03-22 信越化学工業株式会社 フッ化物含有膜、被覆部材及びフッ化物含有膜の形成方法
US7579251B2 (en) 2003-05-15 2009-08-25 Fujitsu Limited Aerosol deposition process
JP2005217350A (ja) 2004-02-02 2005-08-11 Toto Ltd 耐プラズマ性を有する半導体製造装置用部材およびその作製方法
US20080003184A1 (en) * 2004-09-14 2008-01-03 Kajsa Uvdal Superparamagnetic Gadolinium Oxide Nanoscale Particles and Compositions Comprising Such Particles
FR2886636B1 (fr) * 2005-06-02 2007-08-03 Inst Francais Du Petrole Materiau inorganique presentant des nanoparticules metalliques piegees dans une matrice mesostructuree
JP4796354B2 (ja) 2005-08-19 2011-10-19 日本碍子株式会社 静電チャック及びイットリア焼結体の製造方法
US20070079936A1 (en) 2005-09-29 2007-04-12 Applied Materials, Inc. Bonded multi-layer RF window
JP2007115973A (ja) 2005-10-21 2007-05-10 Shin Etsu Chem Co Ltd 耐食性部材
US7968205B2 (en) 2005-10-21 2011-06-28 Shin-Etsu Chemical Co., Ltd. Corrosion resistant multilayer member
US20070264155A1 (en) 2006-05-09 2007-11-15 Brady Michael D Aerosol jet deposition method and system for creating a reference region/sample region on a biosensor
US20070274837A1 (en) 2006-05-26 2007-11-29 Thomas Alan Taylor Blade tip coatings
US20080029032A1 (en) 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
US7479464B2 (en) * 2006-10-23 2009-01-20 Applied Materials, Inc. Low temperature aerosol deposition of a plasma resistive layer
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US7696117B2 (en) 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US8114473B2 (en) 2007-04-27 2012-02-14 Toto Ltd. Composite structure and production method thereof
US8367227B2 (en) 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US8129029B2 (en) 2007-12-21 2012-03-06 Applied Materials, Inc. Erosion-resistant plasma chamber components comprising a metal base structure with an overlying thermal oxidation coating
US20090214825A1 (en) 2008-02-26 2009-08-27 Applied Materials, Inc. Ceramic coating comprising yttrium which is resistant to a reducing plasma
US8206829B2 (en) 2008-11-10 2012-06-26 Applied Materials, Inc. Plasma resistant coatings for plasma chamber components
US9017765B2 (en) * 2008-11-12 2015-04-28 Applied Materials, Inc. Protective coatings resistant to reactive plasma processing
US8137743B2 (en) 2009-05-08 2012-03-20 Fuchita Nanotechnology Ltd. Method for forming zirconia film
US20110198034A1 (en) 2010-02-11 2011-08-18 Jennifer Sun Gas distribution showerhead with coating material for semiconductor processing
US20120196139A1 (en) 2010-07-14 2012-08-02 Christopher Petorak Thermal spray composite coatings for semiconductor applications
US8916021B2 (en) 2010-10-27 2014-12-23 Applied Materials, Inc. Electrostatic chuck and showerhead with enhanced thermal properties and methods of making thereof
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US20130273313A1 (en) 2012-04-13 2013-10-17 Applied Materials, Inc. Ceramic coated ring and process for applying ceramic coating
US9090046B2 (en) 2012-04-16 2015-07-28 Applied Materials, Inc. Ceramic coated article and process for applying ceramic coating
US20130288037A1 (en) 2012-04-27 2013-10-31 Applied Materials, Inc. Plasma spray coating process enhancement for critical chamber components
US9394615B2 (en) 2012-04-27 2016-07-19 Applied Materials, Inc. Plasma resistant ceramic coated conductive article
US9604249B2 (en) 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
US9447365B2 (en) 2012-07-27 2016-09-20 Applied Materials, Inc. Enhanced cleaning process of chamber used plasma spray coating without damaging coating
US9343289B2 (en) 2012-07-27 2016-05-17 Applied Materials, Inc. Chemistry compatible coating material for advanced device on-wafer particle performance
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US9556507B2 (en) 2013-03-14 2017-01-31 Applied Materials, Inc. Yttria-based material coated chemical vapor deposition chamber heater
US20140262037A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Transparent yttria coated quartz showerhead
US9666466B2 (en) 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US9708713B2 (en) 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9711334B2 (en) 2013-07-19 2017-07-18 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based thin film coatings on process rings
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US10468235B2 (en) 2013-09-18 2019-11-05 Applied Materials, Inc. Plasma spray coating enhancement using plasma flame heat treatment
US9440886B2 (en) 2013-11-12 2016-09-13 Applied Materials, Inc. Rare-earth oxide based monolithic chamber material
US20150202834A1 (en) * 2014-01-20 2015-07-23 3M Innovative Properties Company Lamination transfer films for forming antireflective structures
US9869013B2 (en) 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US10730798B2 (en) 2014-05-07 2020-08-04 Applied Materials, Inc. Slurry plasma spray of plasma resistant ceramic coating
US10196728B2 (en) 2014-05-16 2019-02-05 Applied Materials, Inc. Plasma spray coating design using phase and stress control
US10385459B2 (en) 2014-05-16 2019-08-20 Applied Materials, Inc. Advanced layered bulk ceramics via field assisted sintering technology

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI632059B (zh) * 2016-02-19 2018-08-11 新日鐵住金股份有限公司 陶瓷積層體、陶瓷絕緣基板、以及陶瓷積層體的製造方法
US10889899B2 (en) 2016-02-19 2021-01-12 Nippon Steel Corporation Ceramic laminate, ceramic insulating substrate, and method for manufacturing ceramic laminate
TWI795717B (zh) * 2020-03-30 2023-03-11 大陸商中微半導體設備(上海)股份有限公司 耐電漿腐蝕部件及其製備方法,及電漿處理設備

Also Published As

Publication number Publication date
US9708713B2 (en) 2017-07-18
US20170287683A1 (en) 2017-10-05
US20140349073A1 (en) 2014-11-27
WO2014190211A1 (en) 2014-11-27
US10418229B2 (en) 2019-09-17

Similar Documents

Publication Publication Date Title
US10418229B2 (en) Aerosol deposition coating for semiconductor chamber components
JP6820359B2 (ja) プラズマ耐食性希土類酸化物系薄膜コーティング
US11578398B2 (en) Plasma spray coating design using phase and stress control
JP6929397B2 (ja) 蓋及びノズル上の希土類酸化物系コーティング用イオンアシスト蒸着
US20200325073A1 (en) Slurry plasma spray of plasma resistant ceramic coating
JP6976215B2 (ja) チャンバコンポーネント用多層プラズマ腐食防護
US20180366302A1 (en) Coating architecture for plasma sprayed chamber components
JP2020065058A (ja) 希土類酸化物のイオンアシスト蒸着トップコート
US20180151401A1 (en) Substrate support assembly having a plasma resistant protective layer
EP1918420A1 (en) Low temperature aerosol deposition of a plasma resistive layer
TW201931513A (zh) 用於半導體製程腔室部件的Y2O3-SiO2保護性塗佈
KR20230028803A (ko) 이트륨 산화물 기반 코팅 및 벌크 조성물들
US20230187182A1 (en) Plasma resistant arc preventative coatings for manufacturing equpiment components
US20230234160A1 (en) Diffusion bonding of pure metal bodies
JP2024058589A (ja) 耐プラズマ性コーティング膜の製造方法