TW201443978A - 用氫原子自基板移除光阻之方法 - Google Patents

用氫原子自基板移除光阻之方法 Download PDF

Info

Publication number
TW201443978A
TW201443978A TW103108190A TW103108190A TW201443978A TW 201443978 A TW201443978 A TW 201443978A TW 103108190 A TW103108190 A TW 103108190A TW 103108190 A TW103108190 A TW 103108190A TW 201443978 A TW201443978 A TW 201443978A
Authority
TW
Taiwan
Prior art keywords
substrate
chamber
photoresist
hydrogen
containing gas
Prior art date
Application number
TW103108190A
Other languages
English (en)
Inventor
Jeong-Won Park
Joe Griffith Cruz
Pravin K Narwankar
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201443978A publication Critical patent/TW201443978A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3342Resist stripping

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本文提供用於自基板移除光阻之方法。在一些實施例中,一種自基板移除光阻之方法可包括:向具有複數個燈絲的第一製程腔室提供含氫氣體;使電流流動穿過複數個燈絲以將複數個燈絲之溫度升高至第一溫度,該第一溫度足以分解含氫氣體中的至少一部分以形成氫原子;及藉由將光阻曝露給由分解含氫氣體形成的氫原子自基板移除光阻。

Description

用氫原子自基板移除光阻之方法
本發明之實施例大體而言係關於半導體基板處理。
用於在半導體裝置製造期間自基板移除光阻之習知製程通常包括將光阻曝露給電漿或濕式清洗化學品以移除光阻。然而,本發明人已觀察到,此類製程可對下層或基板之結構造成不可接受的損壞或氧化。另外,本發明人已觀察到,習知使用之製程係不保形及不均勻的,從而造成基板表面上留有污染物或殘餘物、不均勻的基板表面及後續沉積於基板頂部上的層之不均勻性。
因此,本發明人已提供自基板移除光阻之改良方法。
本文提供用於自基板移除光阻之方法之實施例。在一些實施例中,一種自基板移除光阻之方法包括:向具有複數個燈絲的第一製程腔室提供含氫氣體;使電流流動穿過複數個燈絲以將複數個燈絲之溫度升高至第一溫度,該第一溫度足以分解含氫氣體中的至少一部分以形成氫原子;及藉由將光阻曝露給由分解含氫氣體形成的氫原子自基板移除光 阻。
在下文中將描述本發明之其他及進一步實施例。
100‧‧‧方法
102‧‧‧步驟
104‧‧‧步驟
106‧‧‧步驟
108‧‧‧步驟
200‧‧‧基板
202‧‧‧層
204‧‧‧光阻
206‧‧‧氧化層
208‧‧‧特徵
300‧‧‧處理系統
301‧‧‧製程腔室
302‧‧‧腔室主體
303‧‧‧清洗腔室
304‧‧‧內部處理容積
305‧‧‧腔室主體
306‧‧‧控制器
307‧‧‧內容積
308‧‧‧CPU
310‧‧‧支援電路
311‧‧‧電線
312‧‧‧記憶體
313‧‧‧電源
314‧‧‧軟體常式
320‧‧‧屏蔽
322‧‧‧腔室襯墊
328‧‧‧基板支撐件
330‧‧‧基板
331‧‧‧距離
332‧‧‧氣體入口
333‧‧‧噴淋頭
334‧‧‧出口
336‧‧‧電線至電線距離
338‧‧‧凸緣
340‧‧‧電線至基板距離
341‧‧‧氣體分配裝置
342‧‧‧平板
344‧‧‧通孔
346‧‧‧氫氣源
348‧‧‧氫原子源
350‧‧‧預熱腔室
352‧‧‧熱源
354‧‧‧箭頭
402‧‧‧管道
404‧‧‧氣室
可參考附圖中描述的本發明之說明性實施例來理解上文已簡要概述且在下文將更詳細論述之本發明之實施例。然而,應注意,附圖僅圖示出本發明之典型實施例,且因此該等圖式不欲視為本發明範疇之限制,因為本發明可允許其他同等有效之實施例。
第1圖係根據本發明之一些實施例用於自基板移除光阻之方法之流程圖。
第2A圖至第2B圖係根據本發明之一些實施例在第1圖之方法之不同階段期間具有待移除光阻的基板之說明性橫截面視圖。
第3圖係根據本發明之一些實施例適合於執行第1圖所描述之方法的處理系統。
第4圖係根據本發明之一些實施例適合於執行第1圖所描述之方法的處理系統。
為了促進理解,在可能的情況下,相同元件符號已用於代表諸圖共用之相同元件。諸圖並未按比例繪製且可為了清晰而簡化。應設想,一個實施例之元件及特徵可有利地併入其他實施例而無需贅述。
本文提供用於自基板移除光阻之方法。在至少一些實施例中,本發明方法與習知清洗製程(例如,使用電漿、 高溫處理、濕式清洗製程或基於氟的化學品中之一或更多者)相比在對基板或基板上形成之氧化層產生較少損壞的同時促進自基板移除(例如,灰化或清洗)光阻。另外,本發明人已觀察到,使用氫基移除光阻,光阻可在移除期間使光阻材料揮發,從而不留下殘餘物,因此提供乾式光阻移除製程。此外,本發明人已觀察到,藉由使用使用熱線源產生氫原子之製程腔室(例如,熱線處理腔室)可提供與半導體工業中用於產生氫原子之習知方法相比更高密度之氫原子群(例如,諸如高出1.3至約3倍)。儘管不限制本文所揭示之本發明方法之應用之範疇,但是本發明方法已展示出對於移除聚合物及含碳光阻材料特別有效。
第1圖係根據本發明之一些實施例用於自基板移除(例如,灰化)光阻之方法100之流程圖。第2A圖至第2B圖係根據本發明之一些實施例在第1圖之處理序列之不同階段期間具有待移除光阻的基板之說明性橫截面視圖。可根據本發明之實施例在適合於處理半導體基板的任何裝置中執行本發明方法,該等裝置諸如下文將相對於第3圖及第4圖論述之裝置。
方法100大體從102處開始,在102處可視情況預熱具有待移除光阻204的基板200。在執行移除製程(例如,如下文所描述之移除製程)前預熱基板200可促進脫氣及/或自基板200移除污染物。在一些實施例中,可在與移除製程所使用之相同腔室內預熱基板200。或者,在一些實施例中,可使用與移除製程所使用之彼腔室不同的預熱腔室(諸如, 下文相對於第3圖論述之預熱腔室350)。本發明人已觀察到,在與執行移除製程所使用之彼腔室不同的腔室內預熱基板200可減少或消除移除製程腔室內的殘餘製程副產物對基板造成污染的發生率及/或可減少或消除來自基板的材料對移除製程腔室造成污染的發生率。
預熱腔室可為適合於將基板200預熱至所欲溫度的任何類型腔室,(例如)諸如專用預熱腔室、退火腔室(例如,快速熱退火(rapid thermal annealing;RTA)腔室)、沉積腔室(例如,化學氣相沉積(chemical vapor deposition;CVD)腔室)或類似腔室。在一些實施例中,預熱腔室可為熱線處理腔室(例如,熱線化學氣相沉積(hot wire chemical vapor deposition;HWCVD)腔室或具有熱線源的其他適宜製程腔室),諸如下文將相對於第3圖及第4圖所描述之製程腔室。在一些實施例中,預熱腔室可為耦接至多腔室工具(例如,諸如群集工具或直列製程工具)的複數個腔室中之一者。
可將基板200預熱至適合於脫氣或自基板200移除污染物的任何溫度。舉例而言,在一些實施例中,可將基板200預熱至高達約500攝氏度之溫度。可經由任何適宜熱源預熱基板200,該等熱源例如腔室內安置的加熱燈或電阻加熱器、基板支撐件內嵌入的加熱器、熱線源之燈絲或類似者。在於熱線處理腔室內預熱基板200的實施例中,可將熱線源(例如,燈絲)加熱至約1000至約2500度之溫度以促進將基板200預熱至所欲溫度。可適當使用其他溫度用於基板及待移除之污染物。
在一些實施例中,在預熱基板的同時,可向預熱腔室提供含氫氣體。含氫氣體可基本由以下氣體中之一或更多者組成或可由以下氣體中之一或更多者組成:氫(H2)氣、氫(H2)氣與氮(N2)氣之混合物、氨(NH3)、過氧化氫(H2O2)或上述氣體之組合,可將該含氫氣體與稀釋氣體(諸如氦(He)、氬(Ar)或類似者中之一或更多者)混合。當提供時,含氫氣體可進一步促進脫氣及/或自基板200移除污染物。
參看第2A圖,基板200可為適合於半導體裝置製造的任何基板,(例如)諸如摻雜或未摻雜矽基板、第III-V族化合物基板、第II-VI族化合物基板、矽鍺(SiGe)基板、磊晶基板、絕緣體上矽(silicon-on-insulator;SOI)基板、上述之氧化物或類似者。在一些實施例中,基板200可包含安置於基板中或基板上的一或更多個層。舉例而言,在一些實施例中,基板200可包含內埋氧化層206,該內埋氧化層包含(例如)二氧化矽(SiO2)、氧化鋁(Al2O3)或類似者。在一些實施例中,可在基板200與光阻204之間安置待經由光阻204圖案化之層202。或者或組合地,在一些實施例中,可在基板200中或基板200上及/或安置於基板中或基板上的一或更多個層中之一或更多者中或一或更多者上形成一或更多個特徵結構(例如,通孔、溝槽、雙波紋結構或類似特徵結構)。在一些實施例中,一或更多個特徵結構可為高深寬比特徵結構(例如,高深寬比通孔)。如本文所使用,高深寬比特徵結構係具有長度與寬度之深寬比為至少4:1或在一些實施例中至少5:1之特徵結構。
在基板200上安置光阻204及該光阻可包含適合於提供模板以在下層(例如,層202)及/或基板200中形成一或更多個特徵結構208(例如,通孔、溝槽、雙波紋結構或類似特徵結構)的任何材料。舉例而言,在一些實施例中,光阻204可包含聚合物、有機化合物(例如,包含碳、氫及氧)、非晶碳(諸如先進圖案薄膜(Advanced Patterning Film;APF),可購自美國加州聖克拉拉市的應用材料公司)、三層抗蝕劑(例如,光阻層、富矽抗反射塗佈(anti-reflective coating;ARC)層及富碳ARC或底部ARC(bottom anti-reflective coating;BARC)層)、旋轉塗佈硬遮罩(spin-on hardmask;SOH)或類似者。光阻204亦可為正向光阻或負向光阻。光阻204亦可為DUV或EUV(深紫外線或遠紫外線)光阻。光阻204可由任何適宜製程形成。舉例而言,在一些實施例中,可經由圖案化蝕刻製程或旋轉塗佈製程形成光阻204。在一些實施例中,例如,在光阻204將用於界定先進或超小型節點裝置(例如,諸如在記憶體應用(諸如快閃記憶體裝置、DRAM或類似者)中的約40奈米、20奈米或更小節點)的情況下,可經由隔塊遮罩圖案化技術(諸如自對準雙圖案化製程(self-aligned double patterning process;SADP))形成光阻204。
在一些實施例中,層202可為適合於半導體裝置製造的任何類型層(例如,遮罩層、硬遮罩層或類似層)。在層202為硬遮罩層的實施例中,層202可包含氧化物(諸如二氧化矽(SiO2)、氮氧化矽(SiON)或類似者)或氮化物(諸如氮化鈦(TiN)、氮化矽(SiN)或類似者)、矽化物(矽化鈦(TiSi)、 矽化鎳(NiSi)或類似者)或矽酸鹽(諸如矽酸鋁(AlSiO)、矽酸鋯(ZrSiO)、矽酸鉿(HfSiO)或類似者)中之至少一者。在一些實施例中,可在層202中形成一或更多個特徵,(例如)諸如在層202中經由光阻204形成特徵208,諸如第2A圖所示。
若在獨立腔室內預熱基板200,則將基板200移動至清洗腔室用於清洗。清洗腔室可為適合於執行製程之具有複數個燈絲的任何類型腔室。舉例而言,在一些實施例中,清洗腔室可為熱線處理腔室(例如,熱線化學氣相沉積(HWCVD)腔室或具有熱線源的其他適宜製程腔室),(例如)諸如下文描述之製程腔室。本發明人已觀察到,藉由使用具有熱線源的製程腔室可產生與半導體工業中用於產生氫原子之習知方法或系統(例如,諸如射頻及/或直流電漿或電感耦合電漿系統)相比更高密度之氫原子群(例如,諸如高出1.3至約3倍)。
接著,在104處,可向具有複數個燈絲的製程腔室(例如,第一製程腔室)提供含氫氣體。在一些實施例中,具有複數個燈絲的製程腔室可為上文所描述之清洗腔室,或或者為獨立腔室。在製程腔室為獨立腔室的實施例中,在分解含氫氣體後(下文將描述),可又向清洗腔室提供所得氫原子。
含氫氣體可包含適合於分解時提供高密度氫原子的任何一種氣體或多種氣體。舉例而言,在一些實施例中,含氫氣體可包含或可基本由以下氣體組成或可由以下氣體組 成:氫(H2)氣、氫(H2)氣與氮(N2)氣之混合物、氨(NH3)、過氧化氫(H2O2)、上述氣體之組合或類似者。在一些實施例中,含氫預處理氣體可進一步包含稀釋氣體,(例如)諸如氦(He)、氬(Ar)或類似者中之一或更多者。可以適合於提供所需氫原子量以自基板200移除光阻204的任何流動速率提供含氫氣體及可根據基板200及/或製程腔室大小調整含氫氣體之流動速率。舉例而言,在基板為300毫米直徑半導體晶圓的一些實施例中,可以至多約10,000sccm之流動速率提供含氫氣體,或在一些實施例中可以約200sccm至約1000sccm之流動速率提供含氫氣體。
接著,在106處,使電流流動穿過安置於製程腔室內的複數個燈絲以將複數個燈絲之溫度升高至第一溫度,該第一溫度足以至少部分地分解含氫氣體。可在預熱基板(上文在102處所描述)及/或向製程腔室提供含氫氣體(上文在104處所描述)之前、同時及/或之後使電流流動穿過複數個燈絲。在一些實施例中,可至少在提供含氫氣體前將複數個燈絲加熱至第一溫度。在一些實施例中,將複數個燈絲加熱至第一溫度可自複數個燈絲減少或消除污染物,從而減少或消除顆粒形成。另外,預處理可消除雜質,從而增加穩定性及/或可靠性,及延長複數個燈絲之可用壽命。複數個燈絲可為任何適宜類型製程腔室內安置的任何適宜類型燈絲,(例如)諸如下文相對於第3圖及第4圖所描述之製程腔室內安置的複數個燈絲。
第一溫度可為適合於實現分解含氫氣體以提供所欲 氫原子密度及以促進自基板200移除光阻204的任何溫度,如下文所描述。舉例而言,在一些實施例中,第一溫度可為至多約攝氏2000度,或在一些實施例中,第一溫度可為約攝氏1200度至約攝氏2000度。可適當使用其他製程可相容溫度用於基板及待移除之光阻。
接著,在108處,藉由將基板200曝露給氫原子自基板200移除光阻204,該等氫原子由分解含氫氣體長達一段時間形成。氫原子之高反應性特性促進移除光阻204,從而自基板200移除光阻,如第2B圖所示。本發明人已觀察到,藉由使用氫原子移除光阻與習知光阻移除製程(諸如使用電漿及/或濕式清洗化學品的製程)相比可完全移除及均勻移除光阻而不留下任何殘餘物或不損壞或氧化基板200之表面。此外,使用氫原子允許完全移除習知光阻製程不足以移除之應用(例如,較小裝置節點(例如,小於40奈米,諸如20n奈米或更小裝置節點)應用)中的光阻。另外,本發明人亦已觀察到,使用氫基移除光阻,光阻可在移除期間使光阻材料揮發,從而不留下殘餘物,因此提供乾式光阻移除製程。
該時間段可為促進將光阻204移除至滿意程度(例如,完全移除、實質移除或類似者)所需要的任何時間量及可根據光阻204之成分、基板200大小或類似者改變時間段。舉例而言,在一些實施例中,可將基板200曝露給氫原子長達約60至約600秒之時間段。在任何上述實施例中,第一溫度或時間段中之至少一者可取決於用於製造燈絲的材料及/或製程腔室內複數個燈絲之配置。
在一些實施例中,在製程腔室內的複數個燈絲下安置基板200及將基板直接曝露給該等燈絲。或者,在一些實施例中,可將基板200與複數個燈絲分離。舉例而言,在一些實施例中,可在複數個燈絲與基板200之間安置具有複數個孔的平板(例如,氣體分配平板),(例如)如下文相對於第3圖及第4圖中的平板342所描述。當存在時,平板可進一步允許安置有複數個燈絲的腔室之部分及安置有基板200的腔室之部分的獨立溫度控制,從而允許將複數個燈絲及基板之各者維持在不同溫度,如下文所描述。在另一實例中,在一些實施例中,可在具有複數個加熱燈絲或電線的製程腔室(例如,熱線處理腔室)內遠端形成氫原子及將氫原子提供至安置有基板200的獨立製程腔室(例如,清洗腔室)。
可在基板支撐件上(例如,下文相對於第3圖所描述之基板支撐件328)安置基板200位於熱線源下方或平板342下方,該基板支撐件可處於靜止位置或在一些實施例中可隨平板342下方傳遞基板200而移動以便動態清洗。
除上述外,可使用額外製程參數促進自基板200移除光阻204。舉例而言,本發明人已觀察到,可藉由含有基板200的製程腔室(例如,製程腔室或獨立清洗腔室)內的壓力控制所產生之氫原子之密度。因此,在一些實施例中,可將製程腔室維持在小於約10-9毫托(例如,超高真空)至約10托之壓力下。另外,可將基板200維持在適合於促進清洗基板200之結構的任何溫度(例如,約攝氏10度至約攝氏500度)。
可經由任何適宜加熱機制或熱源將基板200維持在前述溫度,該加熱機制或熱源(例如)諸如電阻加熱器(例如,基板支撐件內嵌入式加熱器)、加熱燈或類似者。另外,可經由適合於提供溫度之精確量測的任何機制監測溫度。舉例而言,在一些實施例中,可經由一或更多個熱電偶、高溫計、上述者之組合或類似者直接監測溫度。或者或組合地,在一些實施例中,可經由向加熱機制提供的功率與所得溫度之間的已知關聯估計溫度。本發明人已觀察到,將基板200維持在此類溫度提供了額外能量至製程,該額外能量可促進更完全分解含氫氣體以形成氫原子,從而增加清洗製程之產量及均勻性。
在108處自基板200移除光阻204後,方法100大體結束及基板200可行進用於進一步處理。在一些實施例中,可在基板200上執行額外製程,諸如額外層沉積、蝕刻、退火或類似製程。可在與上文所描述之製程中所使用的製程腔室相同或不同的製程腔室內執行額外製程。
第3圖描述根據本發明之實施例之處理系統300之側面示意圖。在一些實施例中,系統300包括製程腔室301(例如,第一製程腔室)、清洗腔室303及視情況預熱腔室350。製程腔室301可為安置有複數個燈絲的任何類型製程腔室,(例如)諸如熱線處理腔室(例如,熱線化學氣相沉積(HWCVD)腔室或具有熱線源的其他適宜腔室)。製程腔室301大體包含腔室主體302,該腔室主體具有安置有氫原子源348的內部處理容積304。配置氫原子源348以在操作期間向基板 330(例如,如上文所描述之基板)之表面提供氫原子。氫原子源包括耦接至電源313的複數個燈絲(電線)311,該電源用於提供電流以將複數個燈絲加熱至一溫度,該溫度足以自(例如)氫氣源346提供之氫氣產生氫原子。
複數個燈絲(電線)311可為獨立電線或可為跨內部處理容積304來迴路由的單個電線。電線311可具有任何適宜導電材料,(例如)諸如鎢、鉭、銥、鎳鉻、鈀或類似者。電線311可包含適合於在製程腔室301內提供氫原子之所欲密度的任何厚度及/或密度。舉例而言,在一些實施例中,每個電線311可具有約0.5毫米至約10毫米之直徑。另外,在一些實施例中,每個電線之密度可取決於應用(例如,基板成分、待移除之材料或類似者)而變化。在一些實施例中,藉由支撐結構在適當位置處夾持每個電線311以當被加熱至高溫時保持電線牢固及以向電線提供電氣接觸。在一些實施例中,可根據特定應用改變每個電線311之間的距離(亦即,電線至電線距離336)以在製程腔室301內提供氫原子之所欲密度。舉例而言,在一些實施例中,電線至電線距離336可為約5毫米至約80毫米。
將電源313耦接至電線311以提供電流加熱電線311。可在(例如)清洗腔室303內安置的基板支撐件328上安置基板330位於熱線源(例如,電線311)下方。基板支撐件328可為靜止的以便靜態清洗,或可隨熱線源下方傳遞基板330而移動(如箭頭354所示)以便動態清洗。在一些實施例中,可改變每個電線311與基板330之間的距離(亦即, 電線至基板距離340)以促進正在製程腔室301內執行之特定製程(例如,上文所描述之本發明方法100)。舉例而言,在一些實施例中,電線至基板距離340可為約10毫米至約300毫米。
腔室主體302進一步包括:一或更多個氣體入口(圖示一個氣體入口332),將該等氣體入口耦接至氫氣源346以提供清洗氣體;及一或更多個出口(圖示兩個出口334),將該等出口耦接至真空泵以維持製程腔室301內的適宜操作壓力及以移除過量製程氣體及/或製程副產物。氣體入口332可饋送至噴淋頭333(如圖所示)中或其他適宜氣體分配元件中以在電線311上方均勻分配或視需要分配氣體。
在一些實施例中,可經由氣體分配裝置341將基板330與熱線源(例如,電線311)分離,該氣體分配裝置(例如)諸如具有複數個通孔344的平板342,該平板經配置以用所欲方式將氣體(例如,上文所描述之氫原子)分配至基板330。舉例而言,複數個通孔344之通孔數目、圖案及尺寸可根據特定應用變化。舉例而言,在一些實施例中,可配置複數個通孔344以使得平板342可具有約10%至約50%的開放區域。在一些實施例中,複數個通孔中之各者可具有約1毫米至約30毫米之直徑。在一些實施例中,當存在時,平板342可在發生電線311之機械故障的情況下防止電線311中之一或更多者接觸基板330。在一些實施例中,自氣體分配裝置341至基板330的距離331可為適合於向基板330提供氫原子之所欲密度的任何距離。舉例而言,在一些實施例中,氣體 分配裝置341至基板之距離可為約10毫米至約200毫米。
清洗腔室303大體包含腔室主體305,該腔室主體界定內容積307。可在內容積307內安置基板支撐件328。在一些實施例中,清洗腔室303可包含一或更多個加熱器(未圖示)以促進加熱基板。當存在時,安置於清洗腔室303內的一或更多個加熱器可促進預熱基板,(例如)諸如上文所描述。在一些實施例中,可提供一或更多個屏蔽320以最小化材料在腔室主體305之內表面上的不當沉積。屏蔽320及腔室襯墊322大體保護腔室主體305之內表面免遭因清洗製程及/或製程氣體流入腔室內而不當收集之沉積材料。屏蔽320及腔室襯墊322可為可移除、可替換及/或可清洗。可配置屏蔽320及腔室襯墊322以覆蓋本將被塗佈之腔室主體305之每一區域,該等區域包括(但不限於)電線311週圍及塗佈隔室之所有壁上。通常,屏蔽320及腔室襯墊322可由鋁(Al)製成及可具有粗糙表面以提高沉積材料之黏著性(以防止沉積材料剝落)。可用任何適宜方式在製程腔室之所欲區域(諸如熱線源週圍)中安裝屏蔽320及腔室襯墊322。在一些實施例中,可移除源、屏蔽及襯墊以便藉由打開製程腔室301之上部分進行維護及清洗。舉例而言,在一些實施例中,可將製程腔室301之蓋或頂板沿凸緣338耦接至腔室主體302,此舉支撐蓋及提供一表面將蓋緊固至製程腔室301之主體上。
在一些實施例中,可提供預熱腔室350以預熱基板。預熱腔室可為具有熱源352的任何適宜腔室,該熱源用於向安置於預熱腔室350中的基板330提供熱量。可將預熱 腔室350直接耦接至製程腔室301(例如)作為直列基板處理工具的一部分,或可將預熱腔室經由一或更多個介入腔室(諸如群集工具之傳送腔室)耦接至製程腔室301。在由D.Haas等人於2011年5月5日公開之美國專利申請公開案第2011/0104848A1號(現為2012年2月21日頒予之美國專利案第8,117,987號)中描述適宜直列基板處理工具之實例。
可將控制器306耦接至(諸如製程腔室301、清洗腔室303或預熱腔室350中的)系統300之各種部件以控制該等部件之操作。儘管示意性圖示將控制器耦接至系統300,但是可將控制器可操作地連接至可藉由控制器控制的任何部件,諸如電源313、耦接至入口332的氣體供應(未圖示)、耦接至出口334的真空泵及/或節流閥(未圖示)、基板支撐件328及類似部件,以便根據本文所揭示之方法控制清洗製程。控制器306大體包含中央處理單元(central processing unit;CPU)308、記憶體312及用於CPU 308的支援電路310。控制器306可直接控制系統300或經由與特定支撐系統部件關聯的其他電腦或控制器(未圖示)控制該系統。控制器306可為可在用於控制各種腔室及子處理器的工業設置中所使用的任何形式之通用電腦處理器之一。CPU 308之記憶體或電腦可讀取媒體312可為可易於取得之記憶體中之一或更多者,該等記憶體諸如隨機存取記憶體(random access memory;RAM)、唯讀記憶體(read only memory;ROM)、軟碟、硬碟、快閃記憶體或任何其他形式之本端或遠端數位儲存器。將支援電路310耦接至CPU 308,用於以習知方式支撐該處理器。 該等電路包括快取記憶體、電力供應、時脈電路、輸入/輸出電路系統及子系統以及類似者。可在記憶體312中將本文所描述之本發明方法儲存為軟體常式314,該等軟體常式可經執行或調用將控制器轉為專用控制器以用本文所描述之方式控制製程腔室301之操作。亦可藉由第二CPU(未圖示)儲存及/或執行軟體常式,該第二CPU遠離正藉由CPU 308控制之硬體定位。
在一些實施例中,可將製程腔室301及清洗腔室303彼此耦接或彼此整體構造以形成整體製程腔室(例如,諸如第3圖所示)。或者,在一些實施例中,製程腔室301及清洗腔室303可為獨立腔室,諸如第4圖所示。在此類實施例中,可藉由電線311遠端加熱製程氣體(例如,含氫氣體)及可經由(例如)管道402向清洗腔室提供所得氫原子。在一些實施例中,管道402可向安置於氣體分配裝置341上方的空腔或氣室404提供氫原子及又經由複數個通孔344分配至清洗腔室303之內容積307中。
因此,本文提供用於自基板移除光阻之方法。在至少一些實施例中,本文所描述之本發明方法與習知清洗製程(例如,使用電漿、高溫處理、濕式清洗製程或基於氟的化學品中之一或更多者)相比在有利地促進對基板或基板上形成之氧化層產生較少損壞的同時自基板移除(例如,灰化或清洗)光阻。
儘管上文所述係針對本發明之實施例,但是可在不脫離本發明之基本範疇的情況下設計出本發明之其他及進一 步實施例。
100‧‧‧方法
102‧‧‧步驟
104‧‧‧步驟
106‧‧‧步驟
108‧‧‧步驟

Claims (15)

  1. 一種自一基板移除光阻之方法,該方法包含以下步驟:向具有複數個燈絲的一第一製程腔室提供一含氫氣體;使一電流流動穿過該等複數個燈絲以將該等複數個燈絲之一溫度升高至一第一溫度,該第一溫度足以分解該含氫氣體中的至少一部分以形成氫原子;以及藉由將該光阻曝露給由分解該含氫氣體形成的氫原子自該基板移除一光阻。
  2. 如請求項1所述之方法,其中該含氫氣體包含氫(H2)、氫(H2)與氮(N2),或氨(NH3)中之至少一者。
  3. 如請求項1至2中任一項所述之方法,其中在該第一製程腔室內自該基板移除該光阻。
  4. 如請求項3所述之方法,該方法進一步包含以下步驟:在自該基板移除該光阻前,在與該第一製程腔室不同的一預熱腔室內預熱該基板。
  5. 如請求項3所述之方法,該方法進一步包含以下步驟:在自該基板移除該光阻前,在該第一製程腔室內預熱該基板。
  6. 如請求項1至2中任一項所述之方法,其中在與該第一製程腔室不同的一清洗腔室內安置該基板,及其中向該清洗腔室提供由該第一製程腔室內分解該含氫氣體形成的該等氫原子以自該基板移除該光阻。
  7. 如請求項第6項所述之方法,該方法進一步包含以下步驟:在自該基板移除該光阻前,在與該清洗腔室不同的一預熱腔室內預熱該基板。
  8. 如請求項6所述之方法,該方法進一步包含以下步驟:在自該基板移除該光阻前,在該清洗腔室內預熱該基板。
  9. 如請求項1至2中任一項所述之方法,其中該製程腔室為一熱線處理腔室。
  10. 如請求項1至2中任一項所述之方法,其中該第一溫度為約1200攝氏度至約2000攝氏度。
  11. 如請求項1至2中任一項所述之方法,其中將該光阻曝露給氫原子長達約60至約600秒之一時間段。
  12. 如請求項1至2中任一項所述之方法,其中以約200sccm至約1000sccm之一流動速率向該第一製程腔室提供該含氫氣體。
  13. 如請求項1至2中任一項所述之方法,該方法進一步包含以下步驟:與該含氫氣體一起向該第一製程腔室提供一稀釋氣體。
  14. 如請求項13所述之方法,其中該稀釋氣體為一惰性氣體。
  15. 如請求項1至2中任一項所述之方法,其中該第一製程腔室內的該壓力為約10-9毫托至約10托。
TW103108190A 2013-03-12 2014-03-10 用氫原子自基板移除光阻之方法 TW201443978A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201361778372P 2013-03-12 2013-03-12

Publications (1)

Publication Number Publication Date
TW201443978A true TW201443978A (zh) 2014-11-16

Family

ID=51658885

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103108190A TW201443978A (zh) 2013-03-12 2014-03-10 用氫原子自基板移除光阻之方法

Country Status (2)

Country Link
TW (1) TW201443978A (zh)
WO (1) WO2014164493A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114815532B (zh) * 2022-04-19 2023-11-07 度亘激光技术(苏州)有限公司 光刻胶去除方法及半导体器件制造方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3803523B2 (ja) * 1999-12-28 2006-08-02 株式会社東芝 ドライエッチング方法及び半導体装置の製造方法
US20070089761A1 (en) * 2005-10-21 2007-04-26 Souvik Banerjee Non-plasma method of removing photoresist from a substrate
US20070227556A1 (en) * 2006-04-04 2007-10-04 Bergman Eric J Methods for removing photoresist
JP2010258047A (ja) * 2009-04-21 2010-11-11 Tohoku Univ レジスト膜除去装置及びレジス膜除去方法
US20110217848A1 (en) * 2010-03-03 2011-09-08 Bergman Eric J Photoresist removing processor and methods

Also Published As

Publication number Publication date
WO2014164493A1 (en) 2014-10-09

Similar Documents

Publication Publication Date Title
TWI783121B (zh) 半導體製程中之間隔物限定的直接圖案化方法
TWI776792B (zh) 硫族材料之封裝方法以及記憶體裝置
KR102695879B1 (ko) 포토레지스트 패터닝 스컴 (scum) 의 제거를 위한 원자 층 세정
JP5925802B2 (ja) 2段階での均一なドライエッチング
TWI695903B (zh) 經由原子層沉積(ald)循環之選擇性沉積金屬矽化物的方法
JP2019533910A (ja) 高アスペクト比の構造体のための除去方法
KR102419980B1 (ko) 금속 실리사이드 배선 나노와이어 구조를 형성하기 위한 방법들
TW201837979A (zh) 半導體處理裝置
JP2022551922A (ja) 間隙充填堆積プロセス
US20150311061A1 (en) Methods and apparatus for cleaning substrate surfaces with atomic hydrogen
TW201626451A (zh) 高深寬比結構中的接觸窗清洗
TW201330085A (zh) 使用水蒸氣處理將材料層從基材移除的方法
US9653311B1 (en) 3D NAND staircase CD fabrication utilizing ruthenium material
US9305796B2 (en) Methods for etching silicon using hydrogen radicals in a hot wire chemical vapor deposition chamber
JP2022513260A (ja) 3dnand応用のためのメモリセルの製造
CN106558474B (zh) 通过约束来进行的硅化物相控制
TWI608524B (zh) 使用熱線源來處理置於基材上之含鍺材料、含iii-v族化合物材料、或含ii-vi族化合物材料的方法及設備
TW201430925A (zh) 利用原子氫清潔基板結構的方法與設備
TW201443978A (zh) 用氫原子自基板移除光阻之方法
TWI768564B (zh) 用於蝕刻硬體之基於氫電漿清洗處理
TW201903966A (zh) 自對準通孔處理流程
TW202244981A (zh) 用於移除含氮化物膜的系統及方法
US10256112B1 (en) Selective tungsten removal
TWI778048B (zh) 形成半導體結構的方法
TW201903834A (zh) 自對準觸點與閘極處理流程