TW201443969A - 用於精簡統計資料之統計數字的計算 - Google Patents

用於精簡統計資料之統計數字的計算 Download PDF

Info

Publication number
TW201443969A
TW201443969A TW102146191A TW102146191A TW201443969A TW 201443969 A TW201443969 A TW 201443969A TW 102146191 A TW102146191 A TW 102146191A TW 102146191 A TW102146191 A TW 102146191A TW 201443969 A TW201443969 A TW 201443969A
Authority
TW
Taiwan
Prior art keywords
values
variable
value
module
generator
Prior art date
Application number
TW102146191A
Other languages
English (en)
Other versions
TWI613698B (zh
Inventor
Andrew S Fong
John C Valcore Jr
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW201443969A publication Critical patent/TW201443969A/zh
Application granted granted Critical
Publication of TWI613698B publication Critical patent/TWI613698B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H2242/00Auxiliary systems
    • H05H2242/20Power circuits
    • H05H2242/26Matching networks

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)

Abstract

本發明敘述用於精簡統計資料之系統及方法。該方法包括:自射頻(RF)系統接收變數;將該變數傳遞通過RF系統之模型;以及針對該變數來計算模型之輸出,以產生總數。該方法更包括:判斷總數是否滿足總數臨界值;在確定總數滿足總數臨界值之後,於模型之輸出處產生該變數的統計值;以及將統計值傳送到RF系統,以調整該變數。

Description

用於精簡統計資料之統計數字的計算
本文之實施例涉及在電漿系統中執行統計資料判斷及統計值之使用。
在電漿系統中,射頻(RF)信號係由產生器所產生。將信號傳至電漿反應器,以在電漿反應器內產生電漿。形成在電漿反應器中之電漿係用於各種應用,例如:清理晶圓、沉積材料在晶圓上、蝕刻晶圓等等。
期望能控制電漿的特性以控制這些應用。例如,期望能控制電漿均勻性以達到一蝕刻速率。如另一範例,期望能控制電漿的功率以達到一沉積速率。
為控制特性,故使用電漿系統中之感測器來測量此特性。
本揭露內容中所述之實施例正是在此情況下產生。
本揭露內容之實施例提供了用於產生統計值以降低有關電漿系統內之模型的資料量之設備、方法及電腦程式。應瞭解到該等實施例可以許多方式來實現,例如:製程、設備、系統、裝置、或電腦可讀媒體上之方法。以下敘述數個實施例。
在一些實施例中,統計值係用以控制電漿腔室或用以產生RF信號。例如,由變數值產生統計值並判斷此統計值是否在一預定範圍(pre-determined range)內,以取代分析所有在電腦產生模型 (computer-generated model)之輸出處的變數值以控制電漿腔室。在確定統計值是在預定範圍內之後,則電漿腔室係未受到控制,例如供應至電漿腔室之RF信號未受修正等等。另一方面,在確定統計值是在預定範圍外之後,則電漿腔室係利用統計值而受到控制,例如基於統計值來產生供應至電漿腔室之RF信號等等。
在各種實施例中,方法包括:自射頻(RF)系統接收變數;將該變數傳遞通過RF系統之模型;以及針對該變數來計算模型之輸出,以產生總數。該方法更包括:判斷總數是否滿足總數臨界值;在確定總數滿足總數臨界值之後,產生於模型之輸出處之該變數的統計值;以及將統計值傳送到RF系統,以調整該變數。
在各種實施例中,方法包括自射頻(RF)產生器接收有關變數的資料。RF產生器係配置成產生欲經由阻抗匹配電路而供應至電漿腔室之RF信號。變數係有關RF系統,該RF系統包括RF產生器、阻抗匹配電路、及電漿腔室。該方法更包括:基於所接收之資料而產生在電腦產生模型之輸出處的數值;計算輸出自電腦產生模型的數值之數量;判斷此數量是否超過總數臨界值;由輸出自電腦產生模型的數值來產生統計值,以回應確定此數量超過總數臨界值;以及將統計值傳送到RF產生器,以調整由RF產生器所產生之RF信號。
在一些實施例中,方法包括:自射頻(RF)產生器接收有關變數的資料。RF產生器係用以產生欲經由阻抗匹配電路而供應至電漿腔室之RF信號。變數係有關RF系統,該RF系統包括RF產生器、阻抗匹配電路、及電漿腔室。該方法包括:基於所接收之資料而在電腦產生模型之輸出處產生數值;計算輸出自電腦產生模型的數值之數量;判斷此數量是否超過總數臨界值;由輸出自電腦產生模型的數值來產生統計值,以回應確定此數量超過總數臨界值;判斷統計值是否在預定範圍外;將統計值調整成在預定範圍內,以回應確定統計值是在預定範圍外;以及將調整過之統計值傳送到RF產生器以控制RF產生器,以便調整由RF產生器所產生之RF信號。
在一些實施例中,方法包括:自射頻(RF)產生器接收有關變數的資料。RF產生器係用以產生欲經由阻抗匹配電路而供應至電漿腔室之RF信號。變數係有關RF系統,該RF系統包括RF產生器、阻抗匹配電路、 及電漿腔室。該方法更包括:基於所接收之資料而在電腦產生模型之輸出處產生數值;計算輸出自電腦產生模型的數值之數量;判斷此數量是否超過總數臨界值;以及由輸出自電腦產生模型的數值來產生統計值,以回應確定此數量超過總數臨界值。該方法包括:判斷統計值是否在預定限度(pre-determined extent)外;產生錯誤之指示,以回應確定統計值是在預定限度外;以及將錯誤指示傳送到RF產生器。
本揭露內容中所述之一或更多實施例的一些優點包括使用統計值來取代在電腦產生模型之輸出處的所有變數值,以控制電漿腔室。例如,判斷一統計值是否在預定範圍內,以取代判斷這些數值是否在預定範圍內。在確定統計值是在預定範圍內之後,不做改變以進一步控制電漿腔室。另一方面,在確定統計值是在預定範圍外之後,則針對統計值做出修改以控制電漿腔室,以達到修改之統計值。
使用統計值來取代在電腦產生模型之輸出處的所有數值節省了有關處理這些數值的處理成本。例如,一些處理器(例如:一、二等等)便足以產生統計值並基於此統計值來控制電漿腔室,以取代使用一些伺服器(例如伺服器場等等)來處理這些數值以產生一統計值並基於這些數值來控制電漿腔室。
本揭露內容中所述之一或更多實施例的其他優點包括:在產生變數的統計值之後,精簡所接收之資料。精簡資料使主機控制器的儲存裝置內產生空位置。空位置係用以接收與電漿系統相關之變數的更多資料。
其他實施態樣由以下詳細描述配合附圖而將變得顯而易見。
100‧‧‧電漿系統
102‧‧‧通信通道
104‧‧‧RF信號
106‧‧‧阻抗匹配電路
110‧‧‧變數接收器
111‧‧‧電漿腔室
112‧‧‧資料量計算器
113‧‧‧模型
114‧‧‧界限交越判斷模組
115‧‧‧模型數值產生器
116‧‧‧統計判斷模組
120‧‧‧資料刪除模組
124‧‧‧RF信號
130‧‧‧RF纜線
132‧‧‧RF傳輸線
140‧‧‧主機系統
144‧‧‧纜線
150‧‧‧主機控制器
151‧‧‧電漿系統
152‧‧‧夾盤
154‧‧‧上電極
155‧‧‧RF信號
156‧‧‧下表面
158‧‧‧上表面
160‧‧‧工作件
170‧‧‧變數請求器
172‧‧‧統計資料精簡模組
174‧‧‧發送器
180‧‧‧開關模組
184‧‧‧通信通道
186‧‧‧RF供應器
190‧‧‧主機系統
191‧‧‧通信方塊
192‧‧‧主機控制器
196‧‧‧偏壓補償模組
197‧‧‧RF控制方塊
198‧‧‧事件偵測模組
202‧‧‧通信通道
400‧‧‧主機系統
402‧‧‧場式可編程閘陣列
403‧‧‧場式可編程閘陣列
404‧‧‧微處理器
406‧‧‧多數串列平行介面
408‧‧‧數位信號處理器
410‧‧‧高速埠
412‧‧‧高速匯流排
415‧‧‧高速埠
416‧‧‧SDD邏輯方塊
418‧‧‧偏壓補償模組
420‧‧‧事件/錯誤偵測模組
422‧‧‧VME通信方塊
450‧‧‧主機系統
452‧‧‧微處理器
454‧‧‧變數模組
500‧‧‧儲存裝置
502、504、506、508‧‧‧記憶體陣列
510、550‧‧‧儲存裝置
552、554、556‧‧‧記憶體陣列
558、560、562‧‧‧記憶體位址
580、590、600‧‧‧SD模組
601‧‧‧系統
602‧‧‧移動變異數
604‧‧‧移動標準差
607‧‧‧記憶體陣列
700‧‧‧方法
702、704、706、708、710‧‧‧操作
藉由配合附圖參考以下敘述可最有效地瞭解實施例。
圖1係依據本揭露內容中所述之實施例之用以產生變數的統計值之電漿系統的方塊圖。
圖2係依據本揭露內容中所述之實施例之用以產生變數的統計值之另一電漿系統的示意圖。
圖3係依據本揭露內容中所述之實施例之圖1或圖2的電漿系 統之主機系統的示意圖。
圖4係依據本揭露內容中所述之實施例之圖1或圖2的電漿系統之另一主機系統的示意圖。
圖5係依據本揭露內容中所述之實施例之儲存裝置的示意圖,其用以說明利用指標來存取記憶體位置。
圖6係依據本揭露內容中所述之實施例之插入排序操作的示意圖。
圖7係依據本揭露內容中所述之實施例之記憶體陣列的示意圖,其用以說明合併排序操作。
圖8係依據本揭露內容中所述之實施例之儲存裝置的示意圖,其用以說明合併排序操作內的比較操作。
圖9係依據本揭露內容中所述之實施例之圖1或圖2的電漿系統之統計判斷(SD,statistical determination)模組的示意圖。
圖10係依據本揭露內容中所述之實施例之圖1或圖2的電漿系統之SD模組的示意圖。
圖11係依據本揭露內容所述之實施例之時間片段取樣法實施例的示意圖,其係用以補償偏壓及/或偵測電漿系統中的錯誤。
圖12係依據本揭露內容中所述之實施例之用以產生移動變異數的SD模組之方塊圖。
圖13係依據本揭露內容中所述之實施例之用以產生統計值的方法之流程圖。
以下實施例敘述了用以執行統計資料精簡的系統及方法。顯而易見地,該等實施例可在不具部份或所有這些具體細節的情況下加以實施。在其他情況下,為了不非必要地混淆該等實施例,故已不再詳細敘述熟知的製程操作。
圖1係用以產生變數的統計值之電漿系統100之實施例的方塊圖。電漿系統100包括主機系統140、x百萬赫茲(MHZ)射頻(RF)產生 器、y MHz RF產生器、以及z MHz RF產生器。各RF產生器包括一控制器。例如,x MHz RF產生器包括x控制器、y MHz RF產生器包括y控制器、以及z MHz RF產生器包括z控制器。z MHz、y MHz、或z MHz的例子包括2MHz、27MHz、以及60MHz。在一些實施例中,z MHz為2MHz、y MHz為27MHz、以及z MHz為60MHz。此外,主機系統140包括主機控制器150。
在一些實施例中,控制器包括處理器及儲存裝置。在數個實施例中,處理器為中央處理單元(CPU)、或微處理器、或特定應用積體電路(ASIC)、或可編程邏輯裝置(PLD)等等。儲存裝置的範例包括唯讀記憶體(ROM)、隨機存取記憶體(RAM)、或其組合。在各種實施例中,儲存裝置為快閃記憶體、或儲存碟片冗餘陣列(RAID)、或硬碟等等。
x控制器係經由纜線144耦合至主機系統140,纜線144包括串列連接、或平行連接、或平行/串列並行介面(PSPI,parallel serial parallel interface)。同樣地,y MHz RF產生器係經由纜線耦合至主機系統,以及z MHz RF產生器係經由纜線耦合至主機系統140。將RF產生器耦合至主機系統140之各纜線包括串列連接、或平行連接、或平行/串列並行介面(PSPI)。
電漿系統100更包括阻抗匹配電路106及電漿腔室111。阻抗匹配電路106係經由RF傳輸線132連接至電漿腔室111。在一些實施例中,RF傳輸線132的一部份包括被絕緣體圍繞之RF纜線(該絕緣體被RF通道(RF tunnel)所圍繞),並且通到夾盤152之RF傳輸線132的另一部份被RF圓筒(RF cylinder)所圍繞。
阻抗匹配電路使連接至阻抗匹配電路之負載的阻抗與連接至阻抗匹配電路之來源的阻抗相匹配。該來源提供RF能量至負載(其消耗RF能量)。來源的例子包括x、y、和z RF產生器其中之一或多者、以及將RF產生器耦合至阻抗匹配電路106的RF纜線其中之一或多者。在一些實施例中,來源包括電漿系統100的其他裝置(未顯示)(例如:用以將經由RF纜線所供應的RF信號進行濾波之濾波器等等),這些裝置係耦合在阻抗匹配電路106與x、y、和z MHz RF產生器其中的一或多者之間。負載的例子包括電漿腔室111及RF傳輸線132。負載的其他例子包括電漿系統100的其他裝置(未顯示)(例如:用以將經由RF纜線所供應的RF信號進行濾波之濾波器等等),這些裝置係耦合在阻抗匹配電路106與電漿腔室111之間。
電漿腔室111包括連接至RF傳輸線132之夾盤152,例如靜電夾盤(ESC)、磁性夾盤等等。電漿腔室111更包括面向夾盤152的上電極154。例如,上電極154的下表面156係位於夾盤152的上表面158對面、並面向夾盤152的上表面158。在各種實施例中,上電極154為接地。夾盤152包括由金屬(例如陽極處理之鋁、鋁合金等等)所製成的下電極。又,上電極154係由金屬(例如鋁、鋁合金等等)所製成。
將工作件160(例如:半導體晶圓、其上形成積體電路之半導體晶圓等等)設置在上表面158上,以便處理工作件160。處理工作件160的例子包括清理工作件160、或蝕刻工作件160、或在工作件160上沉積膜(例如氧化物膜等等)、或其組合等等。形成在工作件160上之積體電路係用於各種計算裝置,例如:行動電話、平板電腦、智慧型手機、電腦、筆記型電腦、網路設備等等。
在一些實施例中,電漿腔室111包括其他元件(未顯示),例如:圍繞上電極154的上介電環、圍繞上介電環的上電極延伸部、圍繞夾盤152的下介電環、圍繞夾盤152的下電極延伸部、上電漿排除區域(PEZ)環、下PEZ環等等。
在各種實施例中,上電極154包括耦合至中央氣體饋送器(例如氣體供應線路(未顯示)等等)的一或更多孔洞。中央氣體饋送器自氣體源(未顯示)接收一或更多處理氣體。處理氣體的例子包括含氧氣體,如O2。處理氣體的其他例子包括含氟氣體,例如四氟甲烷(CF4)、六氟化硫(SF6)、六氟乙烷(C2F6)等等。上電極154為接地。下電極152係經由阻抗匹配電路106耦合至x MHz RF產生器、經由阻抗匹配電路106耦合至y MHz RF產生器、以及經由阻抗匹配電路106耦合至z MHz RF產生器。
當將處理氣體供應到上電極154與夾盤152之間時、並且當x、y、及z MHz RF產生器其中之一或多者經由阻抗匹配電路106供應一或更多RF信號功率至夾盤152時,處理氣體被激發而在電漿腔室111內產生電漿。例如,x MHz產生器經由RF纜線130供應RF信號104至阻抗匹配電路106。阻抗匹配電路106修正從對應的x、y、及z RF產生器其中之一或多者所接收之一或更多RF信號以產生修正後的RF信號,並經由RF傳輸線132提供該修正後RF信號以激發處理氣體,從而在夾盤152與上電極154之間的空隙內產生 電漿。如另一範例,y MHz RF產生器經由RF纜線來供應RF信號,RF纜線將y MHz RF產生器耦合至阻抗匹配電路106,阻抗匹配電路106修正RF信號。在此範例中,修正後的RF信號進一步經由阻抗匹配電路106及RF傳輸線132而傳送到夾盤152以產生電漿。
在電漿系統100產生電漿的操作期間,各RF控制器從耦合至電漿系統100內之一處的感測器(未顯示)接收一變數的資料量。例如,x控制器從連接至RF纜線130的電壓及電流探測器接收變數值。如另一範例,y控制器從連接至RF纜線的電壓及電流探測器接收變數值,該RF纜線將y MHz RF產生器連接至阻抗匹配電路106。如另一範例,y控制器從連接至RF傳輸線132的電壓探測器接收變數值。如又另一範例,z控制器接收光學感測器的數值,該光學感測器係經由電漿腔室內的窗口而光學耦合至電漿。
變數的例子包括:在電漿系統100之一處的RF信號功率、或電漿功率、或RF信號頻率、或負載阻抗的實數部份、或負載阻抗的虛數部份、或該處的電壓大小、或該處的電流大小、或該處的複數電壓與複數電流間之相位、或該處的晶圓偏壓、或該處的離子能量、或該處的電漿電位、或該處的複數電流、或該處的複數電壓、或該處的負載阻抗、或其組合。
負載阻抗的例子包括電漿系統100的一或更多元件之阻抗。例如,負載阻抗為電漿系統100內之一處的阻抗。如另一範例,負載阻抗為下列中之一或多者的阻抗:RF纜線130、將y MHz RF產生器耦合至阻抗匹配電路106的RF纜線、將z MHz RF產生器耦合至阻抗匹配電路106的RF纜線、阻抗匹配電路106、RF傳輸線132、以及電漿腔室111。
在一些實施例中,電漿系統100內之一處包括:x MHz RF產生器的輸出處、或y MHz RF產生器的輸出處、或z MHz RF產生器的輸出處、或RF纜線130上的一處、或將y MHz RF產生器耦合至阻抗匹配電路106的RF纜線上之一處、或將z MHz RF產生器耦合至阻抗匹配電路106的RF纜線上之一處、或阻抗匹配電路106的輸入處、或阻抗匹配電路106的輸出處、或RF傳輸線132上之一處、或夾盤152之一處。
在各種實施例中,x MHz RF產生器的輸出係經由RF纜線130耦合至阻抗匹配電路106的輸入、y MHz RF產生器的輸出係經由RF纜線耦合至阻抗匹配電路106的輸入、以及z MHz RF產生器的輸出係經由RF纜線耦合 至阻抗匹配電路106的輸入。在數個實施例中,阻抗匹配電路106的輸出係經由RF傳輸線132耦合至夾盤152。
主機控制器150包括一或更多元件,例如:變數請求器170、變數接收器110、模型113、模型數值產生器115、資料量計算器112、界限交越判斷模組114、統計資料精簡(SDD,statistical data decimation)模組172、開關模組180、RF控制方塊197、以及發送器174。SDD模組172包括統計判斷(SD)模組116及資料刪除模組120。
在一些實施例中,例如於此所述之主機控制器的一或更多元件係實現為非暫時性電腦可讀媒體(例如儲存裝置等等)上的電腦程式。在各種實施例中,主機控制器的一或更多元件係實現為硬體,例如特定應用積體電路等等。舉例而言,開關模組180為電晶體或一組電晶體。在數個實施例中,例如於此所述之主機控制器的一或更多元件係實現為硬體及電腦程式的組合。
變數請求器170經由纜線144的通信通道向x控制器請求變數的資料。在一些實施例中,變數請求器170將變數接收器110的位址(例如:埠位址等等)傳送到x控制器,以允許x控制器將變數的資料傳送到變數接收器110。
在一些實施例中,主機控制器150不包括變數請求器170,而x控制器週期性地將變數的資料傳送到變數接收器110。在接收到請求之後,x控制器便將變數的資料經由纜線144的通信通道102傳送到變數接收器110。
在一些實施例中,變數請求器170及變數接收器110係實現成同一元件。
同樣地,在數個實施例中,變數接收器110從x控制器、y控制器、以及z控制器的組合接收變數的資料。
變數接收器110從x、y、以及z MHz RF產生器其中之一或多者接收變數的資料,並將資料傳送至模型113。模型113的例子包括:RF纜線模型、或阻抗匹配模型、或RF傳輸模型、或夾盤模型、或RF纜線模型及阻抗匹配模型的組合、或RF纜線模型及阻抗匹配模型及RF傳輸模型的組合、或RF纜線模型及阻抗匹配模型及RF傳輸模型及夾盤模型的組合等等。
電漿系統之元件的模型係元件的電腦產生模型。舉例而言, RF傳輸模型係RF傳輸線132(圖1)的電腦產生模型。如另一範例,RF傳輸模型包括電路,此電路包括RF傳輸線132之電性元件(例如電容或電感等等)。為了說明這一點,當RF傳輸線132包括具有電感值為L亨利(Henry)的電感和具有電容值為C法拉(Farad)的電容時,RF傳輸模型包括具有電感值為L亨利的電感和具有電容值為C法拉的電容。此外,在RF傳輸模型中,電路內的元件係以RF傳輸線132之電路的電性元件所連接之相同方式(例如:串聯、並聯等等)加以連接。例如,當一電感係與RF傳輸線132內之一電容並聯連接時,則RF傳輸模型包括與電感並聯之一電感連接器。
同樣地,阻抗匹配模型係基於阻抗匹配電路106而以類似由RF傳輸線132產生RF傳輸模型的方式來產生。此外,RF纜線模型係基於RF纜線(例如RF纜線130(圖2)等等)而以類似由RF傳輸線132產生RF傳輸模型的方式來產生。又,夾盤模型係基於夾盤152而以類似由RF傳輸線132產生RF傳輸模型的方式來產生。
在一些實施例中,模型113係由主機控制器的處理器所產生。
產生模型的範例係提供在申請於2013年1月31日、名稱為「Using Modeling to Determine Wafer Bias Associated with a Plasma System」之申請案第13/756390號,其係於此全部併入作為參考。
模型數值產生器115基於由變數接收器110所接收之數值和模型113的特徵(例如:電容、或電感、或阻抗、或複數電流、或複數電流等等)而在模型113的輸出處產生變數的數值。例如,模型數值產生器115將接收自x控制器的阻抗值傳遞通過RF纜線模型及阻抗匹配模型的元件,從而在阻抗匹配模型的輸出處產生一阻抗值。在一些實施例中,接收自x控制器的阻抗值係x MHz RF產生器之輸出處的阻抗值。如另一範例,模型數值產生器115將接收自y控制器的複數電流及電壓值傳遞經過RF纜線模型、阻抗匹配模型、及RF傳輸模型的元件,從而在RF傳輸模型的輸出處產生一複數電壓及電流值。如又另一範例,模型數值產生器115將接收自y控制器的功率值傳遞經過RF纜線模型、阻抗匹配模型、RF傳輸模型、及夾盤模型的元件,從而在夾盤模型的輸出處產生一複數電壓及電流。
在一些實施例中,當計算模型之元件的數值及特徵(例如:阻抗值、功率消耗值、電壓值、電流值等等)之定向總和(directional sum) 時,傳遞一變數之數值。定向總和之範例係提供在申請案第13/756390號之中。
在各種實施例中,模型數值產生器115由一或更多變數的數值產生一變數之數值。例如,模型數值產生器115由輸出處之複數電壓和電流的電壓大小、輸出處之複數電壓和電流的電流大小、以及輸出處之複數電壓和電流的功率大小而在模型113的輸出處產生晶圓偏壓值。產生晶圓偏壓的其他範例係提供在申請案第13/756390號之中。如另一範例,模型數值產生器115由輸出處之晶圓偏壓和輸出處之零至峰(zero-to-peak)電壓而在模型113的輸出處產生離子能量值。產生離子能量的其他範例係提供在申請於2013年3月15日、名稱為「Using Modeling to Determine Ion Energy Associated with a Plasma System」之申請案第61/799969號中,其係於此全部併入作為參考。
在一些實施例中,針對由變數接收器110自x、y、及z MHz RF產生器其中之一或多者所接收的各個數值來計算模型113之輸出處的數值。
將模型113之輸出處的變數資料從模型數值產生器115傳送到資料量計算器112。資料量計算器112計算自模型數值產生器115所接收之變數值的數量,並將總數傳送到界限交越判斷模組114。
在一些實施例中,資料量計算器112計算其不超過變數接收器110的最大儲存容量之變數值的數量。
界限交越判斷模組114判斷自資料量計算器112所接收之數值的數量是否大於預先儲存在界限交越判斷模組114中的臨界值。臨界值的例子包括1000個值、或10000個值、或100000個值等等。臨界值的其他例子包括500-1000個值、或1000-10000個值、或10000-100000個值、或100000-1000000個值、或1000000-10000000個值。
在確定數值的數量為大於臨界值之後,將一信號從界限交越判斷模組114傳送到SD模組116,以便開始由這些數值產生統計值。另一方面,在確定數值的數量為未超過臨界值之後,界限交越判斷模組114不傳送信號至SD模組116,且SD模組116不啟動由這些數值產生統計值。
在一些實施例中,臨界值係基於主機控制器150之儲存裝置的儲存容量而產生。舉例而言,當SD模組116包括二緩衝器(各自儲存一變 數的n個數值)時,臨界值為n個數值。當二緩衝器的第一個填滿時,SD模組116將資料從第一個緩衝器複製到二緩衝器的第二個,並開始由這些資料計算統計值。SD模組16基於第一緩衝器內的數值來計算統計值。在各種實施例中,第一緩衝器內的資料在複製之後便由模型數值產生器115所產生的資料所覆寫。
在數個實施例中,將比率計算器設在主機控制器150內,以取代資料量計算器112。比率計算器係實現為電腦程式、或硬體、或其組合。比率計算器計算在一時間窗內從模型數值產生器115所接收之數值的數量對在一時間窗內由主機控制器150之處理器所處理之數值的數量之比率。在這些實施例中,界限交越判斷模組114判斷計算後之比率是否大於預先儲存在界限交越判斷模組114之儲存裝置內的一界限。在確定計算後之比率為小於該界限之後,不由模型數值產生器115所產生之數值來產生統計值。另一方面,在確定計算後之比率為大於或等於該界限之後,由模型數值產生器115所產生之數值來產生統計值。
在一些實施例中,基於數個因素來判斷主機控制器150之處理器的處理速度(其等於在一時間窗內所處理之數值的數量),例如:存取及計算自模型數值產生器115所接收之數值的統計值之所需時間、或在處理器傳送欲產生一壓力的信號之後到電漿腔室111達到該壓力之所需時間、或感測及接收電漿腔室111內的壓力數值之所需時間、或在處理器傳送欲產生一溫度的信號之後到電漿腔室111達到該溫度之所需時間、或感測及接收電漿腔室111內的溫度數值之所需時間、或在處理器傳送欲產生上電極154與夾盤152間之空隙的信號之後到電漿腔室111產生該空隙之所需時間、或感測及接收電漿腔室111內的空隙數值之所需時間、或其組合。例如,主機控制器150之處理器等到壓力值被壓力感測器(未顯示)感測到且被處理器接收到才處理此壓力值。此等待時間降低了處理器的處理速度。如另一範例,主機控制器150之處理器等到處理器傳送欲達到一壓力及溫度之信號後且電漿腔室111內達到該壓力及溫度,才傳送欲改變上電極154與夾盤152間之空隙的信號。此等待時間亦降低處理器的處理速度。
SD模組116由變數的資料來確定統計值以回應自界限交越判斷模組114收到信號,其表示資料量計算器112從模型數值產生器115所接 收之變數的資料量超過臨界值。舉例而言,SD模組116應用統計操作以便由這些變數值產生統計值,例如:插入排序操作、或合併排序操作、或移動四分位距(IQR,interquartile range)計算操作、或四分位距計算操作、或最大值計算操作、或最小值計算操作、或平均數計算操作、或中位數值計算方法、或變異數值計算方法、或標準差值計算方法、或移動平均數計算方法、或移動中位數值計算方法、或移動變異數值計算方法、或移動標準差值計算方法、或眾數、或移動眾數、或其組合等等。
在產生統計值之後,SD模組116將開關模組180關閉以便將變數接收器110與資料刪除模組120耦合。當開關模組180關閉時,資料刪除模組120存取儲存在資料接收器110之儲存裝置內的資料並刪除(例如:抹除、重置等等)儲存在該儲存裝置內的資料,以允許變數接收器110從x、y、及z控制器其中之一或多者接收並儲存變數的額外資料。以此方式,降低了與實現用以儲存大量資料的大量變數接收器相關的成本。藉由刪除儲存在變數接收器110內的變數資料,變數接收器110便能多次使用以供儲存變數資料。
在一些實施例中,統計值係由SD模組116提供至RF控制方塊197。RF控制方塊197從一變數或從接收自SD模組116之另一變數的統計值來確定該變數的統計值。舉例而言,RF控制方塊197由接收自SD模組116之變數的統計值來確定功率的統計值及/或頻率的統計值。如另一範例,RF控制方塊197確定頻率的統計值與接收自SD模組116之頻率的統計值相同。如另一範例,RF控制方塊197接收在模型113輸出處之晶圓偏壓的統計值,並確定該輸出處之複數電壓和電流的電壓大小、該輸出處之複數電壓和電流的電流大小、以及該輸出處之複數電壓和電流的功率大小。在此範例中,該輸出處之複數電壓和電流的電壓大小、該輸出處之複數電壓和電流的電流大小、以及該輸出處之複數電壓和電流的功率大小滿足在該輸出處之晶圓偏壓的數值。如另一範例,RF控制方塊197接收在模型113輸出處之離子能量的統計值,並確定該輸出處之晶圓偏壓值及該輸出處之零至峰電壓值。在此範例中,晶圓偏壓值及零至峰電壓滿足離子能量的數值。RF控制方塊197將RF控制方塊197所確定之變數的統計值傳送到發送器174。
在不同實施例中,除了傳送(或不傳送)統計值到RF控制 方塊197以外,SD模組116將變數的統計值傳送到發送器174。
發送器174將接收自RF控制方塊197及/或SD模組116之變數的統計值經由對應的通信通道傳送到x、y、及z控制器其中之一或多者。舉例而言,發送器174將變數的統計值經由通信通道184傳送到x控制器、將變數的統計值經由通信通道傳送到y控制器、以及將變數的統計值經由通信通道傳送到z控制器。如另一範例,發送器174將由接收自x控制器的變數資料所產生之變數的統計值經由通信通道184傳送到x控制器。如又另一範例,發送器174將由接收自y控制器的變數資料所產生之變數的統計值經由耦合至y控制器的通信通道傳送到y控制器。
RF產生器的控制器自發送器174接收變數的統計值,並提供此統計值至RF產生器的RF供應器(例如RF供應器186等等)。RF供應器包括驅動器(例如:電晶體、一組電晶體等等),驅動器產生具有接收自發送器174之變數的統計值之RF信號(例如RF信號124等等)。RF信號被連接至驅動器的RF放大器放大,並經由耦合至RF放大器的RF纜線而傳送到阻抗匹配電路106。
阻抗匹配電路106使負載的阻抗與來源的阻抗相匹配以修正經由RF纜線(例如RF纜線130等等)自RF產生器所接收之RF信號而產生一RF信號,並且將修正後的RF信號經由RF傳輸線132傳送到夾盤152。當處理氣體被供應在電漿腔室111內、且修正後的RF信號被夾盤152接收時,電漿便在電漿腔室111內產生。在一些實施例中,當接收到修正後的RF信號前已產生電漿時,則在從阻抗匹配電路106接收到修正後的RF信號之後立即修正電漿之特性(例如:阻抗、功率、頻率等等)。
在一些實施例中,SD模組116由接收自x控制器、y控制器、及z控制器其中之一或多者的變數資料來確定統計值。
在一些實施例中,使用除了顯示在圖1以外的一些RF產生器。例如,電漿系統100包括二RF產生器、或四RF產生器。
應更注意到在一些實施例中,主機控制器150自一或更多感測器接收一或更多變數的數值,以取代自x、y、及/或z控制器接收這些數值。x、y、及/或z控制器不作為主機控制器150與一或更多感測器之間的媒介。
在各種實施例中,變數請求器170、變數接收器110、模型 113、模型數值產生器115、資料量計算器112、界限交越判斷模組114、統計判斷模組116、開關模組180、資料刪除模組120、RF控制方塊197、以及發送器174之每一者係實現為獨立處理器。例如,變數請求器170係實現為一處理器,以及資料量計算器112係實現為另一處理器。
在一些實施例中,變數請求器170、變數接收器110、模型113、模型數值產生器115、資料量計算器112、界限交越判斷模組114、統計判斷模組116、開關模組180、資料刪除模組120、RF控制方塊197、以及發送器174其中之一或多者係實現為一處理器,而任何其餘的變數請求器170、變數接收器110、模型113、模型數值產生器115、資料量計算器112、界限交越判斷模組114、統計判斷模組116、開關模組180、資料刪除模組120、RF控制方塊197、以及發送器174係實現為另一處理器。
在一些實施例中,提供RF功率給上電極154,以取代將上電極154接地。在不同實施例中,將夾盤152的下電極接地、且RF傳輸線提供RF功率至上電極154,以取代將上電極154接地。
在各種實施例中,統計值係儲存在SD模組116的儲存裝置中。SD模組116之儲存裝置的大小係小於變數接收器110之儲存裝置的大小。例如,SD模組116的儲存裝置包括單記憶體位置,而變數接收器110的儲存裝置包括多記憶體位置。如另一範例,SD模組116的儲存裝置包括較變數接收器110的儲存裝置之記憶體位置更少量的記憶體位置。
應注意到在一些實施例中,主機控制器150包括一數量(例如:一、二、三等等)之處理器,以便產生統計值及控制電漿腔室111,並且處理器的數量符合成本效益。例如,使用一處理器來產生統計值及控制電漿腔室111,以取代使用個別處理器或個別伺服器來控制電漿腔室111(例如:使用一處理器控制電漿腔室111內的溫度、使用另一處理器控制電漿腔室111內的空隙、使用另一處理器控制電漿腔室111內的壓力、使用又另一處理器控制電漿腔室111所接收之之信號的頻率、使用再另一處理器控制信號的功率、或其組合等等)。處理器基於統計值來控制電漿腔室111。
控制電漿腔室111的例子包括:修改由RF產生器所產生之RF信號的頻率、或修改RF信號的功率、或修改電漿腔室111內的溫度、或修改電漿腔室111內的空隙、或修改電漿腔室111內的壓力、或其組合。
在一些實施例中,主機控制器150之處理器控制氣體供應閥(未顯示),其幫助從貯氣器(未顯示)到上電極154的進氣口之氣體供應。例如,主機控制器150之處理器控制驅動器(例如:電晶體、一組電晶體等等),驅動器依一定量供應電流以開啟或關閉氣體供應閥,以便控制送到電漿腔室111的氣體(例如處理氣體等等)供應量。供應量之控制亦允許處理器能控制氣體供應至其中之電漿腔室111內的壓力。
在各種實施例中,使用馬達驅動螺旋機構(未顯示)將上電極154抬升或降下。主機控制器150之處理器經由驅動器(例如:電晶體、一組電晶體等等)來控制馬達驅動螺旋機構,以便將上電極154上下移動,從而控制(例如:改變、增大、減小等等)介於上電極154與夾盤152之間的空隙。
在數個實施例中,加熱器係包括在夾盤152內,且加熱器係由主機控制器150之處理器經由驅動器(例如:電晶體、一組電晶體等等)來控制,以便控制(例如:改變、升高、降低等等)電漿腔室111內的溫度。
在一些實施例中,熱傳遞機構(例如導管等等)係設置在電漿腔室111內,且冷卻液體的流量係由主機控制器150之處理器經由閥及驅動器(例如:電晶體、一組電晶體等等)來控制,以便控制電漿腔室111內的溫度。
圖2係用以產生變數的統計值之電漿系統151之實施例的示意圖。電漿系統151包括:電漿腔室111;阻抗匹配電路106;x、y、及z MHz RF產生器;以及主機系統190。主機系統190包括主機控制器192。
在一些實施例中,除了電漿系統151包括主機系統190取代主機系統140(圖1)以外,電漿系統151與電漿系統100(圖1)相同。舉例而言,除了電漿系統151包括主機控制器192取代主機控制器150(圖1)以外,電漿系統151結構上與電漿系統100(圖1)相同。
除了主機控制器192包括偏壓補償模組196、事件偵測模組198、以及通信方塊191以外,主機控制器192與主機控制器150(圖1)相同。RF控制方塊197係連接至偏壓補償模組196及事件偵測模組198。SD模組116係連接至偏壓補償模組196及事件偵測模組198。
偏壓補償模組196判斷接收自RF控制方塊197或接收自SD方 塊116之變數的統計值是否在預先儲存於偏壓補償模組196的儲存裝置中之預定範圍內。
在一些實施例中,偏壓補償模組196具有針對變數的多數統計值之多數預定範圍。例如,偏壓補償模組196的儲存裝置儲存了針對由接收自x控制器之變數資料所產生之變數的統計值之第一預定範圍。如另一範例,偏壓補償模組196的儲存裝置儲存了針對由接收自y控制器之變數資料所產生之變數的統計值之第二預定範圍。在許多實施例中,第一預定範圍與第二預定範圍相同。在一些實施例中,第一預定範圍與第二預定範圍不同。
在確定變數的統計值是在預定範圍內之後,偏壓補償模組196將統計值傳送到發送器174,發送器174經由一或更多通信連結將統計值傳送到x、y、及z控制器其中的對應者。另一方面,在確定變數的統計值不在預定範圍內之後,偏壓補償模組196將統計值調整(例如修正、改變、增加、減小、調諧等等)成在預定範圍內,並將調整過之統計值提供至發送器174。
發送器174將調整過之變數的統計值經由一或更多通信通道傳送到x、y、及z控制器其中的對應一或多者。
RF產生器的控制器經由將該控制器與發送器174耦合之通信通道而自發送器174接收調整過之變數的統計值,並且將調整過之統計值提供至RF產生器的RF供應器。例如,x控制器接收調整過之變數的統計值,並將調整過之統計值提供至RF供應器186。RF產生器的RF供應器產生包括調整過之統計值的RF信號(例如RF信號155等等)。例如,RF信號155具有調整過之統計值的功率。如另一範例,RF信號155具有調整過之統計值的頻率。
以類似上述之方式,阻抗匹配電路106從耦合至對應於x、y、及z MHz RF產生器其中之一或多者的相對應一或更多RF纜線接收一或更多RF信號。阻抗匹配電路106基於所接收之一或更多RF信號而產生一修正之RF信號,並將修正後的RF信號經由RF纜線132傳送到夾盤152。基於所接收之修正後的RF信號而在電漿腔室111內產生電漿,或者在收到修正後之RF信號的時候已產生電漿時,基於修正後之該RF信號來修改電漿的特性。
事件偵測模組198自RF控制方塊197或SD模組116接收變數的統計值,並判斷此統計值是否在預先儲存於事件偵測模組198之儲存裝置 中的預定限度內。在一些實施例中,預定限度與預定範圍相同。在許多實施例中,預定限度(pre-determined extent)與預定範圍(pre-determined range)不同。
在一些實施例中,事件偵測模組198具有針對變數的多數統計值之多數預定限度。例如,事件偵測模組198的儲存裝置儲存了針對由接收自x控制器之變數資料所產生之變數的統計值之第一預定限度。如另一範例,事件偵測模組198的儲存裝置儲存了針對由接收自y控制器之變數資料所產生之變數的統計值之第二預定限度。在許多實施例中,第一預定限度與第二預定限度相同。在一些實施例中,第一預定限度與第二預定限度不同的。
在確定變數的統計值是在預定限度內之後,事件偵測模組198不產生錯誤信號。另一方面,在確定變數的統計值是在預定限度外之後,事件偵測模組198產生一錯誤信號,並將其提供至發送器174。
發送器174將錯誤信號經由一或更多通信通道傳送到對應的x、y、及z控制器。例如,發送器174將錯誤信號經由通信通道202傳送到x控制器、以及將錯誤信號經由一通信通道傳送到y控制器。
RF產生器的控制器接收一錯誤信號並回應此錯誤信號。例如,RF產生器的控制器將一信號傳送到RF產生器的RF供應器,以暫停供傳送到阻抗匹配電路106之RF信號的產生。如另一範例,RF產生器的控制器將一信號傳送到RF產生器的RF供應器,以暫停供傳送到阻抗匹配電路106之RF信號的產生,直到從偏壓補償模組196經由發送器174接收到補償偏壓之一調整過的統計值。
在一些實施例中,事件偵測模組198將一錯誤偵測信號經由通信方塊191傳送到遠端電腦系統,以告知遠端電腦系統變數的統計值中之錯誤。通信方塊191的例子包括網路介面控制器,例如網路介面配接器、或網路介面卡。
遠端電腦系統的例子包括使用者所操作之電腦、伺服器、處理器、行動電話、智慧型手機、平板電腦等等。使用者查看遠端電腦系統之顯示裝置(例如:陰極射線管顯示器、液晶顯示裝置、發光二極體顯示裝置、電漿顯示裝置等等)上的通知,並決定採取行動來解決錯誤。
在各種實施例中,SD模組116係連接至用以傳送變數的統計值至遠端電腦系統的通信方塊191。
在各種實施例中,變數請求器170、變數接收器110、資料量計算器112、模型113、界限交越判斷模組114、模型數值產生器115、統計判斷模組116、開關模組180、資料刪除模組120、偏壓補償模組196、事件偵測模組198、RF控制方塊197、發送器174、以及通信方塊191之每一者係實現為個別處理器。例如,變數請求器170係實現為一處理器,而資料量計算器112係實現為另一處理器。
在一些實施例中,變數請求器170、變數接收器110、資料量計算器112、模型113、界限交越判斷模組114、模型數值產生器115、統計判斷模組116、開關模組180、資料刪除模組120、偏壓補償模組196、事件偵測模組198、RF控制方塊197、發送器174、以及通信方塊191其中之一或多者係實現為一處理器,而任何其餘的變數請求器170、變數接收器110、資料量計算器112、模型113、界限交越判斷模組114、模型數值產生器115、統計判斷模組116、開關模組180、資料刪除模組120、偏壓補償模組196、事件偵測模組198、RF控制方塊197、發送器174、以及通信方塊191係實現為另一處理器。
在部份實施例中,主機控制器192不包括資料刪除模組120及開關180。在這些實施例中,主機系統190中不執行精簡步驟。在這些實施例中,變數的所有數值儲存在主機系統190的一或更多儲存裝置內、或經由通信方塊191傳送到遠端電腦系統加以儲存、或經由通信方塊191傳送到虛擬機器加以儲存等等。
圖3係主機系統400之實施例的示意圖,其為主機系統190(圖2)之範例。主機系統400包括場式可編程閘陣列(FPGA)402及微處理器404。應注意到可使用任何其他積體電路(例如ASIC等等)來取代FPGA 402。此外,可使用任何其他積體電路(例如FPGA、ASIC等等)來取代微處理器404。
FPGA 402包括一多串列/平行介面(SPI)(MSPI,multiple serial parallel interface)406,其包括一或更多的PSPI。MSPI 406包括27接腳,其中各PSPI包括9接腳。例如,MSPI 406包括一連接至x控制器的PSPI、一連接至y控制器的PSPI、以及一連接至z控制器的PSPI(圖2)。MSPI 406自x、y、及z控制器的PSPI接收資料(例如:自x、y、及z控制器的串列資料輸出(SDO, serial data output)埠讀回之功率;自x、y、及z控制器的SDO埠讀回之頻率;自x、y、及z控制器的SDO埠讀回之電漿阻抗的實數部份;自x、y、及z控制器的SDO埠讀回之電漿阻抗的虛數部份;以及其他變數等等),並且將該資料傳送到軟核(soft core)數位信號處理器(DSP)408及/或傳送到高速埠410。
軟核DSP 408包括模型113及模型數值產生器115。例如,FPGA 402實現一電路,此電路包括RF傳輸線132的電性元件(例如:電容或電感等等)。此外,FPGA 402用RF傳輸線132之電路的電性元件所連接的相同方式(例如串聯、並聯等等)來連接電路內的元件。
MSPI 406所接收之變數資料係由MSPI 406傳送到軟核DSP 408。軟核DSP408之模型數值產生器115基於接收自MSPI 406之數值而在模型113的輸出處產生變數的數值,並且將所產生之數值經由高速埠410及高速匯流排412傳送到微處理器404的高速匯流排埠415。高速匯流排的例子包括以500MHz、或400MHz、或300MHz、或600MHz、或5MHz與500MHz之間等等傳送資料之匯流排。變數資料係經由高速埠415傳達至SDD邏輯方塊416,其為SDD 172(圖2)之範例。
在一些實施例中,邏輯方塊係由一或更多處理器所執行之電腦程式,例如SDD邏輯方塊416係由微處理器404執行。在數個實施例中,邏輯方塊係實現為積體電路內的硬體。在許多實施例中,邏輯方塊係實現為電腦程式及硬體之組合。
SDD邏輯方塊416將統計轉換應用在經由高速埠415自軟核DSP 408所接收之變數資料以產生統計值。例如,SDD邏輯方塊416從經由高速埠415而自軟核DSP 408所接收之變數資料產生平均數、或中位數、或眾數、或標準差、或最大數、或最小數、或四分位距(IQR)等等,以產生統計值。如另一範例,SDD邏輯方塊416產生接收自軟核DSP 408之多數功率值的移動平均數。如又另一範例,SDD邏輯方塊416產生接收自軟核DSP 408之多數電漿阻抗之實部值的移動中位數。如另一範例,SDD邏輯方塊416從來自軟核DSP 408之變數的資料值產生移動IQR、或IQR、或最大值、或最小值、或平均數、或中位數值、或變異數、或標準差、或移動平均數、或移動中位數、或移動變異數、或移動標準差、或眾數、或移動眾數、或其組合等等,以產生統計值。
在一些實施例中,SDD邏輯方塊416將一時間窗期間所接收之一變數的一或更多數值刪除,除了此變數的統計值。例如,SDD邏輯方塊416從主機系統400內的儲存裝置將電漿阻抗的虛部值抹除,除了這些數值的中位數。如另一範例,SDD邏輯方塊416從主機系統400內的儲存裝置將頻率值抹除,除了這些數值的眾數。
在一些實施例中,主機系統400不執行精簡步驟。在這些實施例中,變數的所有數值係儲存在主機系統400的一或更多儲存裝置內、或經由VME通信方塊422傳送到遠端電腦系統加以儲存、或經由VME通信方塊422傳送虛擬機器加以儲存等等。VME通信方塊422的例子包括乙太網路通信方塊、EtherCAT通信方塊、通用串列匯流排(USB)埠、網路介面控制器、串列埠、以及平行埠。VME通信方塊為通信方塊191(圖2)的範例。
偏壓補償模組418(其為偏壓補償模組196(圖2)之範例)基於接收自SDD邏輯方塊416的統計值來決定偏壓量,以補償偏壓。例如,在確定統計值是在預定範圍外之後,偏壓補償模組418將統計值調整成在預定範圍內。
在一些實施例中,偏壓補償模組418將調整過之統計值經由高速埠415、高速匯流排412、高速埠410、MSPI 406、以及通信通道提供至RF產生器的控制器。在許多實施例中,偏壓補償模組418將調整過之統計值經由VME通信方塊422提供至RF產生器的埠(例如:乙太網路埠、EtherCAT埠、USB埠、平行埠、串列埠等等)、或至遠端電腦系統的埠。
微處理器404包括事件/錯誤偵測模組420,其偵測電漿系統151(圖2)內之一處的事件(例如錯誤等等),該處例如:在電漿腔室111內之一處、或在阻抗匹配電路106內之一處、或在RF傳輸線132(圖2)上之一處、或在x MHz RF產生器內之一處、或在y MHz RF產生器內之一處、或在z MHz RF產生器內之一處、或在將RF產生器耦合至阻抗匹配電路106的RF纜線上之一處等等)。例如,在判斷接收自SD邏輯方塊416的統計值是在預定範圍外之後,事件/錯誤偵測模組420便確定電漿系統151(圖2)內已發生一事件。將事件發生之指示從事件/錯誤偵測模組420經由VME通信方塊422傳送到一或更多裝置,例如:x MHz RF產生器、y MHz RF產生器、z MHz RF產生器、遠端電腦系統等等。事件/錯誤偵測模組420為事件/錯誤偵測模組 198(圖2)的範例。
圖4係主機系統450之實施例的方塊圖,其為主機系統190(圖2)的另一範例。除了主機系統450包括微處理器452及FPGA 403以外,主機系統450類似於主機系統400(圖4)。除了微處理器452包括變數模組454以外,微處理器452類似於微處理器404(圖3)。此外,除了FPGA 403不包括軟核DSP 408(圖3)以外,FPGA 403類似於FPGA 402。
變數模組454的模型數值產生器115經由高速埠410、高速匯流排412、以及高速埠415而自MSPI 406接收一或更多變數的資料。變數模組454的模型數值產生器115基於接收自MSPI 406的變數資料以及模型113的特徵(例如:電容、阻抗等等)來決定模型113之輸出處的變數資料。例如,當經由MSPI 406所接收之電漿阻抗為Z1且RF傳輸模型之元件的阻抗為Z2時,模型數值產生器115決定RF傳輸模型之輸出處的阻抗為Z1及Z2的定向總和。如另一範例,當經由三通信通道所接收之複數電壓和電流為複數V&I1且RF傳輸模型之複數電壓和電流為複數V&I2時,模型數值產生器115決定RF傳輸模型之輸出處的複數V&I為V&I1及V&I2的定向總和。
SDD邏輯方塊416接收變數模組454所產生之變數資料,並用類似以上所說明之方法而由這些資料來決定統計值。此外,偏壓補償模組418自SDD邏輯方塊416接收統計值,並基於此統計值來決定欲施加至電漿腔室111(圖1)的偏壓。例如,在確定統計值是在預定範圍外之後,偏壓補償模組418將統計值調整成在預定範圍內。
偏壓補償模組418用類似以上所述之方法將調整過之統計值傳送到x、y、及z控制器(圖2)其中之一或多者的一或更多PSPI。例如,偏壓補償模組418決定調整之功率統計值及調整之頻率統計值,並將調整過之統計值經由高速埠415、高速匯流排412、高速埠410、MSPI 406、及通信通道提供至x控制器。在一些實施例中,偏壓補償模組418將調整過之統計值經由VME通信方塊422傳送到RF產生器的埠(例如:乙太網路埠、EtherCAT埠、USB埠、平行埠、串列埠等等)、或傳送到遠端電腦系統的埠。
事件/錯誤偵測模組420基於接收自SDD邏輯方塊416之統計值來偵測電漿系統151(圖2)內的事件。例如,在判斷統計值是在預定限度外之後,事件/錯誤偵測模組420便確定電漿系統151內已發生一事件。統計 值係由變數模組454所產生之變數資料而產生。
將事件發生之指示從事件/錯誤偵測模組420經由VME通信方塊422傳送到一或更多裝置,例如:遠端電腦系統、x MHz RF產生器、y MHz RF產生器、z MHz RF產生器等等。使用者查看遠端電腦系統之顯示裝置上的通知,並能決定採取行動來解決錯誤。
圖5係儲存裝置500之實施例的示意圖,其用以說明利用指標來存取記憶體位置。儲存裝置500係位於變數接收器110(圖1及2)內。在一些實施例中,儲存裝置500係位於資料量計算器112、或界限交越判斷模組114、或SD模組116之內。
儲存裝置500包括記憶體陣列1及記憶體陣列2。記憶體陣列1儲存變數資料,而記憶體陣列2儲存記憶體陣列1內之位置的記憶體位址。變數資料之範例係顯示為索引0、索引1、索引2、索引3、以及索引4。
如所示般,變數資料被接收在記憶體陣列1內,並且被儲存在記憶體位址0x0、0x1、0x2、0x3、及0x4之中。當變數資料被接收在記憶體陣列1之內時,該資料之指標便由處理器(例如:資料量計算器112的處理器、或界限交越判斷模組114的處理器、或SD模組116的處理器等等)產生在記憶體陣列2內。0x0指標係儲存在記憶體位址0x5中,且指向數值索引0。0x1指標係儲存在記憶體位址0x6中,且指向數值索引1。此外,0x2指標係儲存在記憶體位址0x7中,且指向數值索引2;0x3指標係儲存在記憶體位址0x8中,且指向數值索引3;以及0x4指標係儲存在記憶體位址0x9中,且指向數值索引4。
雖然在圖5中顯示了五個數值,但在一些實施例中,記憶體陣列1中儲存多於或少於五個數值。
應注意到在一些實施例中,指標係用以指向一記憶體位址,以存取、更改、或刪除在該記憶體位址的變數資料。在許多實施例中,指標係用以改變儲存裝置內之數值的位置。儲存裝置(例如:記憶體陣列、一組記憶體陣列等等)中的各個位置係藉由記憶體位址來識別。
圖6係插入排序操作之實施例的示意圖。變數的資料係儲存在記憶體陣列502之內,其為記憶體陣列1(圖5)的例子。舉例而言,1、2、5、3、及4係儲存在記憶體陣列502內之變數的值。於插入排序操作中,在記 憶體陣列502的各個值與記憶體陣列502的其餘值之間進行比較,以便將記憶體陣列502的數值由所有數值的最低者排列至所有數值的最高者。例如,5跟2相比。判斷結果為5大於2,且因此指向記憶體陣列502中的左邊第三個位置之指標仍然仍然指向第三個位置。如另一範例,5跟1相比。判斷結果為5大於1,且因此指向記憶體陣列502中的左邊第三個位置之指標仍然指向第三個位置。如又另一範例,3跟5相比,且判斷結果為3小於5。此外,指向記憶體陣列502中的左邊第三個位置之指標改變成指向記憶體陣列502中的左邊第四個位置,而指向第四個位置之指標現在則改變成指向第三個位置。在此範例中,3與5在記憶體陣列502中交換位置。
進行排序以便將記憶體陣列502中的數值由這些數值的最低者排列至這些數值的最高者,而從這些數值決定出最小值及最大值。
雖然圖6中顯示五個數值,但在一些實施例中,記憶體陣列502中儲存多於或少於五個數值。
圖7係三記憶體陣列504、506、及508之實施例的示意圖,用以說明合併排序操作。記憶體陣列504、506、及508係儲存裝置510的一部分。儲存裝置510係位於變數接收器110(圖1及2)之內。在一些實施例中,儲存裝置510係位於資料量計算器112、或界限交越判斷模組114、或SD模組116之內。在不同實施例中,記憶體陣列504及506係位於變數接收器110的儲存裝置之內,而合併記憶體陣列508係位於SD模組116的儲存裝置之內。
記憶體陣列504及506內之變數值係於執行插入排序操作之後產生。例如,記憶體陣列504內之變數值係由記憶體陣列504內之所有數值的最低者排列至記憶體陣列504內之所有數值的最高者。如另一範例,記憶體陣列506內之變數值係由記憶體陣列506內之所有數值的最低者排列至記憶體陣列506內之所有數值的最高者。
變數資料被接收在記憶體陣列504及506內。於合併排序操作期間,決定記憶體陣列504之所有數值的最大值、並且決定記憶體陣列506之所有數值的最小值。更判斷記憶體陣列506中之最小值是否大於記憶體陣列504的最大值。
在確定記憶體陣列506中之最小值為不大於記憶體陣列504中之最大值後,比較記憶體陣列504的各數值與記憶體陣列506中的各數值。 另一方面,在確定記憶體陣列506中之最小值為大於記憶體陣列504中之最大值後,記憶體陣列504及506的數值之間不進行比較。在不進行比較的情況下,產生包括記憶體陣列504及506之所有數值的合併記憶體陣列508。例如,將記憶體陣列504及506的數值以記憶體陣列504及506內之數值的順序寫入合併記憶體陣列508中。
在許多實施例中,儲存數值「7」之記憶體陣列506的記憶體位址係立即緊接在其中儲存數值「6」之記憶體陣列504的記憶體位址之後(例如:緊鄰、連續等等)。在一些實施例中,儲存數值「7」之記憶體陣列506的記憶體位址係在其中儲存數值「6」之記憶體陣列504的記憶體位址之後,但不立即緊接在其後(例如:非緊鄰、在二記憶體位址的範圍內、在一些記憶體位址的範圍內等等)。
在不同實施例中,記憶體陣列504及506係由一些(例如:一、二等等)空記憶體位址所隔開。
應注意到雖然各記憶體陣列504及506包括六個數值,但在一些實施例中,各記憶體陣列504及506包括不同的數量的變數值。
在不同實施例中,合併記憶體陣列508具有與記憶體陣列504及506中之記憶體位址的總數量相同之大小(例如記憶體位址的數量等等)。
圖8係儲存裝置550之實施例的示意圖,用以說明在合併排序操作內的比較操作。儲存裝置550包括記憶體陣列552及554、以及合併記憶體陣列556。記憶體陣列552、554、及556係儲存裝置550的一部分,儲存裝置550係位於變數接收器110(圖1及2)之內。在一些實施例中,儲存裝置550係位於資料量計算器112、或界限交越判斷模組114、或SD模組116之內。在許多實施例中,記憶體陣列552及554係位於變數接收器110的儲存裝置之內,而合併記憶體陣列556係位於SD模組116的儲存裝置之內。
在執行記憶體陣列552及554之數值間的一對一比較時,同時判斷記憶體陣列552的記憶體位址內之數值是否小於記憶體陣列554的記憶體位址內之數值。例如,判斷記憶體陣列552之數值「4」是否小於記憶體陣列554之數值「3」。如另一範例,用記憶體陣列552及554內之記憶體位址的順序來比較記憶體陣列552內之各變數值與記憶體陣列554內之各變數值。為進一步說明比較的順序,將記憶體陣列552之記憶體位址MA1內的數值「1」 與記憶體陣列554之記憶體位址MA5、MA6、MA7、及MA8中的數值「3」、「5」、「6」、及「8」進行比較。然後,將記憶體陣列552之記憶體位址MA2中的數值「2」與記憶體陣列554之記憶體位址MA5、MA6、MA7、及MA8中的數值「3」、「5」、「6」、及「8」進行比較。記憶體位址MA1低於記憶體位址MA2。
在判斷記憶體陣列552及554其中一者之記憶體位址內的一數值為小於記憶體陣列552及554之其餘記憶體位址內的數值後,便決定將該較小值插入(例如寫入等等)合併記憶體陣列556內的空記憶體位址中。例如,在判斷記憶體陣列554內的數值「3」為小於記憶體陣列552內的數值「4」之後,便將數值「3」寫入合併記憶體陣列556的記憶體位址558。如另一範例,在判斷記憶體陣列552內的數值「4」為小於記憶體陣列554內的數值「5」之後,便將數值「4」寫入合併記憶體陣列556的記憶體位址560。合併記憶體陣列556內的空記憶體位址與已佔用之合併記憶體陣列556的記憶體位址連續。
於合併排序操作期間,在執行記憶體陣列552與554的數值間之比較後,將未寫入合併陣列556中之記憶體陣列554的任何數值與記憶體陣列554的其餘未寫入數值進行比較。例如,比較記憶體陣列554的數值「5」與記憶體陣列554的數值「6」。該比較係以包括尚未寫入合併記憶體陣列556的數值之記憶體陣列554的記憶體位址之順序來執行。例如,在數值「5」、「6」、及「8」尚未寫入合併記憶體陣列556中的情況下,將記憶體陣列554中儲存數值「5」、「6」、及「8」的記憶體位址中之最低記憶體位址內的數值「5」與數值「6」與「8」進行比較。在記憶體陣列554中,數值「6」的記憶體位址低於數值「8」的記憶體位址。
於合併排序操作期間,在比較未寫入數值時,同時將未寫入數值中的較小值寫入合併記憶體陣列556中。例如,當記憶體陣列554中的數值「5」與「6」之間進行比較時,將數值「5」寫入合併記憶體陣列556中。如另一範例,當記憶體陣列554中的數值「6」與「8」之間的進行比較時,將數值「6」寫入記憶體陣列556中。將這些未寫入數值之比較後的任何剩餘數值寫入合併記憶體陣列556的空位址,該空位址與寫有數值之記憶體位址連續。例如,記憶體陣列554的數值「8」被寫入記憶體陣列556的記憶體位址562中。
在合併排序操作結束時,合併記憶體陣列556便由記憶體陣列552及554內之所有數值的最低者排列至記憶體陣列552及554之所有數值的最高者。
應注意到雖然各記憶體陣列552及554包括四個數值,但在一些實施例中,各記憶體陣列552及554包括不同數量的變數值。
在許多實施例中,記憶體陣列552及554被一些(例如:一、二等等)空記憶體位址所隔開。在一些實施例中,記憶體位址MA5與記憶體陣列552的記憶體位址MA4連續。
在許多實施例中,合併記憶體陣列556具有與記憶體陣列552及554中之記憶體位址的總數量相同之大小。
圖9係SD模組580之實施例的示意圖,其為SD模組116(圖1及2)的範例。SD模組580包括移動IQR模組、IQR模組、插入排序模組、合併排序模組、眾數模組、移動眾數模組、平均數模組、中位數模組、變異數模組、標準差模組、移動平均數模組、移動中位數模組、移動變異數模組、以及移動標準差模組。
移動IQR模組決定記憶體陣列(例如:記憶體陣列502(圖6)、或記憶體陣列504(圖7)、或記憶體陣列506(圖7)、或合併記憶體陣列508(圖7)、或記憶體陣列552(圖8)、或記憶體陣列554(圖8)、或合併記憶體陣列556(圖8)等等)內之變數值的移動IQR。同樣地,IQR模組計算記憶體陣列內之變數值的IQR。此外,插入排序模組將插入排序操作應用在記憶體陣列內之變數值。合併排序模組將合併排序操作應用在記憶體陣列內之變數值。眾數模組決定記憶體陣列內之變數值的眾數。同樣地,移動眾數模組決定記憶體陣列內之變數值的移動眾數。平均數模組計算記憶體陣列內之變數值的平均數。中位數模組產生記憶體陣列內之變數值的中位數。
變異數模組計算記憶體陣列內之數值的變異數,以及標準差模組決定記憶體陣列內之數值的標準差。移動平均數模組計算記憶體陣列內之變數值的移動平均數,以及移動中位數模組決定記憶體陣列內之變數值的移動中位數。移動變異數模組計算記憶體陣列內之數值的移動變異數,以及移動變異數模組決定記憶體陣列內之數值的移動變異數。移動標準差產生記憶體陣列內之數值的移動標準差。
移動統計值(例如移動IQR值、或移動眾數值、或移動平均數、或移動中位數值、或移動變異數值、或移動標準差值等等)為隨著正由記憶體陣列接收變數值(例如從模型數值產生器115(圖1及2)到SD模組116(圖1及2)的緩衝器等等)而動態考慮這些變數值之數值。例如,在尚末接收記憶體陣列502(圖6)的數值「3」及「4」時之記憶體陣列502中的數值「1」、「2」、及「5」之移動平均數係不同於數值「1」、「2」、「5」、「3」、及「4」的移動平均數。如另一範例,在合併記憶體陣列556(圖8)的其餘數值「3」及「4」尚未產生在合併記憶體陣列556內時之合併記憶體陣列556中的數值「1」、「2」、及「3」之移動標準差係不同於合併記憶體陣列556之數值「1」、「2」、「3」、「3」、及「4」的移動平均數。
在各種實施例中,從模型數值產生器115接收數值至SD模組116之緩衝器內的速率係與變數接收器110接收數值的速率相同(圖1及2)。
在一些實施例中,SD模組580包括移動IQR模組、或IQR模組、或插入排序模組、或合併排序模組、或眾數模組、或移動眾數模組、或平均數模組、或中位數模組、或變異數模組、或標準差模組、或移動平均數模組、或移動中位數模組、或移動變異數模組、或移動標準差模組、或其組合。例如,SD模組580包括移動IQR模組及插入排序模組。如另一範例,SD模組580包括合併排序模組、及移動平均數模組、及移動標準差模組。
在各種實施例中,移動IQR模組、及IQR模組、及插入排序模組、及合併排序模組、及眾數模組、及移動眾數模組、及平均數模組、及中位數模組、及變異數模組、及標準差模組、及移動平均數模組、及移動中位數模組、及移動變異數模組、及移動標準差模組其中每一者係實現為個別處理器。例如,移動IQR模組係實現為一處理器,而眾數模組係實現為另一處理器。
在一些實施例中,移動IQR模組、IQR模組、插入排序模組、合併排序模組、眾數模組、移動眾數模組、平均數模組、中位數模組、變異數模組、標準差模組、移動平均數模組、移動中位數模組、移動變異數模組、以及移動標準差模組其中之一或多者係實現為一處理器,而任何其餘的移動IQR模組、IQR模組、插入排序模組、合併排序模組、眾數模組、移動眾數模組、平均數模組、中位數模組、變異數模組、標準差模組、移 動平均數模組、移動中位數模組、移動變異數模組、以及移動標準差模組係實現為另一處理器。
在一些實施例中,移動IQR模組、IQR模組、插入排序模組、合併排序模組、眾數模組、移動眾數模組、平均數模組、中位數模組、變異數模組、標準差模組、移動平均數模組、移動中位數模組、移動變異數模組、以及移動標準差模組其中每一者係實現為儲存在非暫時性電腦可讀媒體中的電腦程式、或為硬體、或為硬體與電腦程式之組合。
在一些實施例中,計算平均數係與插入排序操作平行進行。例如,加總變數值係與將這些數值由這些數值的最小者排列至這些數值的最大者平行進行。
在數個實施例中,於執行插入排序操作之後便確定了IQR或中位數。在插入排序操作執行後,變數值便由這些數值的最低者排列至這些數值的最高者。當數值的數量為奇數時,位於排序後之數值的中央之數值即為中位數。當數值的數量為偶數時,位於排序後之數值的中央二個數值之平均即為中位數。計算所得之中位數係用以決定IQR。
在許多實施例中,計算平均數係與合併排序操作平行進行。平均數係由合併排序操作後之排序過的所有數值計算而得。
在數個實施例中,於執行合併排序操作之後便確定了IQR或中位數。在合併排序操作執行後,變數值便由這些數值的最低者排列至這些數值的最高者。當數值的數量為奇數時,位於排序過之數值的中央之數值即為中位數。當數值的數量為偶數時,位於排序過之數值的中央二個數值之平均即為中位數。計算所得之中位數係用以決定IQR。
圖10係SD模組590之實施例的示意圖,其為SD模組116(圖1及2)之範例。SD模組590包括移動IQR模組、IQR模組、插入排序模組、合併排序模組、眾數模組、移動眾數模組、平均數模組、中位數模組、變異數模組、標準差模組、移動平均數模組、移動中位數模組、移動變異數模組、以及移動標準差模組。
此外,在SD模組590中,合併排序模組係連接至移動IQR模組、IQR模組、插入排序模組、眾數模組、移動眾數模組、平均數模組、中位數模組、變異數模組、標準差模組、移動平均數模組、移動中位數模組、 移動變異數模組、以及移動標準差模組。
移動IQR模組計算合併記憶體陣列(例如:合併記憶體陣列508(圖7)、或合併記憶體陣列556(圖8)等等)內之數值的移動IQR。同樣地,IQR模組計算合併記憶體陣列內之數值的IQR。此外,平均數模組計算合併記憶體陣列內之數值的平均數。中位數模組產生合併記憶體陣列內之數值的中位數。眾數模組產生合併記憶體陣列內之數值的眾數,以及移動眾數模組計算合併記憶體陣列內之數值的移動眾數。此外,變異數模組計算合併記憶體陣列內之數值的變異數。標準差模組決定合併記憶體陣列內之數值的標準差,以及移動平均數模組計算合併記憶體陣列內之數值的移動平均數。移動中位數模組決定合併記憶體陣列內之數值的移動中位數,以及移動變異數模組計算合併記憶體陣列內之數值的移動變異數。移動標準差模組產生合併記憶體陣列內之數值的移動標準差。
在一些實施例中,SD模組590包括移動IQR模組、或IQR模組、或插入排序模組、或合併排序模組、或眾數模組、或移動眾數模組、或平均數模組、或中位數模組、或變異數模組、或標準差模組、或移動平均數模組、或移動中位數模組、或移動變異數模組、或移動標準差模組、或其組合。
圖11係應用時間片段取樣法之系統601之實施例的示意圖。系統601包括偏壓補償模組196及事件偵測模組198。偏壓補償模組196及/或事件偵測模組198自SD模組116(圖1及2)接收產生變數值的時間。例如,時間t1係由SD模組116產生變數值V21的時間。如另一範例,時間t2係由SD模組116產生變數值V22的時間、時間t3係由SD模組116產生變數值V23的時間、以及時間t4係由SD模組116產生變數值V24的時間。如又另一範例,時間t5係由SD模組116產生變數值V25以及由SD模組116產生變數值V11的時間。時間t1至t5係由SD模組116所計算而得。在一些實施例中,時間t1係產生變數值V15及變數值V21的時間。
在一些實施例中,變數值V11、V12、V13、V14、及V15為第一變數(變數1)的數值。變數值V21、V22、V23、V24、及V25為第二變數(變數2)的數值。變數1與變數2不同。例如,變數1為功率,而變數2為電壓。如另一範例,變數1為電流,而變數2為電壓。
在各種實施例中,變數1及變數2為相同變數,並且係基於來自不同RF產生器之數值而產生。例如,變數1係由x MHz RF產生器的電壓值所產生,以及變數2係由y MHz RF產生器的電壓值所產生。如另一範例,變數1係由y MHz RF產生器的頻率值所產生,以及變數2係由z MHz RF產生器的頻率值所產生。
變數V11至V15係儲存在SD模組116(圖1及2)的記憶體陣列(例如:插入排序陣列、合併陣列等等)中。例如,變數值V11至V15係由這些數值的最低者排列至這些數值的最高者。在此範例中,V11為最低值,而V15為最高值。此外,變數V21至V25係儲存在SD模組116(圖1及2)的記憶體陣列(例如:插入排序陣列、合併陣列等等)中。例如,變數值V21至V25係由這些數值的最低者排列至這些數值的最高者。在此範例中,V21為最低值,而V25為最高值。又,時間t1至t5係儲存在偏壓補償模組196及/或事件偵測模組198的記憶體陣列607中。時間t1至t5係由偏壓補償模組196及/或事件偵測模組198從SD模組116接收,以儲存在記憶體陣列607中。
偏壓補償模組196判斷變數值v21的產生時間t1是否與變數值V15的產生時間相同。在確定變數值V21的產生時間t1為與變數值V15的產生時間相同之後,便利用變數值V21及V15來判斷電漿系統中是否存在偏壓。例如,在判斷變數值V21是在一預定範圍外且變數值V15是在一預定範圍外之後,便確定偏壓存在。在此範例中,數值V15及V21兩者皆由偏壓補償模組196針對偏壓加以調整,以產生調整過之統計值。如另一範例,在判斷變數值V21是在一預定範圍內且變數值V15是在一預定範圍內之後,便確定電漿系統之內不存在偏壓。如又另一範例,在判斷變數值V21是在一預定範圍內且變數值V15是在一預定範圍外之後,便確定電漿系統之內不存在偏壓、或電漿系統之內存在偏壓。
同樣地,偏壓補償模組196判斷變數值V25的產生時間t5是否與變數值V11的產生時間相同。在判斷變數值V25的產生時間t5為與變數值V11的產生時間相同之後,便利用變數值V25及V11來判斷電漿系統中是否存在偏壓。
此外,在一些實施例中,事件偵測模組198判斷變數值V21的產生時間t1是否與變數值V15的產生時間相同。在判斷變數值V21的產生 時間t1為與變數值V15的產生時間相同之後,便利用變數值V21及V15來判斷電漿系統中是否存在錯誤。例如,在判斷變數值V21是在一預定限度外且變數值V15是在一預定限度外之後,便確定錯誤存在。如另一範例,在判斷變數值V21是在一預定限度內且變數值V15是在一預定限度內之後,便確定電漿系統內不存在錯誤。如又另一範例,在判斷變數值V21是在一預定限度內且變數值V15是在一預定限度外之後,便確定電漿系統內不存在錯誤、或電漿系統內存在錯誤。
同樣地,事件偵測模組198判斷變數值V25的產生時間t5是否與變數值V11的產生時間相同。在判斷變數值V25的產生時間t5為與變數值V11的產生時間相同之後,則利用變數值V25及V11來判斷電漿系統中是否存在錯誤。
圖12係用以產生移動變異數602之SD模組600之實施例的方塊圖。SD模組600包括一些位址A1、A2、A3、A4、和A5、乘法器MU1、除法器D1及D2、以及平方根計算器SQRT1。SD模組600係SD模組116(圖1及2)的例子。
SD模組600包括目前平均數計算器,其計算記憶體陣列(例如:記憶體陣列502(圖6)、或記憶體陣列504(圖7)、或記憶體陣列506(圖7)、或合併記憶體陣列508(圖7)、或記憶體陣列552(圖8)、或記憶體陣列554(圖8)、或合併記憶體陣列556(圖8)等等)內之變數值的目前平均數。又,針對目前平均數自其計算而得之記憶體陣列中的目前資料點x(例如:記憶體陣列中的數值、合併記憶體陣列中的數值等等),藉由加法器A1將目前資料點減去目前平均數以產生變異數之差值(delta value)。加法器A2將該差值與目前平均數相加而產生一結果,且該結果係除以目前平均數自其所產生之記憶體陣列內之資料點n的總數。資料點計算器計算資料點的總數。該結果除以資料點的總數係由除法器D1執行,以產生變數的下一平均值。在一些實施例中,下一平均值係一統計值。
藉由加法器A4將目前資料點x減去下一平均值以產生一結果,並且藉由乘法器MU1將該結果乘以該差值以產生另一結果。藉由加法器A5將此另一結果與目前瞬間平均值M2相加,以產生下一瞬間平均值M2。在一些實施例中,下一瞬間平均值M2係一統計值。
藉由除法器D2將下一瞬間值M2與一數目(此數目為記憶體陣列中之資料點的總數減一)相除,以產生移動變異數602。在各種實施例中,移動變異數602係一統計值。
藉由平方根計算器SQRT1計算移動變異數602的平方根,以產生移動標準差604。在數個實施例中,移動標準差604係一統計值。
應注意到對於記憶體陣列中的各個不同數值而言,下一平均值、下一瞬間平均值、以及移動變異數602是不同的。移動變異數602隨著記憶體陣列內之數值變化而改變。
用以產生移動變異數602之虛擬碼係提供如下:def online_variance(data): n=0 current mean=0 M2=0 for x in data: n=n+1 delta=x-current mean next mean=(current mean+delta)/n next instantaneous mean M2=current instantaneous mean M2+delta*(x-next mean) moving variance=next instantaneous mean M2/(n-1) return moving variance. 在虛擬碼中,資料點的總數n、目前平均值、以及目前瞬間平均值係初始化為零。
圖13係用以產生統計值之方法700之實施例的流程圖。在方法700中,變數係由RF系統(例如:x控制器、或y控制器、或z控制器、或其組合等等)輸入至主機控制器(例如:主機控制器150(圖1)、或主機控制器192(圖2)等等)。在操作702中,將變數傳遞通過模型113(圖1-4)而。例如,計算定向總和。在此範例中,定向總和為變數值與該變數值藉以傳遞通過之模型113之元件值的總和。在一些實施例中,操作702係藉由模型數 值產生器115(圖1-4)來執行。
方法700更包括操作704,其針對變數來計算模型113的輸出。例如,計算在傳遞變數通過模型113後所產生之數值的數量。這些數值係產生在模型113的輸出處,並且係由資料量計算器112(圖1及2)來計算。在一些實施例中,所計算之模型113的輸出包括定向總和。
在方法700的操作706中,藉由界限交越判斷模組114來判斷該總數是否滿足總數臨界值,該總數臨界值係儲存在界限交越判斷模組114之儲存裝置中的預先儲存數值量。當總數不滿足(例如:小於等等)總數臨界值時,持續操作704之計算。另一方面,當總數滿足時(例如:超過、大於或等於等等)總數臨界值時,於操作708中,由模型113的輸出產生統計值,其係藉由模型數值產生器115計算而得。例如,統計值係產生自數值的定向總和。
統計值係藉由SD模組116(圖1及2)而產生。在操作710中,統計值係藉由發送器174(圖1及2)而傳送至RF系統,以調整變數。例如,將統計值傳送至x、y、及/或z控制器,以便基於該統計值來產生RF信號。
需注意到雖然上述之實施例係參照平行板電漿腔室而加以敘述,但在一實施例中,上述之實施例應用在其他類型的電漿腔室,例如:包括感應耦合電漿(ICP)反應器之電漿腔室、包括電子迴旋共振(ECR)反應器之電漿腔室等等。例如,x MHz、y MHz、以及z MHz RF產生器係耦合至ICP電漿腔室內的電感。
在一些實施例中,千赫茲(kHz)RF產生器係用以取代百萬赫茲(MHz)RF產生器。例如,使用400kHz RF產生器來取代x MHz RF產生器。
在許多實施例中,MHz RF產生器具有操作在MHz的頻率,以及kHz RF產生器具有操作在kHz的頻率。
於此所述之部份實施例係以各種電腦系統配置來實現,包括手持裝置、微處理器系統、基於微處理器或可編程之消費性電子、小型電腦、主機電腦、及類似者。於此所述之部份實施例係實現在分散式計算環境中;於分散式計算環境中,工作係藉由透過網路連接之遠端處理裝置來執行。
有了以上實施例的概念後,應瞭解到於此所述之部份實施例採用涉及電腦系統中所儲存資料的各種電腦執行之操作。這些操作係需要物理量之物理處理的操作。於此所描述之形成實施例之部份的操作之任一者係有用的機械操作。於此所述之部份實施例亦涉及用以執行這些操作的裝置或設備。在一些實施例中,該設備可針對特殊用途電腦而特別建構。當定義為特殊用途電腦時,該電腦執行其他非該特殊用途之部份的處理、程式執行、或例行工作,而同時仍能操作該特殊用途。在許多實施例中,該等操作可藉由被一或更多儲存在電腦記憶體、快取記憶體、或網路上取得之電腦程式選擇性啟動或配置的一般用途電腦加以處理。當資料是在網路上取得時,該資料係由網路上的其他電腦(例如雲端計算資源)加以處理。
部份實施例係製作為非暫態電腦可讀媒體上之電腦可讀碼。非暫態電腦可讀媒體係可儲存以後可由電腦系統讀取之資料的任何資料儲存裝置。非暫態電腦可讀媒體的例子包括:硬碟、網路附接儲存器(NAS)、ROM、RAM、光碟(CD-ROM)、可記錄光碟(CD-R)、可複寫光碟(CD-RW)、磁帶、以及其他光學式與非光學式資料儲存裝置。在一些實施例中,非暫態電腦可讀媒體可包括分散在網路耦接電腦系統上之電腦可讀實體媒體,使得電腦可讀碼以分散的方式來儲存及執行。
雖然這些方法操作是以特定順序來描述,但應瞭解在一些實施例中,在操作之間可執行其他內務管理操作,或者可調整操作使其出現在稍微不同的時間,或者只要覆蓋操作之處理以所期望之方式執行,便可將操作分散在允許處理操作出現在與處理相關的各個時期之系統中。
在一些實施例中,在不離開本揭露內容所述之各種實施例中所敘述之範圍的情況下,可將任何實施例之一或更多技術特徵與任何其他實施例之一或更多技術特徵加以組合。
雖然為清楚瞭解之目的已在一些細節中描述前述之發明,但將顯而易見的,在隨附的專利申請範圍之範圍內仍可實施一些變化及修改。因此,本文之實施例應視為示例性而非限制性,且該等實施例不限於此處提出之細節,而可在隨附的專利申請範圍之範圍及均等內加以修改。
100‧‧‧電漿系統
102‧‧‧通信通道
104‧‧‧RF信號
106‧‧‧阻抗匹配電路
110‧‧‧變數接收器
111‧‧‧電漿腔室
112‧‧‧資料量計算器
113‧‧‧模型
114‧‧‧界限交越判斷模組
115‧‧‧模型數值產生器
116‧‧‧統計判斷模組
120‧‧‧資料刪除模組
124‧‧‧RF信號
130‧‧‧RF纜線
132‧‧‧RF傳輸線
140‧‧‧主機系統
144‧‧‧纜線
150‧‧‧主機控制器
152‧‧‧夾盤
154‧‧‧上電極
156‧‧‧下表面
158‧‧‧上表面
160‧‧‧工作件
170‧‧‧變數請求器
172‧‧‧統計資料精簡模組
174‧‧‧發送器
180‧‧‧開關模組
184‧‧‧通信通道
186‧‧‧RF供應器
197‧‧‧RF控制方塊

Claims (26)

  1. 一種方法,包含:自射頻(RF)系統接收變數;將該變數傳遞通過該RF系統之模型;針對該變數來計算該模型之輸出,以產生總數;判斷該總數是否滿足總數臨界值;在確定該總數滿足該總數臨界值之後,於該模型之該輸出處產生該變數的統計值;以及將該統計值傳送到該RF系統,以調整該變數。
  2. 如申請專利範圍第1項之方法,其中該變數包括功率、或頻率、或電壓大小、或電流大小、或複數電壓與複數電流之間的相位、或複數電流、或複數電壓、或其組合。
  3. 如申請專利範圍第1項之方法,其中該RF系統包括RF產生器。
  4. 如申請專利範圍第1項之方法,其中該模型為電腦產生模型,其中將該變數傳遞通過該模型之步驟包含產生該變數的數值和有關該模型之元件的變數值之定向總和。
  5. 如申請專利範圍第1項之方法,其中該輸出包括該變數的多個數值。
  6. 如申請專利範圍第5項之方法,其中該統計值包括該等數值的移動四分位距、或該等數值的四分位距、或該等數值的最大數、或該等數值的最小數、或該等數值的平均數、或該等數值的中位數、或該等數值的變異數、或該等數值的標準差、或該等數值的移動平均數、或該等數值的移動中位數、或該等數值的移動變異數、或該等數值的移動標準差、或該等數值的眾數、或該等數值的移動眾數、或其組合。
  7. 一種方法,包含:自射頻(RF)產生器接收有關變數的資料,該RF產生器係配置成產生欲經由阻抗匹配電路而供應至電漿腔室之RF信號,該變數係有關RF系統,該RF系統包括該RF產生器、該阻抗匹配電路、及該電漿腔室;基於所接收之該資料而產生輸出自電腦產生模型的多個數值;計算輸出自該電腦產生模型的該等數值之數量;判斷該數量是否超過總數臨界值;由輸出自該電腦產生模型的該等數值來產生統計值,以回應確定該數量超過該總數臨界值;將該統計值傳送到該RF產生器,以調整由該RF產生器所產生之該RF信號。
  8. 如申請專利範圍第7項之方法,其中調整過之該RF信號係經由RF纜線、該阻抗匹配電路、及RF傳輸線而提供至該電漿腔室,其中該RF纜線將該RF產生器耦合至該阻抗匹配電路,其中該RF傳輸線將該阻抗匹配電路耦合至該電漿腔室。
  9. 如申請專利範圍第7項之方法,其中該變數包括功率、或頻率、或電壓大小、或電流大小、或複數電壓與複數電流之間的相位、或複數電流、或複數電壓、或其組合。
  10. 如申請專利範圍第7項之方法,其中該阻抗匹配電路使負載的阻抗與來源的阻抗相匹配,其中該來源包括該RF產生器、以及將該RF產生器耦合至該阻抗匹配電路的RF纜線,其中該負載包括該電漿腔室及RF傳輸線,該RF傳輸線將該電漿腔室耦合至該阻抗匹配電路。
  11. 如申請專利範圍第7項之方法,其中該電漿腔室包括夾盤、以及面向該夾盤的上電極。
  12. 如申請專利範圍第7項之方法,其中該變數包括在該電漿系統內之一處的複數電壓和電流。
  13. 如申請專利範圍第7項之方法,其中該數量包括數值的數量,其中該統計值包括該等數值的移動四分位距、或該等數值的四分位距、或該等數值的最大數、或該等數值的最小數、或該等數值的平均數、或該等數值的中位數、或該等數值的變異數、或該等數值的標準差、或該等數值的移動平均數、或該等數值的移動中位數、或該等數值的移動變異數、或該等數值的移動標準差、或該等數值的眾數、或該等數值的移動眾數、或其組合。
  14. 如申請專利範圍第7項之方法,更包含在產生該統計值之後精簡所接收之該資料。
  15. 如申請專利範圍第7項之方法,其中於該電腦產生模型之輸出處產生該等數值之步驟包含將所接收之該資料傳遞通過該電腦產生模型的元件。
  16. 一種方法,包含:自射頻(RF)產生器接收有關變數的資料,該RF產生器用以產生欲經由阻抗匹配電路而供應至電漿腔室之RF信號,該變數係有關RF系統,該RF系統包括該RF產生器、該阻抗匹配電路、及該電漿腔室;基於所接收之該資料而產生在電腦產生模型之輸出處的多個數值;計算輸出自該電腦產生模型的該等數值之數量;判斷該數量是否超過臨界值;由輸出自該電腦產生模型的該等數值來產生統計值,以回應確定該數量超過該臨界值;判斷該統計值是否在預定範圍外;將該統計值調整成在該預定範圍內,以回應確定該統計值在該預定範圍外;以及將調整過之該統計值傳送到該RF產生器以控制該RF產生器,以便調 整由該RF產生器所產生之該RF信號。
  17. 如申請專利範圍第16項之方法,其中調整過之該RF信號係經由RF纜線、該阻抗匹配電路、及RF傳輸線而提供至該電漿腔室,其中該RF纜線將該RF產生器耦合至該阻抗匹配電路,其中該RF傳輸線將該阻抗匹配電路耦合至該電漿腔室。
  18. 如申請專利範圍第16項之方法,其中該變數包括功率、或頻率、或電壓大小、或電流大小、或複數電壓與複數電流之間的相位、或複數電流、或複數電壓、或其組合。
  19. 如申請專利範圍第16項之方法,其中該阻抗匹配電路使負載的阻抗與來源的阻抗相匹配,其中該來源包括該RF產生器、以及將該RF產生器耦合至該阻抗匹配電路的RF纜線,其中該負載包括該電漿腔室及RF傳輸線,該RF傳輸線將該電漿腔室耦合至該阻抗匹配電路。
  20. 如申請專利範圍第16項之方法,其中該電漿腔室包括夾盤、以及面向該夾盤的上電極。
  21. 如申請專利範圍第16項之方法,其中該變數包括在該電漿系統內之一處的複數電壓和電流。
  22. 一種方法,包含:自射頻(RF)產生器接收有關變數的資料,該RF產生器用以產生欲經由阻抗匹配電路而供應至電漿腔室之RF信號,該變數係有關RF系統,該RF系統包括該RF產生器、該阻抗匹配電路、及該電漿腔室;基於所接收之該資料而在電腦產生模型的輸出處產生多個數值;計算輸出自該電腦產生模型的該等數值之數量;判斷該數量是否超過總數臨界值; 由輸出自該電腦產生模型的該等數值來產生統計值,以回應確定該數量超過該總數臨界值;判斷該統計值是否在預定限度外;產生錯誤之指示,以回應確定該統計值在該預定限度外;以及將該錯誤指示傳送到該RF產生器。
  23. 如申請專利範圍第22項之方法,更包含:判斷該統計值是否在預定範圍內;將該統計值調整在預定範圍內,以回應確定該統計值在該預定範圍外;以及將調整過之該統計值傳送到該RF產生器以控制該RF產生器,以便產生調整過之RF信號而經由該阻抗匹配電路提供至該電漿腔室。
  24. 如申請專利範圍第22項之方法,其中調整過之該RF信號係經由RF纜線、該阻抗匹配電路、及RF傳輸線而提供至該電漿腔室,其中該RF纜線將該RF產生器耦合至該阻抗匹配電路,其中該RF傳輸線將該阻抗匹配電路耦合至該電漿腔室。
  25. 如申請專利範圍第22項之方法,其中該變數包括功率、或頻率、或負載阻抗的實數部份、或該負載阻抗的虛數部份、或電壓大小、或電流大小、或複數電壓與複數電流之間的相位、或晶圓偏壓、或離子能量、或電漿電位、或複數電流、或複數電壓、或負載阻抗、或其組合。
  26. 如申請專利範圍第22項之方法,其中該變數包括在該電漿系統內之一處的複數電壓和電流。
TW102146191A 2012-12-14 2013-12-13 用以產生電漿系統中之統計值的方法 TWI613698B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201261737623P 2012-12-14 2012-12-14
US61/737,623 2012-12-14
US14/086,883 2013-11-21
US14/086,883 US9295148B2 (en) 2012-12-14 2013-11-21 Computation of statistics for statistical data decimation

Publications (2)

Publication Number Publication Date
TW201443969A true TW201443969A (zh) 2014-11-16
TWI613698B TWI613698B (zh) 2018-02-01

Family

ID=50930105

Family Applications (2)

Application Number Title Priority Date Filing Date
TW102146191A TWI613698B (zh) 2012-12-14 2013-12-13 用以產生電漿系統中之統計值的方法
TW106144705A TWI643237B (zh) 2012-12-14 2013-12-13 用以產生電漿系統中之統計值的方法及系統

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW106144705A TWI643237B (zh) 2012-12-14 2013-12-13 用以產生電漿系統中之統計值的方法及系統

Country Status (3)

Country Link
US (3) US9295148B2 (zh)
SG (2) SG10201605679YA (zh)
TW (2) TWI613698B (zh)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10325759B2 (en) * 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9711332B2 (en) * 2013-05-09 2017-07-18 Lam Research Corporation Systems and methods for tuning an impedance matching network in a step-wise fashion for multiple states of an RF generator
US10950421B2 (en) * 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US9627186B2 (en) * 2014-08-29 2017-04-18 Lam Research Corporation System, method and apparatus for using optical data to monitor RF generator operations
US10102321B2 (en) * 2014-10-24 2018-10-16 Lam Research Corporation System, method and apparatus for refining radio frequency transmission system models
US10109460B2 (en) * 2016-11-30 2018-10-23 Lam Research Corporation Universal non-invasive chamber impedance measurement system and associated methods
KR102452835B1 (ko) * 2016-12-05 2022-10-07 램 리써치 코포레이션 다중 제어 모드
WO2019226462A1 (en) * 2018-05-25 2019-11-28 Lam Research Corporation Heater fault determination during processing
JP6846387B2 (ja) * 2018-06-22 2021-03-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10504744B1 (en) * 2018-07-19 2019-12-10 Lam Research Corporation Three or more states for achieving high aspect ratio dielectric etch
US11961711B2 (en) * 2020-01-20 2024-04-16 COMET Technologies USA, Inc. Radio frequency match network and generator
US20220068602A1 (en) * 2020-09-03 2022-03-03 Tokyo Electron Limited Temperature estimation apparatus, plasma processing system, temperature estimation method and temperature estimation program
JP2022102688A (ja) 2020-12-25 2022-07-07 株式会社ダイヘン 高周波電源システム
JP2023097863A (ja) 2021-12-28 2023-07-10 株式会社ダイヘン 高周波電源システム
US11996274B2 (en) * 2022-04-07 2024-05-28 Mks Instruments, Inc. Real-time, non-invasive IEDF plasma sensor
US20240038601A1 (en) * 2022-07-28 2024-02-01 Applied Materials, Inc. Methods and mechanisms for adjusting chucking voltage during substrate manufacturing

Family Cites Families (167)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4377961A (en) 1979-09-10 1983-03-29 Bode Harald E W Fundamental frequency extracting system
DE3027828A1 (de) 1980-07-23 1982-03-04 Deutsche Itt Industries Gmbh, 7800 Freiburg Frequenz/phasenregelschleife
US4353777A (en) 1981-04-20 1982-10-12 Lfe Corporation Selective plasma polysilicon etching
US4457820A (en) 1981-12-24 1984-07-03 International Business Machines Corporation Two step plasma etching
US4420790A (en) 1982-04-02 1983-12-13 Honeywell Inc. High sensitivity variable capacitance transducer
US4454001A (en) 1982-08-27 1984-06-12 At&T Bell Laboratories Interferometric method and apparatus for measuring etch rate and fabricating devices
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4855897A (en) 1987-07-13 1989-08-08 The Foxboro Company Method and apparatus for statistical set point bias control
DE3923662A1 (de) 1989-07-18 1991-01-24 Leybold Ag Schaltungsanordnung zum automatischen abstimmen eines anpassungsnetzwerks
US5788801A (en) 1992-12-04 1998-08-04 International Business Machines Corporation Real time measurement of etch rate during a chemical etching process
US5479340A (en) 1993-09-20 1995-12-26 Sematech, Inc. Real time control of plasma etch utilizing multivariate statistical analysis
US5571366A (en) 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US5980767A (en) 1994-02-25 1999-11-09 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5989999A (en) 1994-11-14 1999-11-23 Applied Materials, Inc. Construction of a tantalum nitride film on a semiconductor wafer
US6042686A (en) 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5810963A (en) 1995-09-28 1998-09-22 Kabushiki Kaisha Toshiba Plasma processing apparatus and method
US5812361A (en) 1996-03-29 1998-09-22 Lam Research Corporation Dynamic feedback electrostatic wafer chuck
US5892198A (en) 1996-03-29 1999-04-06 Lam Research Corporation Method of and apparatus for electronically controlling r.f. energy supplied to a vacuum plasma processor and memory for same
US6110214A (en) 1996-05-03 2000-08-29 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5764471A (en) 1996-05-08 1998-06-09 Applied Materials, Inc. Method and apparatus for balancing an electrostatic force produced by an electrostatic chuck
US5689215A (en) 1996-05-23 1997-11-18 Lam Research Corporation Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor
US6048435A (en) 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
US6246972B1 (en) 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5737177A (en) 1996-10-17 1998-04-07 Applied Materials, Inc. Apparatus and method for actively controlling the DC potential of a cathode pedestal
US5866985A (en) 1996-12-03 1999-02-02 International Business Machines Corporation Stable matching networks for plasma tools
US5694207A (en) 1996-12-09 1997-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Etch rate monitoring by optical emission spectroscopy
US5889252A (en) 1996-12-19 1999-03-30 Lam Research Corporation Method of and apparatus for independently controlling electric parameters of an impedance matching network
US5894400A (en) 1997-05-29 1999-04-13 Wj Semiconductor Equipment Group, Inc. Method and apparatus for clamping a substrate
CN1299226C (zh) 1997-09-17 2007-02-07 东京电子株式会社 用于监视和控制气体等离子体处理的系统和方法
US6020794A (en) 1998-02-09 2000-02-01 Eni Technologies, Inc. Ratiometric autotuning algorithm for RF plasma generator
US6157867A (en) 1998-02-27 2000-12-05 Taiwan Semiconductor Manufacturing Company Method and system for on-line monitoring plasma chamber condition by comparing intensity of certain wavelength
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
AU4057999A (en) 1998-06-02 1999-12-20 Nikon Corporation Scanning aligner, method of manufacture thereof, and method of manufacturing device
US6021672A (en) 1998-09-18 2000-02-08 Windbond Electronics Corp. Simultaneous in-situ optical sensing of pressure and etch rate in plasma etch chamber
US6222718B1 (en) * 1998-11-12 2001-04-24 Lam Research Corporation Integrated power modules for plasma processing systems
JP4408313B2 (ja) 1999-10-29 2010-02-03 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US7361287B2 (en) 1999-04-30 2008-04-22 Robert Bosch Gmbh Method for etching structures in an etching body by means of a plasma
US6431112B1 (en) 1999-06-15 2002-08-13 Tokyo Electron Limited Apparatus and method for plasma processing of a substrate utilizing an electrostatic chuck
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6441555B1 (en) 2000-03-31 2002-08-27 Lam Research Corporation Plasma excitation coil
US6472822B1 (en) 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
US7137354B2 (en) 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
JP4240259B2 (ja) 2000-08-21 2009-03-18 富士電機システムズ株式会社 プラズマ電位測定方法と測定用プローブ
US6492774B1 (en) 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
US7871676B2 (en) 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US7019543B2 (en) 2001-03-16 2006-03-28 Tokyo Electron Limited Impedance monitoring system and method
US6522121B2 (en) 2001-03-20 2003-02-18 Eni Technology, Inc. Broadband design of a probe analysis system
IE20010288A1 (en) 2001-03-23 2002-10-02 Scient Systems Res Ltd Endpoint Detection in the Etching of Dielectric Layers
US7096819B2 (en) 2001-03-30 2006-08-29 Lam Research Corporation Inductive plasma processor having coil with plural windings and method of controlling plasma density
US6417732B1 (en) * 2001-04-06 2002-07-09 Eni Technology, Inc. Controller for RF power generator with reduced cable length sensitivity
US6750711B2 (en) 2001-04-13 2004-06-15 Eni Technology, Inc. RF power amplifier stability
US6669783B2 (en) 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
US6727655B2 (en) 2001-10-26 2004-04-27 Mcchesney Jon Method and apparatus to monitor electrical states at a workpiece in a semiconductor processing chamber
JP4006982B2 (ja) 2001-11-16 2007-11-14 セイコーエプソン株式会社 プリンタ及びプリンタユニット
KR100557842B1 (ko) 2001-12-10 2006-03-10 동경 엘렉트론 주식회사 고주파 전원 및 그 제어 방법 및 플라즈마 처리 장치
US20030119308A1 (en) 2001-12-20 2003-06-26 Geefay Frank S. Sloped via contacts
US7480571B2 (en) 2002-03-08 2009-01-20 Lam Research Corporation Apparatus and methods for improving the stability of RF power delivery to a plasma load
JP2003282545A (ja) 2002-03-26 2003-10-03 Seiko Epson Corp 半導体装置の製造方法及びプラズマ処理装置
US7557591B2 (en) 2002-03-28 2009-07-07 Tokyo Electron Limited System and method for determining the state of a film in a plasma reactor using an electrical property
US7505879B2 (en) 2002-06-05 2009-03-17 Tokyo Electron Limited Method for generating multivariate analysis model expression for processing apparatus, method for executing multivariate analysis of processing apparatus, control device of processing apparatus and control system for processing apparatus
US20040028837A1 (en) 2002-06-28 2004-02-12 Tokyo Electron Limited Method and apparatus for plasma processing
US20050252884A1 (en) 2002-06-28 2005-11-17 Tokyo Electron Limited Method and system for predicting process performance using material processing tool and sensor data
US6664166B1 (en) 2002-09-13 2003-12-16 Texas Instruments Incorporated Control of nichorme resistor temperature coefficient using RF plasma sputter etch
US20040060660A1 (en) 2002-09-26 2004-04-01 Lam Research Inc., A Delaware Corporation Control of plasma density with broadband RF sensor
US6873114B2 (en) 2002-09-26 2005-03-29 Lam Research Corporation Method for toolmatching and troubleshooting a plasma processing system
TWI391035B (zh) 2002-12-16 2013-03-21 Japan Science & Tech Agency Plasma generation device, plasma control method and substrate manufacturing method (1)
US20040127031A1 (en) 2002-12-31 2004-07-01 Tokyo Electron Limited Method and apparatus for monitoring a plasma in a material processing system
JP2004239211A (ja) 2003-02-07 2004-08-26 Denso Corp 吸気モジュール
US6781317B1 (en) 2003-02-24 2004-08-24 Applied Science And Technology, Inc. Methods and apparatus for calibration and metrology for an integrated RF generator system
JP2004335594A (ja) 2003-05-02 2004-11-25 Matsushita Electric Ind Co Ltd プラズマ処理装置
US7247218B2 (en) 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7795153B2 (en) 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US6862557B2 (en) 2003-06-12 2005-03-01 Lam Research Corporation System and method for electronically collecting data in a fabrication facility
US7169625B2 (en) 2003-07-25 2007-01-30 Applied Materials, Inc. Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
US7405521B2 (en) 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US7328126B2 (en) * 2003-09-12 2008-02-05 Tokyo Electron Limited Method and system of diagnosing a processing system using adaptive multivariate analysis
US7042311B1 (en) 2003-10-10 2006-05-09 Novellus Systems, Inc. RF delivery configuration in a plasma processing system
JP2005130198A (ja) 2003-10-23 2005-05-19 Ulvac Japan Ltd 高周波装置
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
JP3768999B2 (ja) 2003-10-29 2006-04-19 澄英 池之内 プラズマ処理装置とその制御方法
US7190119B2 (en) 2003-11-07 2007-03-13 Lam Research Corporation Methods and apparatus for optimizing a substrate in a plasma processing system
US6983215B2 (en) 2003-12-02 2006-01-03 Mks Instruments, Inc. RF metrology characterization for field installation and serviceability for the plasma processing industry
US7879185B2 (en) 2003-12-18 2011-02-01 Applied Materials, Inc. Dual frequency RF match
US7157857B2 (en) 2003-12-19 2007-01-02 Advanced Energy Industries, Inc. Stabilizing plasma and generator interactions
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
JP2005284046A (ja) 2004-03-30 2005-10-13 Kumamoto Univ パターンずれ量検出方法及び露光装置
US7435926B2 (en) 2004-03-31 2008-10-14 Lam Research Corporation Methods and array for creating a mathematical model of a plasma processing system
US20050241762A1 (en) 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
US7169256B2 (en) 2004-05-28 2007-01-30 Lam Research Corporation Plasma processor with electrode responsive to multiple RF frequencies
KR101144018B1 (ko) 2004-05-28 2012-05-09 램 리써치 코포레이션 복수 rf 주파수에 반응하는 전극을 갖는 플라즈마 처리기
US20060000799A1 (en) * 2004-06-30 2006-01-05 Hyun-Ho Doh Methods and apparatus for determining endpoint in a plasma processing system
FR2875304B1 (fr) 2004-09-16 2006-12-22 Ecole Polytechnique Etablissem Sonde de mesure de caracteristiques d'un courant d'excitation d'un plasma, et reacteur a plasma associe
US20060065632A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency
US7323116B2 (en) 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US20060065631A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring impedance
US7666464B2 (en) 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20060100824A1 (en) 2004-10-27 2006-05-11 Tokyo Electron Limited Plasma processing apparatus, abnormal discharge detecting method for the same, program for implementing the method, and storage medium storing the program
US7459100B2 (en) 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US7364623B2 (en) 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
US20060172536A1 (en) 2005-02-03 2006-08-03 Brown Karl M Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece
US7602127B2 (en) 2005-04-18 2009-10-13 Mks Instruments, Inc. Phase and frequency control of a radio frequency generator from an external source
US7359177B2 (en) 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
ATE441203T1 (de) 2005-06-10 2009-09-15 Bird Technologies Group Inc System und verfahren zur analyse des stromflusses in halbleiter-plasmaerzeugungssystemen
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7375038B2 (en) 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
US7764140B2 (en) 2005-10-31 2010-07-27 Mks Instruments, Inc. Radio frequency power delivery system
US20080179948A1 (en) 2005-10-31 2008-07-31 Mks Instruments, Inc. Radio frequency power delivery system
US7780864B2 (en) 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7722778B2 (en) 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
CN100530529C (zh) 2006-07-17 2009-08-19 应用材料公司 具有静电卡盘电压反馈控制的双偏置频率等离子体反应器
US20080029385A1 (en) 2006-08-03 2008-02-07 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US8192576B2 (en) 2006-09-20 2012-06-05 Lam Research Corporation Methods of and apparatus for measuring and controlling wafer potential in pulsed RF bias processing
US7902991B2 (en) 2006-09-21 2011-03-08 Applied Materials, Inc. Frequency monitoring to detect plasma process abnormality
TWI320546B (en) * 2006-12-06 2010-02-11 Mstar Semiconductor Inc Rfid interrogators
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US7728602B2 (en) 2007-02-16 2010-06-01 Mks Instruments, Inc. Harmonic derived arc detector
US8241457B2 (en) 2007-03-30 2012-08-14 Tokyo Electron Limited Plasma processing system, plasma measurement system, plasma measurement method, and plasma control system
KR100870121B1 (ko) 2007-04-19 2008-11-25 주식회사 플라즈마트 임피던스 매칭 방법 및 이 방법을 위한 매칭 시스템
CN101295345B (zh) 2007-04-29 2010-06-16 晨星半导体股份有限公司 射频识别读取装置
US7768269B2 (en) 2007-08-15 2010-08-03 Applied Materials, Inc. Method of multi-location ARC sensing with adaptive threshold comparison
ES2688300T3 (es) 2007-11-06 2018-10-31 Creo Medical Limited Aplicador para esterilización por plasma mediante microondas
US9074285B2 (en) 2007-12-13 2015-07-07 Lam Research Corporation Systems for detecting unconfined-plasma events
US7586100B2 (en) * 2008-02-12 2009-09-08 Varian Semiconductor Equipment Associates, Inc. Closed loop control and process optimization in plasma doping processes using a time of flight ion detector
JP5319150B2 (ja) 2008-03-31 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
CN101551845B (zh) * 2008-03-31 2011-07-20 华为技术有限公司 电子标签的识别及应答方法、装置及系统
JP2011525682A (ja) 2008-05-14 2011-09-22 アプライド マテリアルズ インコーポレイテッド Rf電力供給のための時間分解チューニングスキームを利用したパルス化プラズマ処理の方法及び装置
US8337661B2 (en) 2008-05-29 2012-12-25 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US7967944B2 (en) 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator
US8264238B1 (en) 2008-06-13 2012-09-11 Mks Instruments, Inc. Method for calibrating a broadband voltage/current probe
US20090308734A1 (en) * 2008-06-17 2009-12-17 Schneider Automation Inc. Apparatus and Method for Wafer Level Arc Detection
WO2010019430A2 (en) 2008-08-12 2010-02-18 Applied Materials, Inc. Electrostatic chuck assembly
US8103492B2 (en) 2008-09-05 2012-01-24 Tokyo Electron Limited Plasma fluid modeling with transient to stochastic transformation
US20100099266A1 (en) 2008-09-22 2010-04-22 Applied Materials, Inc. Etch reactor suitable for etching high aspect ratio features
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
US8040068B2 (en) 2009-02-05 2011-10-18 Mks Instruments, Inc. Radio frequency power control system
JP2010199126A (ja) * 2009-02-23 2010-09-09 Panasonic Corp プラズマ処理方法およびプラズマ処理装置
WO2010102125A2 (en) 2009-03-05 2010-09-10 Applied Materials, Inc. Inductively coupled plasma reactor having rf phase control and methods of use thereof
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP2010250959A (ja) 2009-04-10 2010-11-04 Hitachi High-Technologies Corp プラズマ処理装置
US8674606B2 (en) 2009-04-27 2014-03-18 Advanced Energy Industries, Inc. Detecting and preventing instabilities in plasma processes
US8473089B2 (en) 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
US8271121B2 (en) 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US20110097901A1 (en) 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
US8909365B2 (en) 2009-11-19 2014-12-09 Lam Research Corporation Methods and apparatus for controlling a plasma processing system
US8901935B2 (en) 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US20120000887A1 (en) * 2010-06-30 2012-01-05 Kabushiki Kaisha Toshiba Plasma treatment apparatus and plasma treatment method
KR20120022251A (ko) 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
US9076826B2 (en) 2010-09-24 2015-07-07 Lam Research Corporation Plasma confinement ring assembly for plasma processing chambers
US8779662B2 (en) 2010-10-20 2014-07-15 Comet Technologies Usa, Inc Pulse mode capability for operation of an RF/VHF impedance matching network with 4 quadrant, VRMS/IRMS responding detector circuitry
US8803424B2 (en) * 2010-10-20 2014-08-12 COMET Technologies USA, Inc. RF/VHF impedance matching, 4 quadrant, dual directional coupler with V RMS/IRMS responding detector circuitry
US8723423B2 (en) 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
US8679358B2 (en) 2011-03-03 2014-03-25 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
US8869612B2 (en) 2011-03-08 2014-10-28 Baxter International Inc. Non-invasive radio frequency liquid level and volume detection system using phase shift
US20130122711A1 (en) 2011-11-10 2013-05-16 Alexei Marakhtanov System, method and apparatus for plasma sheath voltage control
US9224618B2 (en) 2012-01-17 2015-12-29 Lam Research Corporation Method to increase mask selectivity in ultra-high aspect ratio etches
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
JP6257638B2 (ja) 2012-10-30 2018-01-10 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 高アスペクト比酸化物エッチング用のフルオロカーボン分子
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber

Also Published As

Publication number Publication date
US10163605B2 (en) 2018-12-25
US9295148B2 (en) 2016-03-22
TWI643237B (zh) 2018-12-01
US20140167613A1 (en) 2014-06-19
TWI613698B (zh) 2018-02-01
SG10201605679YA (en) 2016-08-30
TW201810357A (zh) 2018-03-16
US20160172162A1 (en) 2016-06-16
SG2013093042A (en) 2014-07-30
US20180053632A1 (en) 2018-02-22
US9831065B2 (en) 2017-11-28

Similar Documents

Publication Publication Date Title
TWI643237B (zh) 用以產生電漿系統中之統計值的方法及系統
KR102220078B1 (ko) 통계 데이터 데시메이션을 위한 통계의 계산
US10707056B2 (en) Using modeling to determine ion energy associated with a plasma system
US9652567B2 (en) System, method and apparatus for improving accuracy of RF transmission models for selected portions of an RF transmission path
US10381201B2 (en) Control of etch rate using modeling, feedback and impedance match
US20160117425A1 (en) System, Method and Apparatus for Refining RF Transmission System Models
US9530620B2 (en) Dual control modes
US20170032945A1 (en) Using modeling to determine wafer bias associated with a plasma system
KR102208578B1 (ko) 인-챔버 및 챔버-투-챔버 매칭을 위한 다중 매개 변수를 갖는 에칭 레이트 모델링 및 그 사용
TWI668725B (zh) 使用模型化、回授及阻抗匹配之蝕刻速率的控制
TWI609406B (zh) 調諧與電漿阻抗有關之參數的方法
US20190318919A1 (en) Control of etch rate using modeling, feedback and impedance match
CN108447759B (zh) 使用模型确定与等离子体系统关联的离子能量的方法和系统
US9927481B2 (en) Cable power loss determination for virtual metrology
US20180254768A1 (en) Coefficient generation for digital filters
KR20140133475A (ko) 플라즈마 시스템 내의 모델의 세그먼트화
CN102023738B (zh) 确定触控面板上触碰事件的触碰点的处理电路及相关方法