TW201443549A - 超平滑層紫外線微影術鏡及基板與其製造及微影術系統 - Google Patents

超平滑層紫外線微影術鏡及基板與其製造及微影術系統 Download PDF

Info

Publication number
TW201443549A
TW201443549A TW103107027A TW103107027A TW201443549A TW 201443549 A TW201443549 A TW 201443549A TW 103107027 A TW103107027 A TW 103107027A TW 103107027 A TW103107027 A TW 103107027A TW 201443549 A TW201443549 A TW 201443549A
Authority
TW
Taiwan
Prior art keywords
ultra
layer
smooth layer
substrate
smooth
Prior art date
Application number
TW103107027A
Other languages
English (en)
Other versions
TWI631411B (zh
Inventor
Soumendra N Barman
Cara Beasley
Abhijit Basu Mallick
Ralf Hofmann
Nitin K Ingle
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201443549A publication Critical patent/TW201443549A/zh
Application granted granted Critical
Publication of TWI631411B publication Critical patent/TWI631411B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70316Details of optical elements, e.g. of Bragg reflectors, extreme ultraviolet [EUV] multilayer or bilayer mirrors or diffractive optical elements
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7095Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
    • G03F7/70958Optical materials or coatings, e.g. with particular transmittance, reflectance or anti-reflection properties
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • G21K1/062Devices having a multilayer structure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/164Coating processes; Apparatus therefor using electric, electrostatic or magnetic means; powder coating
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K2201/00Arrangements for handling radiation or particles
    • G21K2201/06Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements
    • G21K2201/067Construction details

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Chemical Vapour Deposition (AREA)
  • Optical Elements Other Than Lenses (AREA)

Abstract

一種超紫外線鏡或基板生產系統包括:第一沉積系統,用於在半導體襯底上沉積平坦化層;第二沉積系統,用於在該平坦化層上沉積超平滑層,該超平滑層具有重組分子;以及第三沉積系統,用於在該超平滑層上沉積多層堆疊。該超紫外線基板包括:襯底;該襯底上之平坦化層;該平坦化層上之超平滑層,該超平滑層具有重組分子;多層堆疊;以及該多層堆疊上之封蓋層。一種超紫外線微影術系統包括:超紫外線光源;鏡,用於導引來自該超紫外線光源之光;主光罩台,用於將超紫外線遮罩基板與平坦化層置放在一起且將超平滑層置放在該平坦化層上;以及晶圓臺,用於置放晶圓。

Description

超平滑層紫外線微影術鏡及基板與其製造及微影術系統 【相關申請案之交互參照】
本申請案主張2013年3月14日申請之美國臨時專利申請案第61/786,109號之權益,且該美國臨時專利申請案之標的以引用之方式併入本文中。
本申請案含有與2013年12月23日申請之同時申請的美國專利申請案第14/139,307號相關之標的,且該美國專利申請案之標的以引入之方式併入本文中。
本申請案含有與2013年12月23日申請之同時申請的美國專利申請案第14/139,371號相關之標的,且該美國專利申請案之標的以引入之方式併入本文中。
本申請案含有與2013年12月23日申請之同時申請的美國專利申請案第14/139,415號相關之標的,且該美國專利申請案之標的以引入之方式併入本文中。
本申請案含有與2013年12月23日申請之同時申請的美國專利申請案第14/139,457號相關之標的,且該美國專 利申請案之標的以引入之方式併入本文中。
本發明大體而言係關於超紫外線微影術鏡及基板,及用於此等超紫外線微影術鏡及基板之製造及微影術系統。
超紫外線微影術(超紫外線(extreme ultraviolet;EUV),亦稱為軟性X射線投影微影術)為用以替代用於製造0.13微米及更小、最小特徵尺寸之半導體元件之深紫外線微影術的競爭者。
然而,通常在5奈米至40奈米波長範圍中之超紫外線光幾乎在所有材料中被強烈吸收。因此,超紫外線系統藉由反射而非藉由光透射來工作。藉由使用塗佈有非反射吸收劑遮罩圖案之一系列鏡或透鏡元件,及反射元件或遮罩基板,圖案化之光化光經反射至抗蝕劑塗佈的半導體晶圓上。
超紫外線微影術系統之透鏡元件及遮罩基板塗佈有諸如鉬及矽之材料之反射性多層塗層。已藉由使用塗佈有多層塗層之襯底獲得每一透鏡元件或遮罩基板近似65%之反射值,該等多層塗層強烈地反射實質上在極其狹窄的紫外線帶通內之單個波長上之光;該極其狹窄的紫外線帶通例如對於13奈米紫外線光之12奈米至14奈米的帶通。
在半導體處理技術中存在引起透鏡元件及遮罩中之問題之各種類別的缺陷。不透明缺陷通常係由多層塗層之頂部或遮罩圖案上之粒子引起的,在應反射光時該等粒子吸收光。空隙缺陷通常係由多層塗層之頂部上之遮罩圖案中之針 孔引起的,在應吸收光時光經反射穿過該等針孔。且相位缺陷通常係由多層塗層下方之刮痕及表面變化引起的,該等刮痕及表面變化引起反射光之相位轉變。此等相位轉變導致光波干涉效應,該等光波干涉效應使將要暴露於半導體晶圓之表面上之抗蝕劑中的圖案扭曲或改變。由於必須用於次0.13微米最小特徵尺寸之輻射之較短波長,因此之前並不顯著之刮痕及表面變化現在變得無法容忍。
雖然已在減少或消除粒子缺陷方面取得進展,且已對透鏡元件及遮罩中之不透明缺陷及空隙缺陷之修復進行了工作,但是至今尚未對解決相位缺陷問題進行任何工作。對於深紫外線微影術,表面經處理以維持低於60度之相位轉變。用於超紫外線微影術之類似處理仍有待發展。
對於13奈米之光化波長,自多層塗層反射之光中180度相位轉變可對於下層表面中深度少至3奈米之刮痕發生。此深度隨著波長變短而變淺。類似地,在相同波長上,比一百(100)奈米行程上之一(1)奈米上升度更突變之表面變化可引起類似相位轉變。此等相位轉變可在半導體晶圓之表面處引起相位缺陷且不可修理地損壞半導體元件。
過去,用於深紫外線微影術之透鏡元件及遮罩基板通常由玻璃製成,但已建議矽或超低熱膨脹材料作為用於超紫外線微影術之替代物。無論透鏡元件或遮罩基板是否屬於玻璃、超低熱膨脹材料或矽,藉由使用研磨劑之機械研磨來使得透鏡元件或遮罩基板之表面儘可能平滑。在此製程中留下之刮痕有時被稱為「刮痕-坑洞」痕跡,且該等刮痕之深度 及寬度取決於用來研磨遮罩基板之研磨劑中之粒子的大小。對於可見光及深紫外線微影術,此等刮痕過小而無法在半導體晶圓上之圖案中引起相位缺陷。然而,對於超紫外線微影術,刮痕-坑洞痕跡為顯著問題,因為該等刮痕-坑洞痕跡將表現為相位缺陷。
由於EUV微影術所需之短照明波長,因此使用之透鏡元件及圖案遮罩必須為反射遮罩,而非當前微影術中使用之透射遮罩。反射遮罩係由鉬及矽之交替薄層之精確堆疊組成,該精確堆疊產生布拉格(Bragg)折射器或鏡。由於多層堆疊及小特徵尺寸之本性,多層堆疊所沉積之襯底之表面中之任何瑕疵將經放大且影響最終產品。幾奈米級之瑕疵可在成品遮罩上顯露為可列印的缺陷,且該等瑕疵需要在多層堆疊之沉積之前自遮罩基板之表面消除。
常見的瑕疵包括凹坑、刮痕及粒子。常見的清洗技術移除許多粒子,但產生新凹坑或放大現有凹坑。凹坑可來自研磨或清洗製程或凹坑可來自襯底材料自身中之夾雜物或疵點,該等夾雜物或疵點在切割及研磨製程期間暴露。進一步研磨可用來移除表面處之凹坑,但存在將在製程中暴露或引起新凹坑之風險,此狀況限制單獨使用研磨來平滑且平坦化襯底表面之效用。用於襯底平滑之另一方法為雷射或電漿退火。此等技術熔化且回焊玻璃襯底之薄表面層,從而移除局部缺陷。問題在於該等技術引起襯底表面中之較長範圍的粗糙度或波紋,且如此亦未提供EUV遮罩基板所需之襯底平坦度。
考慮到對電子組件之日益較小的特徵尺寸之需要,找到此等問題之答案日益關鍵。考慮到不斷增加的商業競爭壓力以及增長的消費者期望,找到此等問題之答案係關鍵的。另外,降低成本、提高效率及效能,及滿足競爭壓力之需要將甚至更大的急迫性增添至找到此等問題之答案之關鍵必要性。
已長期尋找此等問題之解決方案,但是在先的發展尚未教示或建議任何解決方案,且因此熟習此項技術者長期躲避此等問題之解決方案。
本發明之實施例提供一種超紫外線透鏡元件或基板生產系統,其包括:第一沉積系統,用於在半導體襯底上沉積平坦化層;第二沉積系統,用於在平坦化層上沉積超平滑層,該超平滑層具有重組分子;以及第三沉積系統,用於在超平滑層上沉積多層堆疊。
本發明之實施例提供一種超紫外線微影術系統,其包括:超紫外線光源;鏡,用於導引來自超紫外線光源之光;主光罩台,用於將超紫外線遮罩基板與平坦化層置放在一起且將超平滑層置放在平坦化層上;以及晶圓臺,用於置放晶圓。
本發明之實施例提供一種超紫外線基板,其包括:襯底;襯底上之平坦化層;平坦化層上之超平滑層,該超平滑層具有重組分子;多層堆疊,具有非晶金屬層;以及多層堆疊上之封蓋層。
除以上所述彼等步驟或元件之外或代替該等步驟或元件,本發明之某些實施例具有其他步驟或元件。根據閱讀以下參考隨附圖式進行之詳細描述,步驟或元件對於熟習此項技術者將變得顯而易見。
100‧‧‧超紫外線(EUV)鏡或基板生產系統
102‧‧‧遮罩基板負載及載體傳送系統
104‧‧‧遮罩基板
106‧‧‧氣室
108‧‧‧晶圓傳送真空腔室
110‧‧‧第一真空腔室
112‧‧‧第二真空腔室
114‧‧‧第一晶圓傳送系統
116‧‧‧第二晶圓傳送系統
118‧‧‧除氣系統
120‧‧‧第一物理氣相沉積系統
122‧‧‧第二物理氣相沉積系統
124‧‧‧預清洗系統
126‧‧‧第一多陰極源極
128‧‧‧可流動化學氣相沉積系統/FCVD系統
130‧‧‧固化腔室
132‧‧‧超平滑沉積腔室
134‧‧‧晶圓
136‧‧‧晶圓
200‧‧‧EUV透鏡元件或遮罩基板
202‧‧‧超低膨脹襯底
203‧‧‧瑕疵
204‧‧‧平坦化層
206‧‧‧多層堆疊
208‧‧‧封蓋層
210‧‧‧吸收劑層
212‧‧‧抗反射塗層/ACR
214‧‧‧背側夾持層
300‧‧‧EUV遮罩
302‧‧‧圖案
400‧‧‧方法
404‧‧‧步驟
406‧‧‧步驟
408‧‧‧步驟
500‧‧‧光學元件串
502‧‧‧電漿源
504‧‧‧集光器
506‧‧‧照明器系統
508‧‧‧視野鏡面反射鏡
510‧‧‧括瞳孔鏡面反射鏡
512‧‧‧主光罩
514‧‧‧投影光學器件
516‧‧‧晶圓
600‧‧‧EUV微影術系統
602‧‧‧EUV光源區域
604‧‧‧主光罩台
606‧‧‧晶圓臺
第1圖為超紫外線(EUV)鏡或遮罩基板生產系統。
第2圖為根據本發明之實施例之EUV遮罩基板。
第3圖為EUV遮罩。
第4圖為用於製作具有超低缺陷之EUV遮罩基板之方法。
第5圖為用於EUV微影術系統之光學元件串。
第6圖為EUV微影術系統。
足夠詳細地描述了以下實施例以賦能於熟習此項技術者來製作且使用本發明。將理解,其他實施例將基於本揭示內容為明顯的,且可在不脫離本發明之範疇的情況下進行系統、製程或機械改變。
在以下描述中,給出許多特定細節以提供本發明之徹底理解。然而,將顯而易見的是,可在無此等特定細節的情況下實踐本發明。為了避免使本發明難以理解,並未詳細揭示一些熟知的電路、系統配置及製程步驟。
展示系統之實施例之圖式為部分圖解且並未按比例縮放,且具體而言,尺寸中之一些尺寸用於呈現之清晰性且在圖示之諸圖中展示為誇大的。類似地,儘管用於便於描述 之圖式中之視圖通常展示類似的定向,但是諸圖中之此繪圖大多係任意的。大體而言,本發明可以任何定向操作。
在揭示且描述具有一些共用特徵之多個實施例的情況下,出於清晰性及便於該等實施例之圖解、描述以及理解,類似及相同特徵將使用類似元件符號來描述。
出於解釋目的,如本文所使用之術語「水平的」定義為平行於透鏡元件或遮罩基板之平面或表面的平面,而不考慮該透鏡元件或遮罩基板之定向。術語「垂直的」代表垂直於如剛剛定義之水平之方向。諸如「以上」、「以下」、「底部」、「頂部」、「側面」(如在「側壁」中)、「較高」、「較低」、「上部」、「在……上」及「在……下」之術語係相對於如諸圖中所示之水平平面來定義。術語「在……上」指示元件之間存在直接接觸。
如本文中所使用之術語「處理」包括如在形成所述結構中所需之材料或光阻劑之沉積、材料或光阻劑之圖案化、暴露、顯影、蝕刻、清洗及/或移除。
本發明之實施例藉由化學氣相沉積(chemical vapor deposition;CVD)、物理氣相沉積(physical vapor deposition;PVD)、原子層沉積(atomic layer deposition;ALD)及可流動CVD來將各種已建立技術用於沉積矽、氧化矽及具有相容熱膨脹係數之相關膜,以填充凹坑且埋藏缺陷。一旦已沉積,膜表面可為足夠平滑且平坦的以用於進一步多層堆疊沉積,或接著可使用各種已建立平滑或研磨技術來進一步平滑該膜表面,該等平滑或研磨技術包括化學機械研磨(chemical mechanical polishing;CMP)、退火或離子束研磨。
現參閱第1圖,其中展示超紫外線(EUV)鏡或基板生產系統100。EUV鏡或基板生產系統100包括遮罩基板負載及載體傳送系統102,遮罩基板104經裝載至該遮罩基板負載及載體傳送系統中。氣室106提供至晶圓傳送真空腔室108之進出。在所示實施例中,晶圓傳送真空腔室108含有兩個真空腔室,即第一真空腔室110及第二真空腔室112。在第一真空腔室110內為第一晶圓傳送系統114,且在第二真空腔室112中為第二晶圓傳送系統116。
晶圓傳送真空腔室108具有圍繞該晶圓傳送真空腔室周邊之複數個埠,以用於各種其他系統之附接。第一真空腔室110具有除氣系統118、第一物理氣相沉積系統120、第二物理氣相沉積系統122及預清洗系統124。
第二真空腔室112具有第一多陰極源極126、可流動化學氣相沉積(flowable chemical vapor deposition;FCVD)系統128、固化腔室130及超平滑沉積腔室132,上述各者連接至該第二真空腔室。
在替代性實施例中,FCVD系統128、固化腔室130及超平滑沉積腔室132可處於與EUV鏡或基板生產系統100分開的系統中。
第一晶圓傳送系統114能夠在連續真空中於氣室106及圍繞第一真空腔室110之周邊之各種系統之中移動晶圓,諸如晶圓134。第二晶圓傳送系統116能夠圍繞第二真空腔室112移動諸如晶圓136之晶圓,同時維持晶圓處於連續 真空中。
現參閱第2圖,在該圖中展示根據本發明之實施例之EUV透鏡元件或遮罩基板200。EUV透鏡元件或遮罩基板200具有玻璃或矽之超低膨脹襯底202。超低膨脹襯底202之頂表面具有瑕疵203,諸如凸塊、凹坑、刮痕及粒子,該等瑕疵起因於使用研磨劑之化學機械研磨(CMP)或其他研磨方法及襯底傳送。在此製程中留下之刮痕有時被稱為「刮痕-坑洞」痕跡,且該等刮痕之深度及寬度取決於用來研磨EUV透鏡元件或遮罩基板200以形成EUV鏡或遮罩之研磨劑中之粒子的大小。
已發現,EUV透鏡元件或遮罩基板200中之瑕疵可藉由平坦化層204之沉積來消除。平坦化層204可藉由沉積可流動CVD膜或藉由CVD、PVD或類似製程沉積矽、氧化矽或相關膜來形成。此步驟埋藏粒子,填充刮痕或壓痕,且修復超低膨脹襯底202上之其他缺陷。
在可流動CVD膜之狀況下,可無需進一步處理來達成超低膨脹襯底202上之可接受之平滑、平坦的表面。對於矽、氧化矽或相關膜,可能需要在沉積之後的平滑。此平滑可藉由各種研磨方法來進行,該等研磨方法包括但不限於CMP、化學研磨、離子束研磨或退火。若需要進一步平滑,則此等平滑技術亦可應用於可流動CVD膜。
然而,仍發現平坦化層204具有高達1.0nm RMS之粗糙度。
已發現,可藉由在平坦化層204上塗覆超平滑層205 來進一步降低平坦化層204之粗糙度。超平滑定義為低於0.2nm RMS的局部粗糙度。
在超平滑層205之沉積期間,存在產生超平滑度之膜之重組。重組起因於回焊製程、濺射及再沉積製程,或重組膜之分子以使表面變平達到超平滑度之其它製程。
超平滑層205可將改良之機械性質及化學性質給予平坦化層204之表面以有助於後續處理步驟之整合。超平滑層205包括諸如高密度電漿(high density plasma;HDP)氧化物、硼摻雜之含磷玻璃、非晶矽或金屬膜之薄膜。
已發現,可藉由將HDP氧化物層塗覆至約0.15nm RMS來進一步平滑具有約0.5nm RMS之局部粗糙度的平坦化層204。
薄膜之多層堆疊206經形成於平坦化層204上方以形成布拉格反射器。由於EUV中使用之光學器件及照明波長之透射本性,因此使用反射光學器件,且可由反射材料之交替層製作多層堆疊206,該等反射材料諸如鉬及矽,該等交替層比平坦化層204及超平滑層205薄得多。
已發現,平坦化層204及超平滑層205可形成於與多層堆疊206不同的系統中,因為在製程之間維持真空之需要並非形成層及堆疊之必要條件。
封蓋層208經形成於多層堆疊206上方。封蓋層208可為諸如釕(Ru)或釕之非氧化化合物之材料,以幫助保護多層堆疊206免受任何化學蝕刻劑之影響,EUV遮罩基板200在遮罩處理期間暴露於該等化學蝕刻劑。諸如氮化鈦、碳化硼、 氮化矽、氧化釕及碳化矽之其他材料亦可使用於封蓋層208中。
吸收劑層210經置放在封蓋層208上。吸收劑層210屬於對於特定頻率之EUV光(約13.5nm)具有高吸收係數之材料,且吸收劑層210可為諸如鉻、鉭或鉻、鉭之氮化物之材料。
抗反射塗層(anti-reflective coating;ARC)212經沉積在吸收劑層210上。ARC 212可屬於諸如氮氧化鉭或氧化鉭硼之材料。
背側夾持層214經形成於超低膨脹襯底202之後表面上,以用於將襯底夾持於靜電夾盤(未展示)中。
現參閱第3圖,在該圖中展示EUV遮罩300。EUV遮罩300為方形的,且EUV遮罩300在該遮罩之頂表面上具有圖案302。
現參閱第4圖,在該圖中展示製造EUV鏡或遮罩基板之方法400。方法400包括:在步驟404中於襯底上形成平坦化層;在步驟406中於平坦化層上形成超平滑層;以及在步驟408中於超平滑層上形成多層堆疊。
現參閱圖5,在該圖中展示用於EUV微影術系統之光學元件串500。光學元件串500具有用於創建EUV光且將該EUV光收集於集光器504中之電漿源502。集光器504將光提供至視野鏡面反射鏡508,該視野鏡面反射鏡為照明器系統506之部分,該照明器系統進一步包括瞳孔鏡面反射鏡510。照明器系統506將EUV光提供至主光罩512(該主光罩 為第1圖之遮罩基板104之完全處理版本),該主光罩經由投影光學器件514反射EUV光且將EUV光反射至晶圓516上。
現參閱第6圖,在該圖中展示EUV微影術系統600。EUV微影術系統600包括EUV光源區域602、主光罩台604及晶圓臺606,該主光罩台及該晶圓臺作為光學元件串500之補佐物。
本發明之實施例平坦化且平滑EUV透鏡元件及遮罩基板襯底,以便移除襯底表面上之所有凹坑、缺陷及粒子,以使得表面為原子級平坦且平滑的。觀念為在EUV透鏡元件或遮罩基板襯底之表面上沉積無缺陷材料,該EUV透鏡元件或遮罩基板襯底接著可在並不引起任何缺陷之情況下經處理以達成原子級平坦且平滑的表面。
第一步驟為填充呈現之任何凹坑;此舉可藉由沉積可流動CVD膜或藉由經由CVD、PVD或類似製程沉積矽、氧化矽或相關膜來進行。此步驟將亦埋藏EUV遮罩基板襯底表面上之粒子及其他缺陷。在可流動CVD膜之狀況下,可無需進一步CMP或其他平滑處理來達成EUV遮罩基板襯底上之可接受之平滑、平坦的表面。
對於矽、氧化矽或相關膜,將可能需要沉積之後的平滑。此平滑可藉由各種研磨方法來進行,該等研磨方法包括但不限於CMP、化學研磨、離子束研磨或退火。若可流動CVD膜需要進一步平滑,則此等平滑技術亦可應用於可流動CVD膜。
此方法之一個優點為該方法為襯底獨立的,且因此該方法可用於各種襯底及各種品質之襯底上。潛在地,有可能使用具有EUV透鏡元件及遮罩基板所需之性質,但在研磨之後不具有原子級平坦、光滑的表面之玻璃襯底。此獨立性使得可以使用不同襯底供應商,且最小化藉由供應商對襯底準備及研磨之意外改變的影響。
本發明之實施例提供用於EUV透鏡元件或遮罩基板之原子級平坦的、低缺陷光滑表面。然而,本發明之實施例亦可用來製造諸如鏡之其他類型之基板。在玻璃襯底上,本發明之實施例可用來形成EUV鏡。
此外,本發明之實施例可應用於在UV、DUV、電子束、可見光、紅外線、離子束、X射線及其他類型之半導體微影術中使用之其他原子級平坦的、低缺陷光滑表面結構。本發明之實施例亦可使用於可在自晶圓級至裝置級且甚至至更大區域顯示器及太陽能應用的範圍中之各種大小結構。
另一方法將使用平坦的高度熱傳導表面來生長多層堆疊。歷史上,由於使用之光學器件及照明波長之透射本性,將玻璃用作遮罩之襯底。EUV由所有材料吸收,因此使用反射光學器件。然而,反射率並非100%(對於當前Mo/Si堆疊,反射率<70%),且輻射之吸收部分將加熱襯底。當前遮罩玻璃襯底組合物經最佳化以在操作溫度下給出零熱膨脹係數,以在抗蝕劑暴露期間避免圖案扭曲。若使用比玻璃更具熱傳導性之襯底,例如金屬或矽,則來自EUV暴露之熱量可轉移至冷卻夾盤中,從而消除對特殊玻璃之需要。此外,可使用 諸如如以上所述層(矽、二氧化矽)之沉積之半導體相容的製程或藉由CMP或上述兩者之組合來平滑遮罩襯底表面。
所得方法、製程、設備、裝置、產品及/或系統係直接的、成本有效的、不複雜的、高度通用的、精確的、靈敏的且有效的,且可藉由將已知組件調適來實施而用於就緒、高效且經濟的製造、應用及利用。
本發明之另一重要態樣為本發明有價值地支援且服務降低成本、簡化系統及提高效能之歷史趨勢。
本發明之此等及其他有價值的態樣因此將技術狀態推進至至少下一階段。
雖然已結合特定最佳模式描述本發明,但將理解,許多替代物、修改及變化將根據上述描述對於熟習此項技術者為顯而易見的。因此,意欲涵蓋屬於所包括申請專利範圍之範疇內之所有此等替代物、修改及變化。本文至此闡述或在隨附圖式中所示之所有物質將以說明性且非限制性意義來解釋。
200‧‧‧EUV透鏡元件或遮罩基板
202‧‧‧超低膨脹襯底
203‧‧‧瑕疵
204‧‧‧平坦化層
206‧‧‧多層堆疊
208‧‧‧封蓋層
210‧‧‧吸收劑層
212‧‧‧抗反射塗層/ACR
214‧‧‧背側夾持層

Claims (34)

  1. 一種超紫外線透鏡元件或基板生產系統,包含:一第一沉積系統,用於在一半導體襯底上沉積一平坦化層;一第二沉積系統,用於在該平坦化層上沉積一超平滑層,該超平滑層具有重組分子;以及一第三沉積系統,用於在該超平滑層上沉積一多層堆疊。
  2. 如請求項1所述之系統,其中該第二沉積系統在一濺射及再沉積製程中引起該超平滑層之該等分子之一回焊。
  3. 如請求項1所述之系統,其中該第二沉積系統引起一高密度電漿氧化物之該超平滑層之該等分子之一重組。
  4. 如請求項1所述之系統,其中該第二沉積系統引起矽、金屬或介電質材料之該超平滑層之該等分子之一重組。
  5. 如請求項1所述之系統,其中該第三沉積系統沉積該多層堆疊以形成一超紫外線遮罩基板。
  6. 如請求項1所述之系統,其中該第三沉積系統沉積該多層堆疊以形成一超紫外線鏡。
  7. 如請求項1所述之系統,其中該第二沉積系統使該超平滑層具有低於0.2nm RMS之一局部粗糙度。
  8. 一種超紫外線微影術系統,包含:一超紫外線光源;一鏡,用於導引來自該超紫外線光源之光;一主光罩台,用於將一超紫外線遮罩基板與一平坦化層置放在一起且將一超平滑層置放在該平坦化層上;以及一晶圓臺,用於置放一晶圓。
  9. 如請求項8所述之系統,其中該超平滑層具有該超平滑層之分子之一熔化回焊。
  10. 如請求項8所述之系統,其中該超平滑層具有一高密度電漿氧化物之該超平滑層之該等分子之一重組。
  11. 如請求項8所述之系統,其中該超平滑層具有矽、金屬或介電材料之該超平滑層之該等分子之一重組。
  12. 如請求項8所述之系統,其中一多層堆疊形成該超紫外線遮罩基板。
  13. 如請求項8所述之系統,其中該多層堆疊形成一超紫外線鏡。
  14. 如請求項8所述之系統,其中該超平滑層具有低於0.2nm RMS之一局部粗糙度。
  15. 一種製作一超紫外線基板之方法,該方法包含以下步驟:在一襯底上形成一平坦化層;在該平坦化層上形成一超平滑層,該超平滑層具有重組分子;以及在該超平滑層上形成一多層堆疊。
  16. 如請求項15所述之方法,其中形成該超平滑層之步驟回焊該超平滑層之該等分子。
  17. 如請求項15所述之方法,其中形成該超平滑層之步驟重組一高密度電漿氧化物之該超平滑層之該等分子。
  18. 如請求項15所述之方法,其中形成該超平滑層之步驟重組矽、金屬或介電材料之該超平滑層之該等分子。
  19. 如請求項15所述之方法,其中形成該多層堆疊之步驟包括形成一非晶金屬層。
  20. 如請求項15所述之方法,其中形成該多層堆疊之步驟包括形成一超紫外線遮罩基板或一超紫外線鏡。
  21. 如請求項15所述之方法,其中形成該超平滑層之步驟包括形成具有低於0.2nm RMS之一局部粗糙度的一表面。
  22. 一種超紫外線基板,包含:一襯底;該襯底上之一平坦化層;該平坦化層上之一超平滑層,該超平滑層具有重組分子;以及該超平滑層上之一多層堆疊。
  23. 如請求項22所述之基板,其中該超平滑層具有該超平滑層之該等分子之一回焊。
  24. 如請求項22所述之基板,其中該超平滑層具有一高密度電漿氧化物之該超平滑層之該等分子之一重組。
  25. 如請求項22所述之基板,其中該超平滑層具有矽、金屬或介電材料之該超平滑層之該等分子之一重組。
  26. 如請求項22所述之基板,其中該多層堆疊包括一非晶金屬層。
  27. 如請求項22所述之基板,其中該多層堆疊形成一超紫外線遮罩基板或一超紫外線鏡。
  28. 如請求項22所述之基板,其中該超平滑層具有低於0.2nm RMS之一局部粗糙度。
  29. 一種超紫外線基板,包含:一襯底;該襯底上之一平坦化層;該平坦化層上之一超平滑層,該超平滑層具有重組分子;一多層堆疊,具有一非晶金屬層;以及該多層堆疊上之封蓋層。
  30. 如請求項29所述之基板,其中該超平滑層具有該超平滑層之該等分子之一回焊。
  31. 如請求項29所述之基板,其中該超平滑層具有一高密度電漿氧化物之該超平滑層之該等分子之一重組。
  32. 如請求項29所述之基板,其中該超平滑層具有矽、金屬或介電材料之該超平滑層之該等分子之一重組。
  33. 如請求項29所述之基板,其中該多層堆疊形成一超紫外線遮罩基板或一超紫外線鏡。
  34. 如請求項29所述之基板,其中該超平滑層具有低於0.2nm RMS之一局部粗糙度。
TW103107027A 2013-03-14 2014-03-03 超平滑層紫外線微影術鏡及基板與其製造及微影術系統 TWI631411B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361786109P 2013-03-14 2013-03-14
US61/786,109 2013-03-14
US14/139,507 2013-12-23
US14/139,507 US9417515B2 (en) 2013-03-14 2013-12-23 Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor

Publications (2)

Publication Number Publication Date
TW201443549A true TW201443549A (zh) 2014-11-16
TWI631411B TWI631411B (zh) 2018-08-01

Family

ID=51525877

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103107027A TWI631411B (zh) 2013-03-14 2014-03-03 超平滑層紫外線微影術鏡及基板與其製造及微影術系統

Country Status (7)

Country Link
US (1) US9417515B2 (zh)
JP (1) JP6420310B2 (zh)
KR (3) KR20170060177A (zh)
CN (1) CN105027257B (zh)
SG (1) SG11201506511PA (zh)
TW (1) TWI631411B (zh)
WO (1) WO2014152033A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI767070B (zh) * 2018-10-17 2022-06-11 台灣積體電路製造股份有限公司 光微影系統及清潔光微影系統的方法
TWI805022B (zh) * 2020-10-30 2023-06-11 美商希瑪有限責任公司 用於深紫外線光源之光學組件

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US9696467B2 (en) * 2014-01-31 2017-07-04 Corning Incorporated UV and DUV expanded cold mirrors
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
WO2017100996A1 (en) * 2015-12-15 2017-06-22 Materion Corporation Enhanced wavelength conversion device
WO2017147816A1 (en) * 2016-03-02 2017-09-08 Materion Corporation Optically enhanced light converter
CN106169416B (zh) * 2016-08-29 2019-11-12 复旦大学 一种极紫外掩模的制造方法
CN111061129B (zh) * 2018-10-17 2022-11-01 台湾积体电路制造股份有限公司 光刻系统及清洁光刻系统的方法
EP3703114A1 (en) * 2019-02-26 2020-09-02 ASML Netherlands B.V. Reflector manufacturing method and associated reflector
JP7288782B2 (ja) * 2019-03-27 2023-06-08 Hoya株式会社 多層反射膜付き基板、反射型マスクブランク及び反射型マスク、並びに半導体装置の製造方法
TW202142949A (zh) * 2020-04-23 2021-11-16 美商應用材料股份有限公司 極紫外光遮罩毛胚缺陷之減少

Family Cites Families (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB8921666D0 (en) 1989-09-26 1989-11-08 Peatgrange Ivd Limited Ion vapour deposition apparatus and method
US5420067A (en) 1990-09-28 1995-05-30 The United States Of America As Represented By The Secretary Of The Navy Method of fabricatring sub-half-micron trenches and holes
JPH05335215A (ja) * 1991-03-06 1993-12-17 Hitachi Ltd 反射ミラー、その作製方法及び縮小投影露光装置
US5645646A (en) 1994-02-25 1997-07-08 Applied Materials, Inc. Susceptor for deposition apparatus
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US6096100A (en) 1997-12-12 2000-08-01 Texas Instruments Incorporated Method for processing wafers and cleaning wafer-handling implements
US6010916A (en) 1997-12-05 2000-01-04 Advanced Micro Devices, Inc. Method for improving semiconductor wafer processing
US6142641A (en) 1998-06-18 2000-11-07 Ultratech Stepper, Inc. Four-mirror extreme ultraviolet (EUV) lithography projection system
US6749814B1 (en) 1999-03-03 2004-06-15 Symyx Technologies, Inc. Chemical processing microsystems comprising parallel flow microreactors and methods for using same
US6228512B1 (en) * 1999-05-26 2001-05-08 The Regents Of The University Of California MoRu/Be multilayers for extreme ultraviolet applications
KR100805360B1 (ko) * 1999-06-07 2008-02-20 더 리전트 오브 더 유니버시티 오브 캘리포니아 코팅층을 갖는 반사 마스크 기판
US20020015855A1 (en) 2000-06-16 2002-02-07 Talex Sajoto System and method for depositing high dielectric constant materials and compatible conductive materials
JP2002090978A (ja) 2000-09-12 2002-03-27 Hoya Corp 位相シフトマスクブランクの製造方法、及び位相シフトマスクブランクの製造装置
JP3939132B2 (ja) 2000-11-22 2007-07-04 Hoya株式会社 多層膜付き基板、露光用反射型マスクブランク、露光用反射型マスクおよびその製造方法、並びに半導体の製造方法
US6656643B2 (en) 2001-02-20 2003-12-02 Chartered Semiconductor Manufacturing Ltd. Method of extreme ultraviolet mask engineering
US6840616B2 (en) 2001-03-29 2005-01-11 Scott Summers Air folder adjuster apparatus and method
US6610447B2 (en) * 2001-03-30 2003-08-26 Intel Corporation Extreme ultraviolet mask with improved absorber
US20030008148A1 (en) 2001-07-03 2003-01-09 Sasa Bajt Optimized capping layers for EUV multilayers
US7455955B2 (en) 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6734117B2 (en) 2002-03-12 2004-05-11 Nikon Corporation Periodic clamping method and apparatus to reduce thermal stress in a wafer
US6835503B2 (en) 2002-04-12 2004-12-28 Micron Technology, Inc. Use of a planarizing layer to improve multilayer performance in extreme ultra-violet masks
US6806006B2 (en) 2002-07-15 2004-10-19 International Business Machines Corporation Integrated cooling substrate for extreme ultraviolet reticle
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US20040091618A1 (en) 2002-11-08 2004-05-13 Park Han-Su Photoresist depositon apparatus and method for forming photoresist film using the same
DE10302342A1 (de) 2003-01-17 2004-08-05 Schott Glas Substrat für die EUV-Mikrolithographie und Herstellverfahren hierfür
US6908713B2 (en) 2003-02-05 2005-06-21 Intel Corporation EUV mask blank defect mitigation
SG115693A1 (en) 2003-05-21 2005-10-28 Asml Netherlands Bv Method for coating a substrate for euv lithography and substrate with photoresist layer
US7001788B2 (en) 2003-05-29 2006-02-21 Applied Materials, Inc. Maskless fabrication of waveguide mirrors
KR100520222B1 (ko) * 2003-06-23 2005-10-11 삼성전자주식회사 반도체 소자에서의 듀얼 게이트 산화막 구조 및 그에 따른형성방법
US7326502B2 (en) 2003-09-18 2008-02-05 Intel Corporation Multilayer coatings for EUV mask substrates
KR100680405B1 (ko) 2003-11-19 2007-02-08 주식회사 하이닉스반도체 Euv용 포토레지스트 조성물 및 이를 이용한포토레지스트 패턴 형성 방법
US7005227B2 (en) 2004-01-21 2006-02-28 Intel Corporation One component EUV photoresist
US7193228B2 (en) 2004-03-10 2007-03-20 Cymer, Inc. EUV light source optical elements
JP4542807B2 (ja) 2004-03-31 2010-09-15 東京エレクトロン株式会社 成膜方法および成膜装置、ならびにゲート絶縁膜の形成方法
US7198872B2 (en) 2004-05-25 2007-04-03 International Business Machines Corporation Light scattering EUVL mask
US8293430B2 (en) 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US7336416B2 (en) * 2005-04-27 2008-02-26 Asml Netherlands B.V. Spectral purity filter for multi-layer mirror, lithographic apparatus including such multi-layer mirror, method for enlarging the ratio of desired radiation and undesired radiation, and device manufacturing method
US20060275547A1 (en) 2005-06-01 2006-12-07 Lee Chung J Vapor Phase Deposition System and Method
US7432201B2 (en) * 2005-07-19 2008-10-07 Applied Materials, Inc. Hybrid PVD-CVD system
US7678511B2 (en) 2006-01-12 2010-03-16 Asahi Glass Company, Limited Reflective-type mask blank for EUV lithography
US7712333B2 (en) * 2006-03-29 2010-05-11 Asahi Glass Company, Limited Method for smoothing a surface of a glass substrate for a reflective mask blank used in EUV lithography
US7736820B2 (en) 2006-05-05 2010-06-15 Asml Netherlands B.V. Anti-reflection coating for an EUV mask
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7892719B2 (en) 2006-11-03 2011-02-22 Intel Corporation Photonic crystal EUV photoresists
WO2008065821A1 (fr) 2006-11-27 2008-06-05 Nikon Corporation Elément optique, unité d'exposition associée et procédé de production du dispositif
US20090278233A1 (en) 2007-07-26 2009-11-12 Pinnington Thomas Henry Bonded intermediate substrate and method of making same
WO2009031232A1 (ja) 2007-09-07 2009-03-12 Canon Anelva Corporation スパッタリング方法および装置
JP5039495B2 (ja) 2007-10-04 2012-10-03 ルネサスエレクトロニクス株式会社 マスクブランク検査方法、反射型露光マスクの製造方法、反射型露光方法および半導体集積回路の製造方法
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US20090176367A1 (en) 2008-01-08 2009-07-09 Heidi Baks OPTIMIZED SiCN CAPPING LAYER
JP5369640B2 (ja) * 2008-02-19 2013-12-18 旭硝子株式会社 Euvl用光学部材、およびその平滑化方法
JP5559948B2 (ja) * 2010-03-12 2014-07-23 Hoya株式会社 多層反射膜付基板の製造方法および反射型マスクブランクの製造方法
US8709551B2 (en) * 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US8526104B2 (en) * 2010-04-30 2013-09-03 Corning Incorporated Plasma ion assisted deposition of Mo/Si multilayer EUV coatings
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
JP6013720B2 (ja) 2010-11-22 2016-10-25 芝浦メカトロニクス株式会社 反射型マスクの製造方法、および反射型マスクの製造装置
US8475977B2 (en) 2010-12-02 2013-07-02 Intermolecular, Inc Protective cap for extreme ultraviolet lithography masks
US8562794B2 (en) 2010-12-14 2013-10-22 Asahi Glass Company, Limited Process for producing reflective mask blank for EUV lithography and process for producing substrate with functional film for the mask blank
NL2007768A (en) 2010-12-14 2012-06-18 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
WO2012124506A1 (ja) 2011-03-14 2012-09-20 富士電機株式会社 酸化物基板およびその製造方法
NL2009487A (en) 2011-10-14 2013-04-16 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
US9051649B2 (en) 2013-03-11 2015-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor film deposition apparatus and method with improved heater cooling efficiency

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI767070B (zh) * 2018-10-17 2022-06-11 台灣積體電路製造股份有限公司 光微影系統及清潔光微影系統的方法
TWI805022B (zh) * 2020-10-30 2023-06-11 美商希瑪有限責任公司 用於深紫外線光源之光學組件

Also Published As

Publication number Publication date
WO2014152033A1 (en) 2014-09-25
CN105027257A (zh) 2015-11-04
CN105027257B (zh) 2018-05-15
SG11201506511PA (en) 2015-09-29
KR20190049836A (ko) 2019-05-09
TWI631411B (zh) 2018-08-01
JP2016519780A (ja) 2016-07-07
KR20150129782A (ko) 2015-11-20
US9417515B2 (en) 2016-08-16
JP6420310B2 (ja) 2018-11-07
KR20170060177A (ko) 2017-05-31
US20140268083A1 (en) 2014-09-18

Similar Documents

Publication Publication Date Title
TWI631411B (zh) 超平滑層紫外線微影術鏡及基板與其製造及微影術系統
JP7285682B2 (ja) 平坦化された極端紫外線リソグラフィブランク及びそのための製造及びリソグラフィシステム
JP6889792B2 (ja) 紫外線リソグラフィ用ガラスセラミックス及びその製造方法
TWI609231B (zh) 非晶層極紫外光微影術空白板以及用於製造該空白板的方法與微影術系統