KR20170060177A - 초-평활 층 자외선 리소그래피 거울들 및 블랭크들, 및 그를 위한 제조 및 리소그래피 시스템들 - Google Patents

초-평활 층 자외선 리소그래피 거울들 및 블랭크들, 및 그를 위한 제조 및 리소그래피 시스템들 Download PDF

Info

Publication number
KR20170060177A
KR20170060177A KR1020177013877A KR20177013877A KR20170060177A KR 20170060177 A KR20170060177 A KR 20170060177A KR 1020177013877 A KR1020177013877 A KR 1020177013877A KR 20177013877 A KR20177013877 A KR 20177013877A KR 20170060177 A KR20170060177 A KR 20170060177A
Authority
KR
South Korea
Prior art keywords
extreme ultraviolet
layer
super
blanks
molecules
Prior art date
Application number
KR1020177013877A
Other languages
English (en)
Inventor
사우멘드라 엔. 바맨
카라 배슬리
아브히지트 바수 말릭
랄프 호프만
니틴 케이. 잉글
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20170060177A publication Critical patent/KR20170060177A/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70316Details of optical elements, e.g. of Bragg reflectors, extreme ultraviolet [EUV] multilayer or bilayer mirrors or diffractive optical elements
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7095Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
    • G03F7/70958Optical materials or coatings, e.g. with particular transmittance, reflectance or anti-reflection properties
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • G21K1/062Devices having a multilayer structure
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/164Coating processes; Apparatus therefor using electric, electrostatic or magnetic means; powder coating
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K2201/00Arrangements for handling radiation or particles
    • G21K2201/06Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements
    • G21K2201/067Construction details

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Public Health (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Engineering & Computer Science (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Optical Elements Other Than Lenses (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

극자외선 거울 또는 블랭크 생산 시스템은, 반도체 기판 위에 평탄화 층을 증착시키기 위한 제 1 증착 시스템; 평탄화 층 위에 초-평활 층을 증착시키기 위한 제 2 증착 시스템 ― 초-평활 층은 재조직된 분자들을 가짐 ―; 및 초-평활 층 위에 다층 스택을 증착시키기 위한 제 3 증착 시스템을 포함한다. 극자외선 블랭크는, 기판; 기판 위의 평탄화 층; 평탄화 층 위의 초-평활 층 ― 초-평활 층은 재조직된 분자들을 가짐 ―; 다층 스택; 및 다층 스택 위의 캐핑 층들을 포함한다. 극자외선 리소그래피 시스템은, 극자외선 광 소스; 극자외선 광 소스로부터의 광을 지향시키기 위한 거울; 평탄화 층 및 평탄화 층 위의 초-평활 층을 갖는 극자외선 마스크 블랭크를 위치시키기 위한 레티클 스테이지; 및 웨이퍼를 위치시키기 위한 웨이퍼 스테이지를 포함한다.

Description

초-평활 층 자외선 리소그래피 거울들 및 블랭크들, 및 그를 위한 제조 및 리소그래피 시스템들{ULTRA-SMOOTH LAYER ULTRAVIOLET LITHOGRAPHY MIRRORS AND BLANKS, AND MANUFACTURING AND LITHOGRAPHY SYSTEMS THEREFOR}
본 발명은 일반적으로, 극자외선 리소그래피 거울들 및 블랭크들, 및 그러한 극자외선 리소그래피 거울들 및 블랭크들을 위한 제조 및 리소그래피 시스템들에 관한 것이다.
극자외선 리소그래피(EUV, 또한, 연질 x-선 투사 리소그래피(soft x-ray projection lithography)로 공지됨)는, 0.13 미크론의, 및 그보다 더 작은, 최소 피쳐(feature) 크기의 반도체 디바이스들의 제조를 위한, 심자외선(deep ultraviolet) 리소그래피를 대체할 경쟁자이다.
그러나, 일반적으로 5 내지 40 나노미터 파장 범위인 극자외선 광은 사실상 모든 재료들에서 강하게 흡수된다. 그러한 이유 때문에, 극자외선 시스템들은 광의 투과(transmission)에 의해서보다 반사(reflection)에 의해서 작업(work)한다. 비-반사성 흡수체(absorber) 마스크 패턴으로 코팅된, 반사성 엘리먼트, 또는 마스크 블랭크, 및 거울들 또는 렌즈 엘리먼트들의 시리즈의 사용을 통해, 패터닝된 화학선 광(actinic light)은 레지스트 코팅된 반도체 웨이퍼 상으로 반사된다.
극자외선 리소그래피 시스템들의 마스크 블랭크들 및 렌즈 엘리먼트들은, 몰리브덴 및 실리콘과 같은 재료들의 반사성 다층 코팅들로 코팅된다. 렌즈 엘리먼트, 또는 마스크 블랭크당 대략 65%의 반사 값들은, 매우 좁은 자외선 통과대역(bandpass); 예를 들어, 13 나노미터 자외선 광에 대해 12 내지 14 나노미터의 통과대역 내의, 본질적으로 단일 파장의 광을 강하게 반사하는 다층 코팅들로 코팅된 기판들을 사용함으로써 획득되어왔다.
반도체 프로세싱 기술에서, 렌즈 엘리먼트들 및 마스크들에서 문제들을 야기하는 다양한 종류들(classes)의 결함들이 존재한다. 흑결함들(opaque defects)은 전형적으로, 광이 반사되어야 할 때에 광을 흡수하는, 마스크 패턴 또는 다층 코팅들의 정상부 상의 입자들에 의해 야기된다. 백결함들(clear defects)은 전형적으로, 다층 코팅들의 정상부 상의 마스크 패턴의 핀홀들에 의해 야기되는데, 광이 흡수되어야 할 때 이러한 핀홀들을 통해 광이 반사된다. 그리고 상 결함들(phase defects)은 전형적으로, 반사된 광의 상의 전이부들(transitions)을 야기하는, 다층 코팅들 아래의 표면 변화들 및 스크래치들에 의해 야기된다. 이러한 상 전이부들은, 반도체 웨이퍼의 표면 상의 레지스트에서 노출될 패턴을 왜곡(distort)시키거나 변경(alter)시키는, 광 파 간섭 효과들(light wave interference effects)을 초래한다. 서브-0.13 미크론 최소 피쳐 크기에 대해서 사용되어야 하는, 방사선(radiation)의 더 짧은 파장들 때문에, 이전에는 대수롭지 않았던 표면 변화들 및 스크래치들이, 이제는 허용 불가능하게(intolerable) 된다.
입자 결함들을 감소시키거나 제거하는 데에 진전(progress)이 이루어지고 렌즈 엘리먼트들 및 마스크들에서의 흑결함 및 백결함의 보수(repair)에 대한 작업이 이루어지기는 했지만, 상 결함들의 문제를 다루기 위해서 지금까지 아무것도 이루어지지 않았다. 심자외선 리소그래피의 경우, 표면들은 60도 미만의 상 전이부들을 유지하도록 프로세싱된다. 극자외선 리소그래피에 대한 유사한 프로세싱 아직 개발되지 않았다.
13 나노미터의 화학선 파장의 경우, 다층 코팅으로부터 반사된 광에서의 180도 상 전이부는, 아래에 놓인 표면에서의, 깊이가 3 나노미터만큼 작은 스크래치 때문에 발생할 수 있다. 이러한 깊이는 더 짧은 파장들에서는 더 얇아진다. 유사하게, 동일한 파장에서, 일백(100) 나노미터 런(run)에 대해 일(1) 나노미터 상승(rise)보다 더 급격한 표면 변화들은 유사한 상 전이부들을 야기할 수 있다. 이러한 상 전이부들은 반도체 웨이퍼의 표면에서 상 결함을 야기할 수 있고, 반도체 디바이스들을 보수 불가능하게(irreparably) 손상시킬 수 있다.
과거에, 심자외선 리소그래피를 위한 렌즈 엘리먼트들 및 마스크 블랭크들은 일반적으로 유리로 만들어졌지만, 극자외선 리소그래피를 위해서는, 실리콘 또는 초 저 열팽창(ultra low thermal expansion) 재료들이 대안들로서 제안되어왔다. 렌즈 엘리먼트 또는 블랭크가 유리로 만들어지든, 초 저 열팽창 재료로 만들어지든, 또는 실리콘으로 만들어지든, 렌즈 엘리먼트 또는 마스크 블랭크의 표면은, 연마재를 이용하는 기계적 폴리싱에 의해, 가능한 평활하게(smooth) 만들어진다. 그러한 프로세스 뒤에 남는 스크래치들은 종종, "스크래치-딕(dig)" 마크들로서 지칭되며, 이들의 깊이 및 폭은 마스크 블랭크를 폴리싱하는 데에 사용된 연마재(abrasive)의 입자들의 크기에 따른다. 가시광선(visible) 및 심자외선 리소그래피의 경우, 이러한 스크래치들은, 반도체 웨이퍼 상의 패턴에 상 결함들을 야기하기에는 너무 작다. 그러나, 극자외선 리소그래피의 경우에, 스크래치-딕 마크들은, 이들이 상 결함들로서 나타날 것이기 때문에, 상당한 문제이다.
EUV 리소그래피를 위해 요구되는 짧은 조사(illumination) 파장들 때문에, 사용되는 패턴 마스크들 및 렌즈 엘리먼트들은, 현재의 리소그래피에서 사용되는 투과성 마스크들 대신에, 반사성 마스크여야 한다. 반사성 마스크는 몰리브덴 및 실리콘의 교번하는 얇은 층들의 정밀한 스택으로 구성되고, 이는 Bragg 반사체(reflector) 또는 거울을 생성한다. 작은 피쳐 크기 및 다층 스택의 본성 때문에, 상부에 다층 스택이 증착되는 기판의 표면에서의 임의의 결함들(imperfections)이 확대될 것이고, 최종 생성물에 영향을 줄 것이다. 수 나노미터 스케일의 결함들은, 마감처리된(finished) 마스크 상에, 프린트 가능한(printable) 결함들로서 나타날 수 있으며, 다층 스택의 증착 이전에, 마스크 블랭크의 표면으로부터 제거될 필요가 있다.
일반적인 결함들은 피트들(pits), 스크래치들, 및 입자들을 포함한다. 일반적인 세정 기술들은 많은 입자들을 제거하지만, 새로운 피트들을 생성하거나 이미 존재하는 피트들을 증폭시킨다. 피트들은 폴리싱 또는 세정 프로세스로부터 올 수 있거나, 컷팅 및 폴리싱 프로세스 동안 노출되는, 기판 재료 자체의 결함들(flaws) 또는 개재물들(inclusions)로부터 올 수 있다. 추가적인 폴리싱이, 표면의 피트들을 제거하는 데에 사용될 수 있지만, 그러한 프로세스에서 새로운 피트들이 노출되거나 야기될 위험성이 존재하며, 이는, 기판 표면을 평활화하고 평탄화(planarize)하는 데에 폴리싱을 단독으로 사용하는 것의 유용성을 제한한다. 기판을 평활화하기 위한 다른 방법은 레이저 또는 플라즈마 어닐링이다. 이러한 기술들은 유리 기판의 얇은 표면 층을 용융시키고(melt) 리플로우(reflow)시켜서, 국부적인 결함들을 제거한다. 문제는, 이러한 레이저 또는 플라즈마 어닐링과 같은 기술들은 기판 표면에 더 긴 범위의 거칠기 또는 리플들(ripples)을 유도하여, EUV 마스크 블랭크들을 위해 요구되는 기판 편평도(flatness)를 제공하지 않는다는 점이다.
전자 컴포넌트들의 점점 더 작은 피쳐 크기에 대한 필요성을 고려하여, 이러한 문제들에 대한 답들을 찾는 것이 점점 더 중요해진다. 성장하고 있는 소비자들의 기대들과 함께, 계속 증가하는 상업적인 경쟁 압박들을 고려하여, 이러한 문제들에 대한 답들을 찾는 것이 중요하다. 부가적으로, 비용들을 절감하고, 효율성들과 성능을 개선하며, 경쟁 압박들을 충족시키기 위한 필요성은, 이러한 문제들에 대한 답들을 찾기 위한 중요한 필요성에, 훨씬 더 큰(even greater) 긴급성을 부가한다.
이러한 문제들에 대한 해결책들이 오랫동안 탐색되어 왔지만, 이전의 발전들은 어떠한 해결책들도 교시하거나 제안하지 않았고, 따라서, 이러한 문제들에 대한 해결책들은 오랫동안 당업자에게 발견되지 않았다.
본 발명의 실시예는, 반도체 기판 위에 평탄화 층을 증착시키기 위한 제 1 증착 시스템; 평탄화 층 위에 초-평활(ultra-smooth) 층을 증착시키기 위한 제 2 증착 시스템 ― 초-평활 층은 재조직된(reorganized) 분자들을 가짐 ―; 및 초-평활 층 위에 다층 스택을 증착시키기 위한 제 3 증착 시스템을 포함하는, 극자외선 렌즈 엘리먼트 또는 블랭크 생산 시스템을 제공한다.
본 발명의 실시예는, 극자외선 광 소스; 극자외선 광 소스로부터의 광을 지향시키기 위한 거울; 평탄화 층 및 평탄화 층 위의 초-평활 층을 갖는 극자외선 마스크 블랭크를 위치시키기 위한 레티클(reticle) 스테이지; 및 웨이퍼를 위치시키기 위한 웨이퍼 스테이지를 포함하는 극자외선 리소그래피 시스템을 제공한다.
본 발명의 실시예는, 기판; 기판 위의 평탄화 층; 평탄화 층 위의 초-평활 층 ― 초-평활 층은 재조직된 분자들을 가짐 ―; 비결정질 금속성 층을 갖는 다층 스택; 및 다층 스택 위의 캐핑(capping) 층들을 포함하는 극자외선 블랭크를 제공한다.
본 발명의 특정 실시예들은 상기 언급된 것을 대신에 또는 그에 부가하여 다른 단계들 또는 엘리먼트들을 갖는다. 그러한 단계들 또는 엘리먼트는, 첨부된 도면들을 참조하여 취해질 때 이하의 상세한 설명을 읽음으로써 당업자에게 자명해질 것이다.
도 1은 극자외선(EUV) 거울 또는 마스크 블랭크 생산 시스템이다.
도 2는 본 발명의 실시예에 따른 EUV 마스크 블랭크이다.
도 3은 EUV 마스크이다.
도 4는 초-저 결함들을 갖는 EUV 마스크 블랭크를 만들기 위한 방법이다.
도 5는 EUV 리소그래피 시스템을 위한 광학 트레인(optical train)이다.
도 6은 EUV 리소그래피 시스템이다.
이하의 실시예들은, 당업자가 본 발명품을 만들고 사용할 수 있도록, 충분히 상세하게 설명된다. 본 개시물에 기초하여 다른 실시예들이 명백할 것이고, 본 발명의 범위에서 벗어나지 않고, 시스템, 프로세스, 또는 기계적 변화들이 이루어질 수 있음이 이해되어야 한다.
이하의 설명에서, 본 발명의 완전한 이해를 제공하기 위해 다수의 구체적인 세부 사항들이 주어진다. 그러나, 본 발명은 이러한 구체적인 세부 사항들 없이 실시될 수 있음이 자명할 것이다. 본 발명을 불분명하게 하는 것을 피하기 위해, 몇몇 잘 공지된 회로들, 시스템 구성들, 및 프로세스 단계들은 상세하게 개시되지 않는다.
시스템의 실시예들을 나타내는 도면들은 반-도식적(semi-diagrammatic)이고 실척이 아니며(not to scale), 특히, 치수들 중 몇몇은, 표현의 명료함을 위해, 도면들을 도시할 때 과장되게 도시된다. 유사하게, 도면들의 시점들(views)은 설명의 용이함을 위해 일반적으로 유사한 배향들을 보여주지만, 도면들에서의 이러한 묘사는 대부분의 경우에 임의적인 것이다. 일반적으로, 본 발명은 임의의 배향으로 작동될 수 있다.
다수의 실시예들이 공통으로 몇몇 피쳐들을 갖는 것으로 개시되고 설명되는 경우, 예시, 설명, 및 이들의 이해에 대한 명료함 및 용이함를 위해, 유사한 그리고 동일한 피쳐들은 유사한 참조 번호들로 설명될 것이다.
설명의 목적들을 위해, 본원에서 사용되는 "수평의" 라는 용어는, 마스크 블랭크 또는 렌즈 엘리먼트의 배향과 상관없이, 마스크 블랭크 또는 렌즈 엘리먼트의 표면 또는 평면에 평행한 평면으로 정의된다. "수직하는" 이라는 용어는, 그렇게 정의된 수평에 수직인 방향을 지칭한다. "위(above)", "아래(below)", "바닥부(bottom)", "정상부(top)", "측(side)("측벽"에서 처럼)", "더 높은(higher)", "더 낮은(lower)", "상부(upper)", "위에(over)", 및 "하에서(under)" 와 같은 용어들은, 도면들에서 도시된 바와 같이, 수평 평면에 대해서 정의된다. "상에서(on)" 라는 용어는 엘리먼트들 간의 직접 접촉이 있음을 나타낸다.
본원에서 사용되는 "프로세싱" 이라는 용어는, 설명되는 구조를 형성할 때 요구되는 바와 같이, 포토레지스트 또는 재료의 증착, 패터닝, 노출, 현상(development), 에칭, 세정, 및/또는 포토레지스트 또는 재료의 제거를 포함한다.
본 발명의 실시예들은, 피트들을 충진(fill)하고 결함들을 매립(bury)하기 위해, 실리콘, 실리콘 산화물, 및 양립 가능한 열 팽창 계수를 갖는 관련된 필름들을 증착시키기 위한, CVD, PVD, ALD, 및 유동 가능한 CVD에 의해 확립된 다양한 기술들을 사용한다. 일단 증착되면, 필름들 표면은 추가적인 다층 스택 증착을 위해 충분히 평활하고 편평할 수 있거나, 또는 그러한 증착 후에, CMP, 어닐링, 또는 이온 빔 폴리싱을 포함하는, 확립된 다양한 평활화 또는 폴리싱 기술들을 사용하여 추가적으로 평활화될 수 있다.
이제 도 1을 참조하면, 극자외선(EUV) 거울 또는 블랭크 생산 시스템(100)이 도면에 도시된다. EUV 거울 또는 블랭크 생산 시스템(100)은, 마스크 블랭크들(104)이 내부로 로딩되는 마스크 블랭크 로딩 및 캐리어 취급(handling) 시스템(102)을 포함한다. 에어록(airlock; 106)은 웨이퍼 취급 진공 챔버(108)로의 액세스를 제공한다. 도시된 실시예에서, 웨이퍼 취급 진공 챔버(108)는 2개의 진공 챔버들, 즉, 제 1 진공 챔버(110) 및 제 2 진공 챔버(112)를 포함한다. 제 1 진공 챔버(110) 내에는 제 1 웨이퍼 취급 시스템(114)이 있고, 제 2 진공 챔버(112) 내에는 제 2 웨이퍼 취급 시스템(116)이 있다.
웨이퍼 취급 진공 챔버(108)는, 다양한 다른 시스템들의 부착을 위해, 챔버 둘레(periphery) 주위에 복수의 포트들을 갖는다. 제 1 진공 챔버(110)는 디가스(degas) 시스템(118), 제 1 물리 기상 증착 시스템(120), 제 2 물리 기상 증착 시스템(122), 및 사전세정(preclean) 시스템(124)을 갖는다.
제 2 진공 챔버(112)는, 제 2 진공 챔버(112)에 연결된, 제 1 다수-캐소드(multi-cathode) 소스(126), 유동 가능한 화학 기상 증착(FCVD) 시스템(128), 경화 챔버(130), 및 초-평활 증착 챔버(132)를 갖는다.
대안적인 실시예에서, FCVD 시스템(128), 경화 챔버(130), 및 초-평활 증착 챔버(132)는 EUV 거울 또는 블랭크 생산 시스템(100)으로부터 분리된 시스템에 있을 수 있다.
제 1 웨이퍼 취급 시스템(114)은, 연속적인 진공(continuous vacuum)에서, 제 1 진공 챔버(110)의 둘레 주위의 다양한 시스템들 및 에어록(106) 사이에서 웨이퍼(134)와 같은 웨이퍼들을 이동시킬 수 있다. 제 2 웨이퍼 취급 시스템(116)은, 제 2 진공 챔버(112) 주위에서 웨이퍼(136)와 같은 웨이퍼들을, 그러한 웨이퍼들을 연속적인 진공에서 유지하면서 이동시킬 수 있다.
이제 도 2를 참조하면, 본 발명의 실시예에 따른 EUV 렌즈 엘리먼트 또는 마스크 블랭크(200)가 도면에 도시된다. EUV 렌즈 엘리먼트 또는 마스크 블랭크(200)는, 유리, 또는 실리콘으로 만들어진 초-저 팽창 기판(202)을 갖는다. 초-저 팽창 기판(202)의 정상부 표면은, 범프들, 피트들, 스크래치들, 및 입자들과 같은 결함들(203)을 갖는데, 이는, 연마재를 이용하는 화학적 기계적 폴리싱(CMP) 또는 다른 폴리싱 방법 및 기판의 취급으로부터 초래된다. 그러한 프로세스 이후에 남는 스크래치들은 종종, "스크래치-딕" 마크들로 지칭되고, 이들의 깊이 및 폭은, EUV 거울 또는 마스크를 형성하기 위해 EUV 렌즈 엘리먼트 또는 마스크 블랭크(200)를 폴리싱하는 데에 사용되는 연마재의 입자들의 크기에 따른다.
EUV 렌즈 엘리먼트 또는 마스크 블랭크(200)의 결함들은 평탄화 층(204)의 증착에 의해 제거될 수 있음이 밝혀졌다. 평탄화 층(204)은, CVD, PVD, 또는 유사한 프로세스들에 의해, 유동 가능한 CVD 필름을 증착시키거나, 또는 실리콘, 실리콘 산화물, 또는 관련된 필름들을 증착시킴으로써, 형성될 수 있다. 이러한 단계는, 초-저 팽창 기판(202) 상에 있는, 입자들을 매립하고, 스크래치들 또는 압흔들(indentations)을 충진하며, 다른 결함들을 보수한다.
유동 가능한 CVD 필름들의 경우에, 초-저 팽창 기판(202) 상의, 용인 가능하게 평활하고, 편평한 표면을 달성하기 위해, 추가적인 프로세싱이 요구되지 않을 수 있다. 실리콘, 실리콘 산화물, 또는 관련된 필름들의 경우, 증착 이후에 평활화가 요구될 수 있다. 이러한 평활화는 다양한 폴리싱 방법들에 의해 완료될 수 있는데, 그러한 방법들은 CMP, 화학적 폴리싱, 이온 빔 폴리싱 또는 어닐링을 포함하지만, 이에 제한되지는 않는다. 이러한 평활화 기술들은 또한, 추가적인 평활화가 요구되는 경우, 유동 가능한 CVD 필름에 적용될 수 있다.
그러나, 평탄화 층(204)은 여전히, 1.0nm RMS 까지의 거칠기를 갖는 것으로 밝혀졌다.
평탄화 층(204)의 거칠기는, 평탄화 층(204) 위에 초-평활 층(205)을 적용함으로써 추가로 감소될 수 있음이 밝혀졌다. 초-평활은 0.2nm RMS 미만의 국부적인 거칠기로서 정의된다.
초-평활 층(205)의 증착 동안, 초-평활도를 초래하기 위한 필름의 재조직화(reorganization)가 존재한다. 재조직화는, 리플로우 프로세스, 스퍼터링, 및 재증착 프로세스, 또는 필름의 분자들이 재조직되어 표면을 초-평활도로 고르게 레벨링하는(level out) 다른 프로세스에 기인한다.
초-평활 층(205)은, 후속하는 프로세싱 단계들의 통합을 보조하기 위해, 평탄화 층(204)의 표면에, 개선된 기계적 및 화학적 특성들을 전달할 수 있다. 초-평활 층(205)은, 고밀도 플라즈마(HDP) 산화물, 붕소 도핑된 인 유리(phosphorous glass), 비결정질 실리콘, 유전체 재료, 또는 금속 필름과 같은 필름들을 포함한다.
약 0.5nm RMS의 국부적인 거칠기를 갖는 평탄화 층(204)은, 약 0.15nm RMS까지, HDP 산화물 층의 적용에 의해 추가로 평활화될 수 있음이 밝혀졌다.
얇은 필름들의 다층 스택(206)이 평탄화 층(204) 위에 형성되어 Bragg 반사체를 형성한다. EUV에서 사용되는 조사 파장들 및 광학계(optics)의 투과적인 본성 때문에, 반사성 광학계가 사용되고, 다층 스택(206)은, 평탄화 층(204) 및 초-평활 층(205)보다 훨씬 얇은, 몰리브덴 및 실리콘과 같은, 반사성 재료들의 교번하는 층들로 구성될 수 있다.
프로세스들 간에 진공을 유지할 필요성이, 층들 및 스택을 형성하기 위한 본질적인 조건이 아니기 때문에, 평탄화 층(204) 및 초-평활 층(205)이, 다층 스택(206)으로부터 상이한 시스템에서 형성될 수 있다는 것이 밝혀졌다.
캐핑 층(capping layer; 208)이 다층 스택(206) 위에 형성된다. 캐핑 층(208)은, 마스크 프로세싱 동안 EUV 마스크 블랭크(200)가 노출되는 임의의 화학적 에천트들(etchants)로부터 다층 스택(206)을 보호하는 것을 돕기 위해, 루테늄(Ru)과 같은 재료 또는 그의 비-산화된 화합물일 수 있다. 티타늄 질화물, 붕소 탄화물, 실리콘 질화물, 루테늄 산화물, 및 실리콘 탄화물과 같은 다른 재료가 또한, 캐핑 층(208)에서 사용될 수 있다.
흡수체 층(210)은 캐핑 층(208) 위에 위치된다. 흡수체 층(210)은 EUV 광(예를 들어, 13.5nm)의 특정 주파수에 대해 높은 흡수 계수를 갖는 재료로 이루어지고, 크롬, 탄탈륨, 또는 그의 질화물들과 같은 재료일 수 있다.
반사-방지(anti-reflective) 코팅(ARC)(212)이 흡수체 층(210) 상에 증착된다. ARC(212)는 탄탈륨 산질화물 또는 탄탈륨 붕소 산화물과 같은 재료로 이루어질 수 있다.
후면 척킹 층(backside chucking layer; 214)은, 기판을 정전 척(도시되지 않음)에 척킹하기 위해, 초-저 팽창 기판(202)의 뒤쪽(rear) 표면 상에 형성된다.
이제 도 3을 참조하면, EUV 마스크(300)가 도면에 도시된다. EUV 마스크(300)는 정사각형이고, 마스크의 정상부 표면 상에 패턴(302)을 갖는다.
이제 도 4를 참조하면, EUV 거울 또는 마스크 블랭크를 제조하는 방법(400)이 도면에 도시된다. 방법(400)은, 단계(404)에서 기판 상에 평탄화 층을 형성하는 단계; 단계(406)에서 평탄화 층 위에 초-평활 층을 형성하는 단계; 및 단계(408)에서 초-평활 층 상에 다층 스택을 형성하는 단계를 포함한다.
이제 도 5를 참조하면, EUV 리소그래피 시스템을 위한 광학 트레인(500)이 도면에 도시된다. 광학 트레인(500)은, EUV 광을 생성하고 그러한 광을 수집기(collector; 504)에 수집하기 위해, 플라즈마 소스(502)를 갖는다. 수집기(504)는, 조사기 시스템(506)의 일부인 필드 면 거울(field facet mirror, 508)에 광을 제공하고, 조사기 시스템(506)은 동공 면 거울(pupil facet mirror, 510)을 추가로 포함한다. 조사기 시스템(506)은 EUV 광을 (도 1의 마스크 블랭크(104)의 완전히 프로세싱된 버전인) 레티클(512)에 제공하고, 이는, 투사 광학계(514)를 통해 웨이퍼(516) 상으로 EUV 광을 반사시킨다.
이제 도 6을 참조하면, EUV 리소그래피 시스템(600)이 도면에 도시된다. EUV 리소그래피 시스템(600)은 광학 트레인(500)에 대한 부속물들로서 웨이퍼 스테이지(606), 레티클 스테이지(604), 및 EUV 광 소스 지역(602)을 포함한다.
본 발명의 실시예들은, 기판 표면 상의 모든 피트들, 결함들, 및 입자들을 제거하기 위해, EUV 렌즈 엘리먼트 및 마스크 블랭크 기판들을 평탄화 및 평활화하고, 이로써, 표면은 원자적으로(atomically) 편평하고 평활하다. 발상(idea)은 결함이 없는(defect free) 재료를 EUV 렌즈 엘리먼트 또는 마스크 블랭크 기판의 표면 상에 증착시키는 것이고, 그러면 그러한 기판은 어떠한 결함들도 유발하지 않고 프로세싱되어 원자적으로 편평하고 평활한 표면을 달성할 수 있다.
제 1 단계는, 존재하는 임의의 피트들을 충진하는 것이다; 이는 유동 가능한 CVD 필름을 증착시킴으로써, 또는 CVD, PVD, 또는 유사한 프로세스를 통해 실리콘, 실리콘 산화물, 또는 관련된 필름들을 증착시킴으로써 이뤄질 수 있다. 이러한 단계는 또한, EUV 마스크 블랭크 기판 표면 상에 존재하는 입자들, 및 다른 결함들을 매립할 것이다. 유동 가능한 CVD 필름들의 경우, EUV 마스크 블랭크 기판 상의 용인 가능하게 평활하고 편평한 표면을 달성하는 데에 추가적인 CMP 또는 다른 평활화 프로세싱이 요구되지 않을 것이다.
실리콘, 실리콘 산화물, 또는 관련된 필름들의 경우, 증착 이후 평활화가 아마도 요구될 것이다. 이러한 평활화는 다양한 폴리싱 방법들에 의해 이뤄질 수 있는데, 그러한 방법들은 CMP, 화학적 폴리싱, 이온 빔 폴리싱, 또는 어닐링을 포함하지만 이에 제한되지는 않는다. 이러한 기술들은 또한, 추가적인 평활화가 요구되는 경우, 유동 가능한 CVD 필름들에 적용될 수 있다.
이러한 방법의 하나의 이점은 방법이 기판 독립적이라는 점이며, 그래서 방법은 다양한 기판들 및 기판들의 품질들에 대해 사용될 수 있다. 방법은, EUV 렌즈 엘리먼트 및 마스크 블랭크들을 위해 요구되는 특성들을 가지고 있지만 폴리싱 이후 원자적으로 편평하고 평활한 표면들을 갖지 않는 유리 기판들을 사용하는 것을 가능하게 만드는 가능성을 갖는다. 이러한 독립성은, 상이한 기판 공급기들을 사용하는 것을 가능하게 만들고, 공급기들에 의한 기판 제조(preparation) 및 폴리싱에 대한 예상치 못한 변화들의 충격들을 최소화한다.
본 발명의 실시예들은, EUV 렌즈 엘리먼트 또는 마스크 블랭크들을 위한, 원자적으로 편평하고, 저 결함의, 평활한 표면을 제공한다. 그러나, 본 발명의 실시예들은 또한, 거울들을 위해서와 같이, 다른 유형들의 블랭크들을 제조하는 데에 사용될 수 있다. 유리 기판 위에, 본 발명의 실시예들은, EUV 거울을 형성하는 데에 사용될 수 있다.
또한, 본 발명의 실시예들은, UV, DUV, e-빔, 가시광선, 적외선, 이온-빔, x-선, 및 다른 유형들의 반도체 리소그래피에서 사용되는, 다른 원자적으로 편평하고, 저 결함의, 평활한 표면 구조들에 적용될 수 있다. 본 발명의 실시예들은 또한, 웨이퍼-스케일로부터 디바이스 수준까지, 그리고 심지어 더 대면적의 디스플레이들 및 태양광(solar) 어플리케이션들까지의 범위일 수 있는, 다양한 크기의 구조들에서 사용될 수 있다.
다른 접근법은, 다층 스택을 성장시키기 위해, 편평한 높은 열 전도 표면들을 사용하는 것이다. 역사적으로, 사용되는 조사 파장들 및 광학계의 투과적인 본성에 기인하여, 마스크들을 위한 기판으로서 유리가 사용된다. EUV는 모든 재료들에 의해 흡수되고, 따라서 반사성 광학계가 사용된다. 그러나, 반사율은 100%가 아니고(현재의 Mo/Si 스택의 경우, <70%), 방사선의 흡수된 부분은 기판을 가열시킬 것이다. 현재의 마스크 유리 기판 조성은, 레지스트 노출 동안 패턴 왜곡을 피하기 위해, 작동 온도에서 제로 열 팽창 계수를 제공하도록 최적화된다. 유리보다 더 열 전도성인 기판들, 예를 들어, 금속성 또는 실리콘 기판들이 사용되는 경우, EUV 노출로부터의 열이 냉각식(cooled) 척에 전달될 수 있고, 따라서 특화된 유리에 대한 필요성을 없앨 수 있다. 또한, 마스크 기판 표면은, 상기 설명된 바와 같은 층의 증착과 같이(실리콘, 실리콘 이산화물), 반도체 양립 가능한 프로세스들을 사용하여, 또는 CMP에 의해서, 또는 양자 모두의 조합에 의해 평활화될 수 있다.
결과적인 방법, 프로세스, 장치, 디바이스, 생산물, 및/또는 시스템은, 간단하고, 비용-효과적이며, 복잡하지 않고, 매우 다용도이며, 정확하고, 섬세하며, 효과적이고, 그리고, 준비된, 효율적인, 그리고 경제적인 제조, 어플리케이션, 및 활용을 위해, 공지된 컴포넌트들을 적응시킴으로써(adapt) 구현될 수 있다.
본 발명의 다른 중요한 양태는, 본 발명이 가치있게, 비용들을 감소시키고, 시스템들을 단순화하며, 성능을 증가시키는 역사적인 경향을 지원하고 서비스한다는 점이다.
본 발명의 이러한 그리고 다른 가치있는 양태들은 결과적으로 기술의 상태를 적어도 다음 수준으로 발전시킨다(further).
본 발명은 특정한 최상의 모드와 함께 설명되었지만, 전술한 설명을 고려하여, 많은 대안들, 수정들, 및 변형들이 당업자에게 자명할 것이라는 점이 이해되어야 한다. 따라서, 본 발명은, 포함된 청구항들의 범위 내에 있는 그러한 모든 대안들, 수정들, 및 변형들을 포괄하도록 의도된다. 지금까지 본원에서 열거되거나 첨부된 도면들에 도시된 모든 사항들은 예시적인 것이며 비-제한적인 의미로 이해되어야 한다.

Claims (34)

  1. 극자외선 렌즈 엘리먼트 또는 블랭크 생산 시스템으로서,
    반도체 기판 위에 평탄화(planarization) 층을 증착시키기 위한 제 1 증착 시스템;
    상기 평탄화 층 위에 초-평활(ultra-smooth) 층을 증착시키기 위한 제 2 증착 시스템 ― 상기 초-평활 층은 재조직된(reorganized) 분자들을 가짐 ―; 및
    상기 초-평활 층 위에 다층 스택을 증착시키기 위한 제 3 증착 시스템을 포함하는,
    극자외선 렌즈 엘리먼트 또는 블랭크 생산 시스템.
  2. 제 1 항에 있어서,
    상기 제 2 증착 시스템은 스퍼터링 및 재증착 프로세스에서 상기 초-평활 층의 분자들의 리플로우(reflow)를 야기하는,
    극자외선 렌즈 엘리먼트 또는 블랭크 생산 시스템.
  3. 제 1 항에 있어서,
    상기 제 2 증착 시스템은 고밀도 플라즈마 산화물로 이루어진 상기 초-평활 층의 분자들의 재조직화(reorganization)를 야기하는,
    극자외선 렌즈 엘리먼트 또는 블랭크 생산 시스템.
  4. 제 1 항에 있어서,
    상기 제 2 증착 시스템은 실리콘, 금속, 또는 유전체 재료로 이루어진 상기 초-평활 층의 분자들의 재조직화를 야기하는,
    극자외선 렌즈 엘리먼트 또는 블랭크 생산 시스템.
  5. 제 1 항에 있어서,
    상기 제 3 증착 시스템은 극자외선 마스크 블랭크를 형성하기 위해 상기 다층 스택을 증착시키는,
    극자외선 렌즈 엘리먼트 또는 블랭크 생산 시스템.
  6. 제 1 항에 있어서,
    상기 제 3 증착 시스템은 극자외선 거울을 형성하기 위해 상기 다층 스택을 증착시키는,
    극자외선 렌즈 엘리먼트 또는 블랭크 생산 시스템.
  7. 제 1 항에 있어서,
    상기 제 2 증착 시스템은 상기 초-평활 층이 0.2nm RMS 미만의 국부적인 거칠기를 갖게 하는,
    극자외선 렌즈 엘리먼트 또는 블랭크 생산 시스템.
  8. 극자외선 리소그래피 시스템으로서,
    극자외선 광 소스;
    상기 극자외선 광 소스로부터의 광을 지향시키기 위한 거울;
    평탄화 층 및 상기 평탄화 층 위의 초-평활 층을 갖는 극자외선 마스크 블랭크를 위치시키기 위한 레티클(reticle) 스테이지; 및
    웨이퍼를 위치시키기 위한 웨이퍼 스테이지를 포함하는,
    극자외선 리소그래피 시스템.
  9. 제 8 항에 있어서,
    상기 초-평활 층은 상기 초-평활 층의 분자들의 용융(melting) 리플로우를 갖는,
    극자외선 리소그래피 시스템.
  10. 제 8 항에 있어서,
    상기 초-평활 층은 고밀도 플라즈마 산화물로 이루어진 상기 초-평활 층의 분자들의 재조직화를 갖는,
    극자외선 리소그래피 시스템.
  11. 제 8 항에 있어서,
    상기 초-평활 층은 실리콘, 금속, 또는 유전체 재료로 이루어진 상기 초-평활 층의 분자들의 재조직화를 갖는,
    극자외선 리소그래피 시스템.
  12. 제 8 항에 있어서,
    다층 스택이 상기 극자외선 마스크 블랭크를 형성하는,
    극자외선 리소그래피 시스템.
  13. 제 8 항에 있어서,
    다층 스택이 극자외선 거울을 형성하는,
    극자외선 리소그래피 시스템.
  14. 제 8 항에 있어서,
    상기 초-평활 층은 0.2nm RMS 미만의 국부적인 거칠기를 갖는,
    극자외선 리소그래피 시스템.
  15. 극자외선 블랭크를 만드는 방법으로서,
    기판 위에 평탄화 층을 형성하는 단계;
    상기 평탄화 층 위에 초-평활 층을 형성하는 단계 ― 상기 초-평활 층은 재조직된 분자들을 가짐 ―; 및
    상기 초-평활 층 위에 다층 스택을 형성하는 단계를 포함하는,
    극자외선 블랭크를 만드는 방법.
  16. 제 15 항에 있어서,
    상기 초-평활 층을 형성하는 단계는 상기 초-평활 층의 분자들을 리플로우시키는,
    극자외선 블랭크를 만드는 방법.
  17. 제 15 항에 있어서,
    상기 초-평활 층을 형성하는 단계는 고밀도 플라즈마 산화물로 이루어진 상기 초-평활 층의 분자들을 재조직하는,
    극자외선 블랭크를 만드는 방법.
  18. 제 15 항에 있어서,
    상기 초-평활 층을 형성하는 단계는 실리콘, 금속, 또는 유전체 재료로 이루어진 상기 초-평활 층의 분자들을 재조직하는,
    극자외선 블랭크를 만드는 방법.
  19. 제 15 항에 있어서,
    상기 다층 스택을 형성하는 단계는 비결정질 금속성 층을 형성하는 단계를 포함하는,
    극자외선 블랭크를 만드는 방법.
  20. 제 15 항에 있어서,
    상기 다층 스택을 형성하는 단계는 극자외선 마스크 블랭크 또는 극자외선 거울을 형성하는 단계를 포함하는,
    극자외선 블랭크를 만드는 방법.
  21. 제 15 항에 있어서,
    상기 초-평활 층을 형성하는 단계는 0.2nm RMS 미만의 국부적인 거칠기를 갖는 표면을 형성하는 단계를 포함하는,
    극자외선 블랭크를 만드는 방법.
  22. 극자외선 블랭크로서,
    기판;
    상기 기판위의 평탄화 층;
    상기 평탄화 층 위의 초-평활 층 ― 상기 초-평활 층은 재조직된 분자들을 가짐 ―; 및
    상기 초-평활 층 위의 다층 스택을 포함하는,
    극자외선 블랭크.
  23. 제 22 항에 있어서,
    상기 초-평활 층은 상기 초-평활 층의 분자들의 리플로우를 갖는,
    극자외선 블랭크.
  24. 제 22 항에 있어서,
    상기 초-평활 층은 고밀도 플라즈마 산화물로 이루어진 상기 초-평활 층의 분자들의 재조직화를 갖는,
    극자외선 블랭크.
  25. 제 22 항에 있어서,
    상기 초-평활 층은 실리콘, 금속, 또는 유전체 재료로 이루어진 상기 초-평활 층의 분자들의 재조직화를 갖는,
    극자외선 블랭크.
  26. 제 22 항에 있어서,
    상기 다층 스택은 비결정질 금속성 층을 포함하는,
    극자외선 블랭크.
  27. 제 22 항에 있어서,
    상기 다층 스택은 극자외선 마스크 블랭크 또는 극자외선 거울을 형성하는,
    극자외선 블랭크.
  28. 제 22 항에 있어서,
    상기 초-평활 층은 0.2nm RMS 미만의 국부적인 거칠기를 갖는,
    극자외선 블랭크.
  29. 극자외선 블랭크로서,
    기판;
    상기 기판위의 평탄화 층;
    상기 평탄화 층 위의 초-평활 층 ― 상기 초-평활 층은 재조직된 분자들을 가짐 ―;
    비결정질 금속성 층을 갖는 다층 스택; 및
    상기 다층 스택 위의 캐핑(capping) 층들을 포함하는,
    극자외선 블랭크.
  30. 제 29 항에 있어서,
    상기 초-평활 층은 상기 초-평활 층의 분자들의 리플로우를 갖는,
    극자외선 블랭크.
  31. 제 29 항에 있어서,
    상기 초-평활 층은 고밀도 플라즈마 산화물로 이루어진 상기 초-평활 층의 분자들의 재조직화를 갖는,
    극자외선 블랭크.
  32. 제 29 항에 있어서,
    상기 초-평활 층은 실리콘, 금속, 또는 유전체 재료로 이루어진 상기 초-평활 층의 분자들의 재조직화를 갖는,
    극자외선 블랭크.
  33. 제 29 항에 있어서,
    상기 다층 스택은 극자외선 마스크 블랭크 또는 극자외선 거울을 형성하는,
    극자외선 블랭크.
  34. 제 29 항에 있어서,
    상기 초-평활 층은 0.2nm RMS 미만의 국부적인 거칠기를 갖는,
    극자외선 블랭크.
KR1020177013877A 2013-03-14 2014-03-13 초-평활 층 자외선 리소그래피 거울들 및 블랭크들, 및 그를 위한 제조 및 리소그래피 시스템들 KR20170060177A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361786109P 2013-03-14 2013-03-14
US61/786,109 2013-03-14
US14/139,507 2013-12-23
US14/139,507 US9417515B2 (en) 2013-03-14 2013-12-23 Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor
PCT/US2014/026844 WO2014152033A1 (en) 2013-03-14 2014-03-13 Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020157027665A Division KR20150129782A (ko) 2013-03-14 2014-03-13 초-평활 층 자외선 리소그래피 거울들 및 블랭크들, 및 그를 위한 제조 및 리소그래피 시스템들

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020197010458A Division KR20190049836A (ko) 2013-03-14 2014-03-13 초-평활 층 자외선 리소그래피 거울들 및 블랭크들, 및 그를 위한 제조 및 리소그래피 시스템들

Publications (1)

Publication Number Publication Date
KR20170060177A true KR20170060177A (ko) 2017-05-31

Family

ID=51525877

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020177013877A KR20170060177A (ko) 2013-03-14 2014-03-13 초-평활 층 자외선 리소그래피 거울들 및 블랭크들, 및 그를 위한 제조 및 리소그래피 시스템들
KR1020157027665A KR20150129782A (ko) 2013-03-14 2014-03-13 초-평활 층 자외선 리소그래피 거울들 및 블랭크들, 및 그를 위한 제조 및 리소그래피 시스템들
KR1020197010458A KR20190049836A (ko) 2013-03-14 2014-03-13 초-평활 층 자외선 리소그래피 거울들 및 블랭크들, 및 그를 위한 제조 및 리소그래피 시스템들

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020157027665A KR20150129782A (ko) 2013-03-14 2014-03-13 초-평활 층 자외선 리소그래피 거울들 및 블랭크들, 및 그를 위한 제조 및 리소그래피 시스템들
KR1020197010458A KR20190049836A (ko) 2013-03-14 2014-03-13 초-평활 층 자외선 리소그래피 거울들 및 블랭크들, 및 그를 위한 제조 및 리소그래피 시스템들

Country Status (7)

Country Link
US (1) US9417515B2 (ko)
JP (1) JP6420310B2 (ko)
KR (3) KR20170060177A (ko)
CN (1) CN105027257B (ko)
SG (1) SG11201506511PA (ko)
TW (1) TWI631411B (ko)
WO (1) WO2014152033A1 (ko)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US9696467B2 (en) * 2014-01-31 2017-07-04 Corning Incorporated UV and DUV expanded cold mirrors
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
WO2017100996A1 (en) * 2015-12-15 2017-06-22 Materion Corporation Enhanced wavelength conversion device
CN114384687A (zh) 2016-03-02 2022-04-22 美题隆公司 光学增强的光转换器
CN106169416B (zh) * 2016-08-29 2019-11-12 复旦大学 一种极紫外掩模的制造方法
TWI767070B (zh) * 2018-10-17 2022-06-11 台灣積體電路製造股份有限公司 光微影系統及清潔光微影系統的方法
CN111061129B (zh) * 2018-10-17 2022-11-01 台湾积体电路制造股份有限公司 光刻系统及清洁光刻系统的方法
EP3703114A1 (en) * 2019-02-26 2020-09-02 ASML Netherlands B.V. Reflector manufacturing method and associated reflector
JP7288782B2 (ja) * 2019-03-27 2023-06-08 Hoya株式会社 多層反射膜付き基板、反射型マスクブランク及び反射型マスク、並びに半導体装置の製造方法
TW202142949A (zh) * 2020-04-23 2021-11-16 美商應用材料股份有限公司 極紫外光遮罩毛胚缺陷之減少
TWI805022B (zh) * 2020-10-30 2023-06-11 美商希瑪有限責任公司 用於深紫外線光源之光學組件

Family Cites Families (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB8921666D0 (en) 1989-09-26 1989-11-08 Peatgrange Ivd Limited Ion vapour deposition apparatus and method
US5420067A (en) 1990-09-28 1995-05-30 The United States Of America As Represented By The Secretary Of The Navy Method of fabricatring sub-half-micron trenches and holes
JPH05335215A (ja) * 1991-03-06 1993-12-17 Hitachi Ltd 反射ミラー、その作製方法及び縮小投影露光装置
US5645646A (en) 1994-02-25 1997-07-08 Applied Materials, Inc. Susceptor for deposition apparatus
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US6096100A (en) 1997-12-12 2000-08-01 Texas Instruments Incorporated Method for processing wafers and cleaning wafer-handling implements
US6010916A (en) 1997-12-05 2000-01-04 Advanced Micro Devices, Inc. Method for improving semiconductor wafer processing
US6142641A (en) 1998-06-18 2000-11-07 Ultratech Stepper, Inc. Four-mirror extreme ultraviolet (EUV) lithography projection system
US6749814B1 (en) 1999-03-03 2004-06-15 Symyx Technologies, Inc. Chemical processing microsystems comprising parallel flow microreactors and methods for using same
US6228512B1 (en) * 1999-05-26 2001-05-08 The Regents Of The University Of California MoRu/Be multilayers for extreme ultraviolet applications
EP1190276A2 (en) * 1999-06-07 2002-03-27 The Regents of the University of California Coatings on reflective mask substrates
US20020015855A1 (en) 2000-06-16 2002-02-07 Talex Sajoto System and method for depositing high dielectric constant materials and compatible conductive materials
JP2002090978A (ja) 2000-09-12 2002-03-27 Hoya Corp 位相シフトマスクブランクの製造方法、及び位相シフトマスクブランクの製造装置
JP3939132B2 (ja) 2000-11-22 2007-07-04 Hoya株式会社 多層膜付き基板、露光用反射型マスクブランク、露光用反射型マスクおよびその製造方法、並びに半導体の製造方法
US6656643B2 (en) 2001-02-20 2003-12-02 Chartered Semiconductor Manufacturing Ltd. Method of extreme ultraviolet mask engineering
US6840616B2 (en) 2001-03-29 2005-01-11 Scott Summers Air folder adjuster apparatus and method
US6610447B2 (en) * 2001-03-30 2003-08-26 Intel Corporation Extreme ultraviolet mask with improved absorber
US20030008148A1 (en) 2001-07-03 2003-01-09 Sasa Bajt Optimized capping layers for EUV multilayers
US7455955B2 (en) 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6734117B2 (en) 2002-03-12 2004-05-11 Nikon Corporation Periodic clamping method and apparatus to reduce thermal stress in a wafer
US6835503B2 (en) 2002-04-12 2004-12-28 Micron Technology, Inc. Use of a planarizing layer to improve multilayer performance in extreme ultra-violet masks
US6806006B2 (en) 2002-07-15 2004-10-19 International Business Machines Corporation Integrated cooling substrate for extreme ultraviolet reticle
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US20040091618A1 (en) 2002-11-08 2004-05-13 Park Han-Su Photoresist depositon apparatus and method for forming photoresist film using the same
DE10302342A1 (de) 2003-01-17 2004-08-05 Schott Glas Substrat für die EUV-Mikrolithographie und Herstellverfahren hierfür
US6908713B2 (en) 2003-02-05 2005-06-21 Intel Corporation EUV mask blank defect mitigation
SG115693A1 (en) 2003-05-21 2005-10-28 Asml Netherlands Bv Method for coating a substrate for euv lithography and substrate with photoresist layer
US7001788B2 (en) 2003-05-29 2006-02-21 Applied Materials, Inc. Maskless fabrication of waveguide mirrors
KR100520222B1 (ko) * 2003-06-23 2005-10-11 삼성전자주식회사 반도체 소자에서의 듀얼 게이트 산화막 구조 및 그에 따른형성방법
US7326502B2 (en) 2003-09-18 2008-02-05 Intel Corporation Multilayer coatings for EUV mask substrates
KR100680405B1 (ko) 2003-11-19 2007-02-08 주식회사 하이닉스반도체 Euv용 포토레지스트 조성물 및 이를 이용한포토레지스트 패턴 형성 방법
US7005227B2 (en) 2004-01-21 2006-02-28 Intel Corporation One component EUV photoresist
US7193228B2 (en) 2004-03-10 2007-03-20 Cymer, Inc. EUV light source optical elements
JP4542807B2 (ja) 2004-03-31 2010-09-15 東京エレクトロン株式会社 成膜方法および成膜装置、ならびにゲート絶縁膜の形成方法
US7198872B2 (en) 2004-05-25 2007-04-03 International Business Machines Corporation Light scattering EUVL mask
US8293430B2 (en) 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US7336416B2 (en) 2005-04-27 2008-02-26 Asml Netherlands B.V. Spectral purity filter for multi-layer mirror, lithographic apparatus including such multi-layer mirror, method for enlarging the ratio of desired radiation and undesired radiation, and device manufacturing method
US20060275547A1 (en) 2005-06-01 2006-12-07 Lee Chung J Vapor Phase Deposition System and Method
US7432201B2 (en) * 2005-07-19 2008-10-07 Applied Materials, Inc. Hybrid PVD-CVD system
US7678511B2 (en) 2006-01-12 2010-03-16 Asahi Glass Company, Limited Reflective-type mask blank for EUV lithography
US7712333B2 (en) * 2006-03-29 2010-05-11 Asahi Glass Company, Limited Method for smoothing a surface of a glass substrate for a reflective mask blank used in EUV lithography
US7736820B2 (en) 2006-05-05 2010-06-15 Asml Netherlands B.V. Anti-reflection coating for an EUV mask
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7892719B2 (en) 2006-11-03 2011-02-22 Intel Corporation Photonic crystal EUV photoresists
WO2008065821A1 (fr) 2006-11-27 2008-06-05 Nikon Corporation Elément optique, unité d'exposition associée et procédé de production du dispositif
US20090278233A1 (en) 2007-07-26 2009-11-12 Pinnington Thomas Henry Bonded intermediate substrate and method of making same
WO2009031232A1 (ja) 2007-09-07 2009-03-12 Canon Anelva Corporation スパッタリング方法および装置
JP5039495B2 (ja) 2007-10-04 2012-10-03 ルネサスエレクトロニクス株式会社 マスクブランク検査方法、反射型露光マスクの製造方法、反射型露光方法および半導体集積回路の製造方法
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US20090176367A1 (en) 2008-01-08 2009-07-09 Heidi Baks OPTIMIZED SiCN CAPPING LAYER
JP5369640B2 (ja) * 2008-02-19 2013-12-18 旭硝子株式会社 Euvl用光学部材、およびその平滑化方法
JP5559948B2 (ja) * 2010-03-12 2014-07-23 Hoya株式会社 多層反射膜付基板の製造方法および反射型マスクブランクの製造方法
US8709551B2 (en) * 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US8526104B2 (en) * 2010-04-30 2013-09-03 Corning Incorporated Plasma ion assisted deposition of Mo/Si multilayer EUV coatings
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
JP6013720B2 (ja) 2010-11-22 2016-10-25 芝浦メカトロニクス株式会社 反射型マスクの製造方法、および反射型マスクの製造装置
US8475977B2 (en) 2010-12-02 2013-07-02 Intermolecular, Inc Protective cap for extreme ultraviolet lithography masks
NL2007768A (en) 2010-12-14 2012-06-18 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
US8562794B2 (en) 2010-12-14 2013-10-22 Asahi Glass Company, Limited Process for producing reflective mask blank for EUV lithography and process for producing substrate with functional film for the mask blank
KR20130139856A (ko) 2011-03-14 2013-12-23 후지 덴키 가부시키가이샤 산화물 기판 및 그 제조 방법
NL2009487A (en) 2011-10-14 2013-04-16 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
US9051649B2 (en) 2013-03-11 2015-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor film deposition apparatus and method with improved heater cooling efficiency

Also Published As

Publication number Publication date
CN105027257A (zh) 2015-11-04
JP2016519780A (ja) 2016-07-07
US20140268083A1 (en) 2014-09-18
KR20150129782A (ko) 2015-11-20
US9417515B2 (en) 2016-08-16
CN105027257B (zh) 2018-05-15
JP6420310B2 (ja) 2018-11-07
KR20190049836A (ko) 2019-05-09
SG11201506511PA (en) 2015-09-29
TWI631411B (zh) 2018-08-01
TW201443549A (zh) 2014-11-16
WO2014152033A1 (en) 2014-09-25

Similar Documents

Publication Publication Date Title
JP7285682B2 (ja) 平坦化された極端紫外線リソグラフィブランク及びそのための製造及びリソグラフィシステム
US9417515B2 (en) Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor
KR102207245B1 (ko) 비결정질 층 극자외선 리소그래피 블랭크, 및 그를 위한 제조 및 리소그래피 시스템들
US11493841B2 (en) Glass ceramic for ultraviolet lithography and method of manufacturing thereof
KR101831347B1 (ko) 흡수체를 갖는 평탄화된 극자외선 리소그래피 블랭크 및 그의 제조 시스템
KR20170032378A (ko) 얇은 흡수체를 갖는 극자외선 마스크 블랭크 생산 시스템 및 그의 제조 시스템

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
AMND Amendment
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
J301 Trial decision

Free format text: TRIAL NUMBER: 2019101001229; TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20190411

Effective date: 20200521