TW201419379A - 形成基底圖案的方法以及校正曝光機台的方法 - Google Patents

形成基底圖案的方法以及校正曝光機台的方法 Download PDF

Info

Publication number
TW201419379A
TW201419379A TW102139015A TW102139015A TW201419379A TW 201419379 A TW201419379 A TW 201419379A TW 102139015 A TW102139015 A TW 102139015A TW 102139015 A TW102139015 A TW 102139015A TW 201419379 A TW201419379 A TW 201419379A
Authority
TW
Taiwan
Prior art keywords
pattern
substrate
forming
photoresist
layer
Prior art date
Application number
TW102139015A
Other languages
English (en)
Other versions
TWI517211B (zh
Inventor
yu-chao Lin
Chia-Hao Hsu
Kuo-Yu Wu
Chia-Jen Chen
Chao-Cheng Chen
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Publication of TW201419379A publication Critical patent/TW201419379A/zh
Application granted granted Critical
Publication of TWI517211B publication Critical patent/TWI517211B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/095Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having more than one photosensitive layer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

形成基底圖案的方法之實施例包含在基底上形成底層和覆蓋在上面的中間層,在中間層上形成光阻圖案,在光阻圖案上沈積蝕刻塗層,使用蝕刻塗層和光阻圖案作為遮罩元件,將中間層和底層的其中至少一個圖案化,使用圖案化中間層和圖案化底層的其中至少一個作為遮罩元件,蝕刻基底以形成基底圖案,基底圖案可作為疊對量測製程的元件。

Description

形成基底圖案的方法以及校正曝光機台的方法
本發明係有關於半導體積體電路的製造技術,特別有關於形成基底圖案的方法以及校正曝光機台的方法。
半導體積體電路工業已經迅速地成長,在積體電路之材料與設計上的技術發展已經產生了許多積體電路世代,每個世代相較於先前的世代具有更小且更複雜的電路,在積體電路發展的過程中,隨著幾何尺寸(亦即使用製程可以產生的最小元件或線)縮減的同時,機能密度(亦即每一晶片面積內的內連線元件數量)通常也在增加。尺寸縮減的製程通常可藉由增加製造效能以及降低伴隨的成本而提供好處,然而尺寸的縮減也會增加積體電路在製造和製程上的複雜度,這些已經被實現的技術在積體電路的製程和製造上具有類似的發展。
舉例而言,圖案的尺寸縮減可能會在積體電路元件上造成不對稱的圖案輪廓,不對稱的圖案可能會導致一些問題,例如在積體電路元件上發生無法矯正或無法控制的圖案對圖案的疊對誤差。在另一個例子中,當使用具有不對稱圖案的監控晶圓來監控或校正微影曝光機台時,微影曝光機台的準確度會受到影響。因此,業界需要可形成更對稱的圖案之方法。
在本揭示的一實施例中,提供將基底圖案化以形成基底圖案的方法,此方法包含接收基底,形成第一光阻圖案,沈積蝕刻塗層在第一光阻圖案上,其中第一光阻圖案形成在中間層上,以及藉由蝕刻製程的進行在基底中形成基底圖案。形成第一光阻圖案的步驟可包含在基底上沈積底層,在底層上沈積中間層,以及在中間層上沈積第一光阻層,之後可將第一光阻層曝光,使得第一光阻圖案形成在中間層和底層上;此方法可進一步包含使用印刷在基底圖案之上的第二光阻圖案對微影曝光機台進行校正,其中基底圖案形成於基底中;沈積中間層的步驟可包含沈積摻雜矽的底部抗反射塗層;沈積中間層的步驟可進一步包含對底部抗反射塗層摻雜矽的範圍從約1百分比至8百分比,此百分比係表示在底部抗反射塗層中的矽;沈積蝕刻塗層的步驟可包含使用電漿製程;沈積蝕刻塗層的步驟可進一步包含使用有機烷烴或有機烷烴的混合物;進行蝕刻製程的步驟可包含對蝕刻塗層、中間層、底層以及基底進行蝕刻。
在另一實施例中,提供形成圖案的方法,此方法包含接收基底,形成第一光阻圖案,沈積蝕刻塗層在第一光阻圖案上,以及藉由蝕刻製程的進行在基底中形成基底圖案。形成第一光阻圖案的步驟可包含在基底上沈積底層,在底層上沈積摻雜矽的中間層,在中間層上沈積第一光阻層,以及使用微影製程在中間層上形成第一光阻圖案;進行蝕刻製程的步驟可包含對蝕刻塗層、中間層、底層以及/或基底進行蝕刻。此方法可進一步包含使用形成在基底圖案之上的第二光阻圖案對 微影曝光機台進行校正,其中基底圖案形成於基底中;沈積中間層的步驟可包含沈積摻雜矽的底部抗反射塗層,在底部抗反射塗層中摻雜矽的範圍從約1百分比至8百分比;沈積蝕刻塗層的步驟可包含使用電漿製程形成有機層。
在另一實施例中,提供校正曝光機台的方法,此方法包含在基底中形成基底圖案。形成基底圖案的步驟包含提供第一圖案化光阻層,第一圖案化光阻層具有蝕刻塗層設置於其上,以及使用第一圖案化光阻層將下方層圖案化。然後,使用圖案化的下方層作為遮罩元件,蝕刻出基底圖案;在基底圖案之上形成第二光阻圖案;以及進行第二光阻圖案對基底圖案的疊對量測。
此方法可進一步包含使用一模範產生一組相關參數,下方層可包含感光材料(例如底層)和底部抗反射塗佈材料(例如中間層)的其中至少一個,執行疊對量測的步驟可包含測量在第一圖案化光阻層上的第一點與在第二光阻圖案上的第二點之間的距離,此量測的距離大抵上可平行於基底的頂部表面(例如X或Y方向)。
此方法可包含從疊對量測的結果決定第一微影曝光機台與第二微影曝光機台之間的相關性,在一實施例中,第一圖案化光阻層是使用第一微影曝光機台形成,並且第二光阻圖案是使用第二微影曝光機台形成,第一和第二微影曝光機台可以是步進掃瞄機(step-and-scan tools)。
100‧‧‧在基底中形成圖案的傳統方法
102、104、106、108、110、112‧‧‧在基底中形成圖案的傳統方法之各步驟
200、400、600‧‧‧元件
202、402‧‧‧基底
204‧‧‧底部抗反射塗層
206‧‧‧光阻膜
206a、606‧‧‧光阻圖案
210‧‧‧圖案
230‧‧‧微影曝光機台
232‧‧‧圖案化的電磁輻射
252‧‧‧圖案輪廓
254‧‧‧第一側壁角度
256‧‧‧第二側壁角度
300‧‧‧形成圖案的方法
302、304、306、308、310、312、314、316、318、320‧‧‧形成圖案的方法之各步驟
404‧‧‧底層
406‧‧‧中間層
408‧‧‧圖案化的光阻層
410‧‧‧蝕刻塗層
412‧‧‧基底圖案
500‧‧‧微影曝光機台的校正或監控方法
502、504、506、508、510、512‧‧‧微影曝光機台的校正或監控方法之各步驟
xi‧‧‧光阻圖案相對於基底圖案在X方向上的差距
yi‧‧‧光阻圖案相對於基底圖案在Y方向上的差距
為了讓本揭示之目的、特徵、及優點能更明顯易懂,以下配合所附圖式作詳細說明如下:
第1圖為在基底中形成圖案的方法之流程圖。
第2-5圖為依據第1圖的方法,形成圖案的剖面示意圖。
第6圖為藉由第1圖的方法所提供的圖案輪廓之一個例子。
第7圖為依據本揭示的一個或多個概念,形成圖案的方法之一實施例的流程圖。
第8-13圖為使用本揭示的一個或多個實施例,在基底上形成圖案之一實施例的剖面示意圖。
第14圖為對用於執行本揭示的一個或多個實施例之微影曝光機台進行校正的方法之一實施例的流程圖。
第15圖為藉由本揭示的一個或多個實施例,對於一實施例之基底上的圖案有益處的一個例子。
第16圖為圖案對圖案未對準的一個例子。
在所附圖式中的各種特徵並非按照半導體工業標準的實施慣例之實際尺寸規格繪製,所附圖式的繪製係用於說明本揭示,為了讓本揭示的討論更清楚地顯示,在圖式中的各種特徵的尺寸可以任意地加大或縮減。
以下的揭示內容提供許多不同的實施例或例子,藉此實現本揭示的不同特徵,下述元件與排列方式的特定例子係用於簡化本揭示,這些例子僅作為示範用,並非用於限定本揭示。例如,在以下描述中,於第二特徵上或上方形成第一特徵可包含形成第一特徵與第二特徵直接接觸的實施例,另外, 也可包含在第一特徵與第二特徵之間形成額外特徵的實施例,使得第一特徵與第二特徵可以不直接接觸。此外,在本揭示的各種例子中,可重複使用標號以及/或字母,這些重複的標號之目的在於讓本揭示可以簡化並清楚地顯示,並非表示各種實施例以及/或各種狀態之間的關係。
參閱第1圖,其係顯示在基底例如半導體晶圓或光罩上形成圖案的傳統方法100。方法100從步驟102開始,提供或接收基底;方法100繼續進行至步驟104,在基底上沉積光阻膜,例如藉由旋轉塗佈製程,在本揭示中,光阻(photo resist)也稱為抵抗層(resist)。
方法100繼續進行至步驟106,藉由微影曝光機台對沉積在基底之上的光阻膜進行曝光,在光阻膜上形成潛在的影像圖案;方法100繼續進行至步驟108,將曝光後的光阻膜顯影,在基底之上形成光阻圖案。
方法100繼續進行至步驟110及步驟112,使用圖案化的光阻對基底進行蝕刻,以形成基底圖案。參閱第2-5圖,其係顯示使用方法100形成元件200的圖案之剖面示意圖,如第2圖所示,於接收基底202之後,在基底202上沉積底部抗反射塗層(bottom anti-reflective coating;BARC)204,基底202可包含晶圓以及複數個導電與非導電薄膜形成於其上。
如第2圖所示,在底部抗反射塗層204上沉積光阻膜206,而底部抗反射塗層204則沉積在基底202上。然後,如第3圖所示,藉由微影曝光機台230將光阻膜206曝光,在本揭示中,微影曝光機台也稱為曝光機台,微影曝光機台230會產 生圖案化的電磁輻射232投射在光阻膜206上。
如第4圖所示,在使用顯影劑之後,形成光阻圖案206a;如第5圖所示,在進行蝕刻製程之後,在基底上形成圖案210。
繼續本實施例,第6圖為在基底202上形成圖案輪廓252的一個例子,圖案輪廓252為不對稱,換言之,圖案輪廓252的第一側壁角度(side wall angle;SWA)254不等於圖案輪廓252的第二側壁角度256。
不對稱的側壁角度可能會對元件產生潛在的問題,例如不對稱的側壁角度可能會造成晶圓的中央與邊緣區域之間的臨界尺寸(critical dimension;CD)之變異超出公差(tolerance)範圍,或者超出元件的規格。晶圓的中央與邊緣區域之間的特徵尺寸之變異可能會嚴重地影響元件的電性效能。在一例子中,當在微影曝光機台上對晶圓進行曝光的期間,光罩或十字標線(reticle)(具有目前的圖案)會對準形成於晶圓上的先前圖案,此對準步驟係藉由讀取複數個對準記號(或圖案)而進行,這些對準記號(或圖案)係由先前圖案形成,如果此讀取是來自不對稱的側壁角度圖案,則可能會發生對不準的情況,此對不準的情況可能是晶圓製造廠中晶圓良率的致命缺陷。在另一例子中,晶圓製造廠使用複數個圖案化的晶圓來監控微影曝光機台或製程,或者校正微影曝光機台,如果在晶圓上形成的圖案具有不對稱的側壁角度,則微影曝光機台或製程的精準度可能會受到圖案的不對稱側壁角度影響。
參閱第7圖,其係顯示依據本揭示的一個或多個實 施例,形成圖案的方法300之流程圖。方法300可減緩以及/或降低上述的不對稱側壁角度產生,第8-13圖為依據方法300之實施例,形成示範性元件400的圖案之剖面示意圖。
方法300從步驟302開始,提供或接收基底。參閱第8圖的例子,其顯示基底402,基底402可包含晶圓以及複數個導電與非導電薄膜形成於其上,在一實施例中,基底402為包含矽的半導體基底(換言之,為矽晶圓)。此外,基底402可包含其他元素的半導體,例如鍺;基底402可包含化合物半導體,其包含碳化矽(silicon carbide)、砷化鎵(gallium arsenic)、磷化鎵(gallium phosphide)、磷化銦(indium phosphide)、砷化銦(indium arsenide)、以及/或銻化銦(indium antimonide);基底402可包含合金半導體,其包含SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP以及/或GaInAsP。另外,晶圓可為在絕緣體上的半導體(semiconductor on insulator;SOI)。上述導電與非導電薄膜可包括絕緣體或導電材料,例如,導電材料可包括金屬,例如鋁(Al)、銅(Cu)、鎢(W)、鎳(Ni)、鈦(Ti)、金(Au)和鉑(Pt),以及這些金屬的合金;絕緣體材料可包含氧化矽及氮化矽。在其他實施例中,基底402可包含光罩。
接著,方法300繼續進行至步驟304,在基底上形成底層,例如藉由旋轉塗佈製程。步驟304可包含進行除水(dehydration)製程,以提升底層對基底的黏著力,除水製程可包含在高溫烘烤基底一段時間,或這施加化學藥劑,例如六甲基二矽烷(hexamethyldisilazane;HMDS)至基底。示範性的底層組成物包含光阻或其他有機感光材料,底層的厚度可介於約 1500Å至3000Å之間。參閱第8圖的例子,底層404設置在基底402上。
接著,方法300繼續進行至步驟306,在底層上形成中間層,在一實施例中,中間層藉由旋轉塗佈製程形成,步驟306可包含軟烤(soft bake;SB)製程。示範性的中間層組成物包含摻雜矽的底部抗反射塗層(BARC),底部抗反射塗層可包含有機的底部抗反射塗層或無機的底部抗反射塗層。在另一實施例中,摻雜矽的底部抗反射塗層可包含介於約1百分比至8百分比之間的矽,中間層的厚度可介於約200Å至500Å之間。參閱第8圖的例子,中間層406設置在基底402上。
方法300繼續進行至步驟308,在中間層上沈積光阻層,光阻層可藉由旋轉塗佈製程形成,在一實施例中,步驟308可包含軟烤(SB)製程。光阻層可包含正型光阻或負型光阻,光阻層也可包含單一光阻膜或多層光阻膜,光阻層可包含I-射線(I-line)或深紫外光(DUV)光阻,光阻層的厚度可介於約500Å至1500Å之間。
方法300繼續進行至步驟310,將上述步驟308的光阻層圖案化,步驟310可包含使用微影曝光機台將光阻層曝光,微影曝光機台可產生圖案化的電磁輻射投射在光阻層上,微影曝光機台可包含紫外光(UV)、深紫外光(Deep UV;DUV)、超紫外光(extreme UV;EUV)或X射線(X-ray)光源。示範性的微影曝光機台為步進掃瞄機(step-and-scan tool),例如荷蘭ASML N.V.公司的ASML NXT機台(例如型號1700i、1900i、1950i)。在一實施例中,微影曝光機台使用介於約10mJ至30mJ 之間的能量,在一實施例中,微影曝光機台使用介於約0.1至-0.1之間的焦距。另外,微影曝光機台可包含帶電粒子機台,例如電子束寫入機(electron beam writer)。微影曝光機台可包含遮罩,例如二元式光罩(binary mask)或相偏移光罩(phase shift mask;PSM),相偏移光罩可以是交互變換式相偏移光罩(alternative PSM)或衰減式相位移光罩(attenuated PSM),在本揭示中,遮罩也稱為光罩或十字標線(reticle)。
步驟310也可包含使用顯影劑,例如氫氧化四甲銨(tetramethylammonium hydroxide;TMAH),將曝光的光阻層顯影;步驟310也可包含曝光後烘烤(post exposure bake;PEB)、顯影後烘烤(post develop bake;PDB)或這兩者。參閱第8圖的例子,圖案化的光阻層408設置在基底402上,覆蓋在底層404和中間層406上面。
方法300繼續進行至步驟312,在圖案化的光阻層上沈積蝕刻塗層(etch coating layer)。參閱第9圖的例子,在圖案化的光阻層408之上形成蝕刻塗層410。在一實施例中,使用電漿製程形成蝕刻塗層410,例如,步驟312也可包含在電漿機台的腔室中進行,於低的腔室壓力下,提供有機化合物例如CH4、CH4F2、CH3F、CH4/Ar或CH4/He。在一實施例中,使用甲烷(CH4)氣體在圖案化的光阻層408上流動以形成蝕刻塗層,在腔室壓力範圍從約5mtorr至20mtorr的情況下,甲烷氣體的流量範圍為從約10標準立方公分每分鐘(SCCM)至200 SCCM。另外,也可以使用其他烷類形成蝕刻塗層,蝕刻塗層可包含碳和氫。在另一例子中,步驟312包含使用蝕刻製程, 例如使用包含HBr、HBr/O2或HBr/N2的電漿蝕刻製程。參閱第10圖的例子,在中間層406和圖案化的光阻層408上形成蝕刻塗層410。
方法300繼續進行至步驟314,將中間層蝕刻或圖案化,蝕刻塗層410也可以被部分蝕刻,例如從圖案化光阻層408的頂部表面移除蝕刻塗層410。參閱第10圖的例子,使用圖案化的光阻層408和蝕刻塗層410作為遮罩元件(例如為下方各層的保護元件),蝕刻中間層406以提供圖案化的中間層406,在此描述的實施例中,蝕刻在底層404停止。在一實施例中,步驟314包含使用電漿製程蝕刻中間層,例如,蝕刻中間層的步驟314可包含在電漿機台的腔室中進行,於低的腔室壓力下,供給化學氣體的混合物。在一實施例中,化學氣體的混合物包含含氟氣體,例如CF4、SF6或CH2F2。化學氣體混合物的流率範圍可以從約10 SCCM至100SCCM,腔室壓力的範圍可以從約1mtorr至20mtorr,這些製程參數的提供係作為示範用,並非用於限定本揭示。
方法300繼續進行至步驟316,使用如上述步驟310的圖案化光阻層,如上述步驟312的蝕刻塗層,以及/或如上述步驟314的蝕刻中間層作為遮罩元件,對底層進行蝕刻。參閱第11圖的例子,蝕刻底層404以形成圖案化的底層,在此描述的實施例中,蝕刻在基底402停止(基底402可包含一層或多層結構形成於半導體晶圓上)。在一實施例中,步驟316包含使用電漿製程蝕刻底層,例如,步驟316可包含在電漿機台的腔室中進行,於低的腔室壓力下,供給化學氣體的混合物。在一實 施例中,化學氣體的混合物包含含氧氣體,例如O2;含氯氣體,例如Cl2;含溴氣體,例如HBr;含硫氣體,例如SO2;或前述之組合。化學氣體混合物的流率範圍可以從約10SCCM至200SCCM,腔室壓力的範圍可以從約2mtorr至40mtorr,這些製程參數的提供係作為示範用,並非用於限定本揭示。
方法300繼續進行至步驟318,使用如上述步驟310的圖案化光阻層,如上述步驟312的蝕刻塗層,如上述步驟314的蝕刻中間層,以及/或如上述步驟316的蝕刻底層作為遮罩元件,將基底圖案化或蝕刻。參閱第12圖的例子,將一圖案蝕刻至基底402內,以提供基底圖案412。如上所述,基底402可包含例如形成於半導體晶圓上的任何複數層結構,基底圖案412可形成在這些層的一層或多層中,或者形成在半導體晶圓本身中。在一實施例中,步驟318在電漿機台的腔室中進行,於低的腔室壓力下,供給化學氣體的混合物而蝕刻基底。化學氣體混合物的成分或濃度可由基底402的成分或組成物決定,在一實施例中,基底402包含矽層或多晶矽層,在此例中,化學氣體的混合物可包含含氟氣體,例如SF6、CH2F2、CF4、NF3或前述之組合,化學氣體混合物的流率範圍可以從約10SCCM至100SCCM,腔室壓力的範圍可以從約2mtorr至10mtorr,這些製程參數的提供係作為示範用,並非用於限定本揭示。
在步驟318的一實施例中,這些覆蓋在基底上面的一層或多層可以與基底的蝕刻一起同步移除,例如,第12圖顯示出圖案化的光阻層408、蝕刻塗層410以及中間層406都被移除。
方法300繼續進行至步驟320,進行清潔或蝕刻製程,從基底移除不需要的層,參閱第13圖的例子,覆蓋在基底上面的一層或多層已經被移除,以提供在基底402上的基底圖案412。步驟320可包含使用電漿清潔以及/或濕式化學清潔製程,在方法300之前、期間以及之後可以提供額外的步驟,並且針對方法300的其他實施例,上述的一些步驟可以被取代、消除或移動。
在一實施例中,相較於其他方法,例如第1圖所述之方法,藉由方法300所形成的基底圖案412提供了橫越基底402,臨界尺寸(CD)之均勻性的改善以及側壁角度(SWA)的均勻性之改善,例如,在一實施例中,使用方法100進行圖案化的晶圓,其臨界尺寸的範圍約為95奈米(nm),而使用方法300進行圖案化的晶圓,其臨界尺寸的範圍則約為10奈米(nm)。在另一示範的實施例中,使用方法100進行圖案化的晶圓,其側壁角度的範圍約為15度,而使用方法300進行圖案化的晶圓,其側壁角度的範圍則約為2度,此臨界尺寸的改善或側壁角度之均勻性的改善可能是因為由方法300所製造的圖案(例如圖案412)更為對稱,並且圖案(例如圖案412)的邊緣更為平滑所造成,藉由方法300的一實施例,可改善橫越整個晶圓的圖案之側壁角度的範圍,因此也可以改善圖案對圖案的疊對(overlay)精準度。
參閱第14圖,其係顯示在基底上提供圖案所使用的微影曝光機台之校正或監控方法500的流程圖,方法500可使用藉由方法300的一實施例或方法300的一部份所製造的圖 案。方法500從步驟502開始,接收或得到基底,步驟502可以與第7圖的步驟302大抵上相似。接著,方法500繼續進行至步驟504,將基底圖案化。在一實施例中,請參閱上述的第7圖,使用方法300進行基底的圖案化。步驟504可包含使用第一微影曝光機台(例如步進掃瞄機或步進機),在基底上形成基底圖案。
接著,方法500繼續進行至步驟506,在具有上述步驟504的圖案覆蓋於其上的基底之上形成另一個光阻圖案。
步驟506可包含在基底圖案上沈積光阻膜,例如藉由旋轉塗佈製程,步驟506可包含除水(dehydration)製程的進行,以提升底層對晶圓的黏著力,除水製程可包含在高溫烘烤基底一段時間,或者施加化學藥劑,例如六甲基二矽烷(HMDS)至晶圓。步驟506也可包含使用微影曝光機台,將形成在基底圖案上的光阻膜曝光,此微影曝光機台可以與形成如上述步驟504中所述之基底圖案的微影曝光機台相同,或者可使用不同的微影曝光機台。在一實施例中,步驟506包含在將光阻膜曝光成圖案之前,使光罩對準基底圖案,於曝光之後,施加顯影劑例如氫氧化四甲銨(TMAH)至曝光的光阻膜,以形成光阻圖案。第15圖顯示元件600的剖面圖,元件600將詳細說明如下。
如第15圖所示,基底圖案412形成在基底402上,基底圖案412以及/或基底402可以與上述第7-13圖大抵上相似。可參閱上述第14圖,使用步驟504中所述之一個或多個製程形成基底圖案412。在一實施例中,可參閱第7圖,使用與上述方法300大抵上相似的方法形成基底圖案412。
可參閱上述方法500中的步驟506,使用步驟506所 述之製程形成光阻圖案606覆蓋於基底圖案412之上。在一實施例中,於基底圖案412與光阻圖案606之間可插入一層或多層結構。
在一實施例中,使用與用於形成基底圖案412相同的微影曝光機台(例如步進機或步進掃瞄機)形成光阻圖案606;在另一實施例中,使用不同且獨立的微影曝光機台形成光阻圖案606,例如,這些微影曝光機台可以是在製造線上各自獨立的機台,具有相同或不同的製造商或型號。在一實施例中,用於形成基底圖案412的微影機台為主機台(mother tool)或基線機台(baseline tool)。
接著,方法500繼續進行至步驟508,執行在上述步驟506中形成的光阻圖案相對於在上述步驟504中形成的基底圖案之疊對量測(overlay measurement)。參閱第15圖的例子,進行光阻圖案606相對於晶圓基底圖案412的疊對量測,在一實施例中,疊對量測的執行包含測量基底圖案412的中央與形成在基底圖案412之上的光阻圖案606的中央兩者之間的差距,可使用獨立的疊對機台或者微影曝光機台的一部份進行此疊對量測。在一實施例中,疊對量測的執行包含測量如第16圖所示之基底圖案412的中央與形成在基底圖案412之上的光阻圖案606的中央兩者之間在X方向以及/或在Y方向上(例如在平行於基底402的頂部表面之平面上的方向)的差距,此差距將進一步詳述如下。
參閱第16圖,第16圖為印刷在基底圖案412上的光阻圖案606之示範性上視圖,以xi表示的差距為光阻圖案606相 對於基底圖案412在X方向上的未對準(misalignment),以yi表示的差距為光阻圖案606相對於基底圖案412在Y方向上的未對準,Y方向大抵上垂直於X方向。
方法500繼續進行至步驟510,產生疊對的模範(model),使用量測到的數據xi和yi可產生此模範。在一實施例中,此模範的產生包含使用演算法來產生一組參數,例如:在X/Y方向上彼此之間的轉移(inter-translation)(偏移)(shift);彼此之間對稱的旋轉(inter-symmetric rotation);彼此之間非直角的旋轉(inter-non orthogonal rotation);在X/Y方向上彼此之間的擴張(inter-expansion)(比例)(scaling);內部的對稱旋轉(intra-symmetric rotation);內部的非對稱旋轉(intra-asymmetric rotation);內部的對稱放大(intra-symmetric magnification);以及內部的非對稱放大(intra-asymmetric magnification)。
在一實施例中,X/Y方向上的未對準(或誤差)是在規格之內,此時方法500繼續進行至步驟512,完成微影曝光機台的監控(或校正)。
在一實施例中,X/Y方向上的未對準(或誤差)是在規格之外,此時方法500的進行回到步驟506。在一實施例中,將光阻圖案(例如光阻圖案606)剝離,並且再次印刷具有以上述模範產生的該組參數之光阻圖案。在另一實施例中,將從回歸演算法產生的參數回饋至微影曝光機台中,此步驟可以重複許多次,直到偏移差距(或誤差)達到最小化,並且偏移差距(或誤差)在微影曝光機台或微影製程的規格內。
一旦測定的參數在規格之內,方法500繼續進行至步驟512,完成微影曝光機台的校正。在方法500之前、期間以及之後可以提供額外的步驟,並且針對方法500的其他實施例,上述的一些步驟可以被取代、消除或移動。
如第15圖所示,在一實施例中,如果第二光阻圖案(例如第16圖中的圖案606)採用也用於印刷其底下的基底圖案(例如第16圖中的圖案604)之微影曝光機台來定義,則方法500可超時地作為監控特定微影曝光機台的方法,在此實施例中,藉由方法500的進行來監控或校正第一微影曝光機台。
在一實施例中,光阻圖案(例如圖案606)在與其底下的圖案(例如圖案604)不同的第二微影曝光機台中印刷,在此實施例中,可進行機台對機台的疊對(tool to tool overlay;TTO)相配(matching)或機器對機器的疊對(machine to machine overlay;MMO)相配,因此,在一實施例中,可將第二微影曝光機台校正成接近第一微影曝光機台。
此外,由於基底圖案(第一圖案)(例如第16圖中所示之圖案604)的側壁角度(SWA)之改善,光阻圖案(例如在圖案604之上,第16圖中所示之圖案606)對基底圖案的疊對量測更為精準,並且機器對機器的疊對(MMO)也因此而改善。例如,在一實施例中,機器對機器的疊對量測從在X方向上大約12.6nm的偏移量以及在Y方向上大約13.3nm的偏移量改善為在X方向上大約4.6nm的偏移量以及在Y方向上大約6.5nm的偏移量。
雖然本發明已揭露實施例如上,然其並非用以限 定本發明,在此技術領域中具有通常知識者當可瞭解,在不脫離本發明之精神和範圍內,當可做些許更動與潤飾。因此,本發明之保護範圍當視後附之申請專利範圍所界定為準。
300‧‧‧形成圖案的方法
302、304、306、308、310、312、314、316、318、320‧‧‧形成圖案的方法之各步驟

Claims (10)

  1. 一種形成基底圖案的方法,包括:接收一基底;形成一底層和一中間層在該基底上,其中該中間層覆蓋在該底層上;形成一第一光阻圖案在該中間層上;沈積一蝕刻塗層在該第一光阻圖案上;使用該蝕刻塗層和該第一光阻圖案作為一遮罩元件,將該中間層和該底層的其中至少一個圖案化;以及使用該圖案化中間層和該圖案化底層的其中至少一個作為一遮罩元件,蝕刻該基底,形成一基底圖案在該基底中。
  2. 如申請專利範圍第1項所述之形成基底圖案的方法,更包括:形成一第二光阻圖案在該基底圖案上;以及使用該第二光阻圖案對一微影曝光機台進行校正,其中對該微影曝光機台進行校正的步驟包含測量該第二光阻圖案與該基底圖案之間的一偏移量。
  3. 如申請專利範圍第1項所述之形成基底圖案的方法,其中形成該中間層的步驟包含沈積一摻雜矽的底部抗反射塗層,在該底部抗反射塗層中該矽的濃度範圍從1百分比(%)至8百分比(%)。
  4. 如申請專利範圍第1項所述之形成基底圖案的方法,其中沈積該蝕刻塗層的步驟包含使用一有機烷烴或一有機烷烴的混合物進行一電漿製程。
  5. 如申請專利範圍第1項所述之形成基底圖案的方法,其中該蝕刻塗層沈積在該光阻圖案的側壁上。
  6. 如申請專利範圍第1項所述之形成基底圖案的方法,其中該底層包含一感光材料。
  7. 一種校正曝光機台的方法,包括:在一基底中形成一基底圖案,其中形成該基底圖案的步驟包含:提供一第一圖案化光阻層,具有一蝕刻塗層設置於其上;使用該第一圖案化光阻層將一下方層圖案化;當蝕刻形成該基底圖案時,使用該圖案化的下方層作為一遮罩元件;形成一第二光阻圖案在該基底圖案之上;以及執行該第二光阻圖案對於該基底圖案的一疊對量測。
  8. 如申請專利範圍第7項所述之校正曝光機台的方法,其中執行該疊對量測的步驟包含使用一模範產生一組相關參數。
  9. 如申請專利範圍第7項所述之校正曝光機台的方法,其中執行該疊對量測的步驟包含測量在該第一圖案化光阻層上的一第一點與在該第二光阻圖案上的一第二點之間的一距離,其中該距離的量測平行於該基底的一頂部表面。
  10. 如申請專利範圍第7項所述之校正曝光機台的方法,更包括從該疊對量測決定一第一微影曝光機台與一第二微影曝光機台之間的一相關性,其中該第一圖案化光阻層使用該第一微影曝光機台形成,並且該第二光阻圖案使用該第二微影曝光機台形成。
TW102139015A 2012-11-09 2013-10-29 形成基底圖案的方法以及校正曝光機台的方法 TWI517211B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/673,729 US9128384B2 (en) 2012-11-09 2012-11-09 Method of forming a pattern

Publications (2)

Publication Number Publication Date
TW201419379A true TW201419379A (zh) 2014-05-16
TWI517211B TWI517211B (zh) 2016-01-11

Family

ID=50682090

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102139015A TWI517211B (zh) 2012-11-09 2013-10-29 形成基底圖案的方法以及校正曝光機台的方法

Country Status (3)

Country Link
US (2) US9128384B2 (zh)
CN (1) CN103811312B (zh)
TW (1) TWI517211B (zh)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9128384B2 (en) * 2012-11-09 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a pattern
KR20200103890A (ko) * 2015-02-13 2020-09-02 엔테그리스, 아이엔씨. 기판 제품 및 장치의 특성 및 성능을 향상시키기 위한 코팅
US9607843B2 (en) * 2015-02-13 2017-03-28 Tokyo Electron Limited Method for roughness improvement and selectivity enhancement during arc layer etch via adjustment of carbon-fluorine content
JP2016157779A (ja) * 2015-02-24 2016-09-01 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US9747408B2 (en) * 2015-08-21 2017-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Generating final mask pattern by performing inverse beam technology process
US20180323078A1 (en) * 2015-12-24 2018-11-08 Intel Corporation Pitch division using directed self-assembly
CN107555396A (zh) * 2016-07-01 2018-01-09 中国科学院苏州纳米技术与纳米仿生研究所 一种微纳图形的制备方法及其制备系统
US9793183B1 (en) 2016-07-29 2017-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for measuring and improving overlay using electronic microscopic imaging and digital processing
US10043650B2 (en) 2016-09-22 2018-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for wet chemical bath process
US10274818B2 (en) 2016-12-15 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography patterning with sub-resolution assistant patterns and off-axis illumination
US10503077B2 (en) * 2017-11-07 2019-12-10 International Business Machines Corporation Shadow mask area correction for tunnel junctions
US11054742B2 (en) 2018-06-15 2021-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. EUV metallic resist performance enhancement via additives
US11069526B2 (en) 2018-06-27 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Using a self-assembly layer to facilitate selective formation of an etching stop layer
US10867805B2 (en) 2018-06-29 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Selective removal of an etching stop layer for improving overlay shift tolerance
JP2020088174A (ja) * 2018-11-26 2020-06-04 東京エレクトロン株式会社 エッチング方法及び基板処理装置
US11289376B2 (en) 2019-07-31 2022-03-29 Taiwan Semiconductor Manufacturing Co., Ltd Methods for forming self-aligned interconnect structures
US11557479B2 (en) * 2020-03-19 2023-01-17 Tokyo Electron Limited Methods for EUV inverse patterning in processing of microelectronic workpieces

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6428894B1 (en) * 1997-06-04 2002-08-06 International Business Machines Corporation Tunable and removable plasma deposited antireflective coatings
US7253113B2 (en) * 2003-11-13 2007-08-07 Macronix International Co., Ltd. Methods for using a silylation technique to reduce cell pitch in semiconductor devices
US7333173B2 (en) 2004-04-06 2008-02-19 Taiwan Semiconductor Manufacturing Company Method to simplify twin stage scanner OVL machine matching
US7271106B2 (en) * 2004-08-31 2007-09-18 Micron Technology, Inc. Critical dimension control for integrated circuits
US7328418B2 (en) * 2005-02-01 2008-02-05 Tokyo Electron Limited Iso/nested control for soft mask processing
US8124323B2 (en) * 2007-09-25 2012-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method for patterning a photosensitive layer
US8282842B2 (en) * 2007-11-29 2012-10-09 United Microelectronics Corp. Cleaning method following opening etch
US8153351B2 (en) * 2008-10-21 2012-04-10 Advanced Micro Devices, Inc. Methods for performing photolithography using BARCs having graded optical properties
US8912097B2 (en) 2009-08-20 2014-12-16 Varian Semiconductor Equipment Associates, Inc. Method and system for patterning a substrate
US7994060B2 (en) * 2009-09-01 2011-08-09 International Business Machines Corporation Dual exposure track only pitch split process
US8053323B1 (en) 2010-11-03 2011-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning methodology for uniformity control
US8772183B2 (en) * 2011-10-20 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming an integrated circuit
US9128384B2 (en) * 2012-11-09 2015-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a pattern

Also Published As

Publication number Publication date
US20140134759A1 (en) 2014-05-15
US20150364383A1 (en) 2015-12-17
US9128384B2 (en) 2015-09-08
US9373552B2 (en) 2016-06-21
TWI517211B (zh) 2016-01-11
CN103811312B (zh) 2016-12-28
CN103811312A (zh) 2014-05-21

Similar Documents

Publication Publication Date Title
TWI517211B (zh) 形成基底圖案的方法以及校正曝光機台的方法
US20220365414A1 (en) Protection layer on low thermal expansion material (ltem) substrate of extreme ultraviolet (euv) mask
KR102196072B1 (ko) 리소그래피 패터닝을 위한 이온 주입에 의한 반사방지 코팅
US10395925B2 (en) Patterning material film stack comprising hard mask layer having high metal content interface to resist layer
TW201327669A (zh) 蝕刻用於形成光罩之euv反射多重材料層之方法
US7279259B2 (en) Method for correcting pattern data and method for manufacturing semiconductor device using same
KR20100134418A (ko) 스페이서 패터닝 공정을 이용한 콘택홀 형성 방법
TWI460558B (zh) 用於光罩對準之方法及使用數個掩膜以形成裝置在晶圓上之方法
US20090246954A1 (en) Method of manufacturing semiconductor device
JP2009302143A (ja) 半導体装置の製造方法
US9785046B2 (en) Pattern verifying method
US9366953B2 (en) Lithography mask
JP2012068579A (ja) フォトマスクのクリーニング方法及びフォトマスクのクリーニング装置
US12001132B2 (en) Protection layer on low thermal expansion material (LTEM) substrate of extreme ultraviolet (EUV) mask
KR101033354B1 (ko) 반도체 소자의 미세패턴 형성방법
Ando et al. Pattern freezing process free litho-litho-etch double patterning
TWI495950B (zh) 光罩及其製造方法
US20230078946A1 (en) Hybrid Development of EUV Resists
JP4788258B2 (ja) 荷電粒子用転写マスク及びその製造方法並びに荷電粒子用転写マスクを用いた転写方法
US8389402B2 (en) Method for via formation in a semiconductor device
TW201738959A (zh) 晶圓蝕刻前之預對準方法
Tsai et al. Process capability of implementing ArF negative resist into production
CN118068644A (zh) 刻蚀偏差的计算方法及系统、图形修正方法及掩膜版
JP2009278055A (ja) 露光時間の決定方法、マスクの作製方法及び半導体装置の製造方法
JP2008203634A (ja) フォトマスクデータ作成方法、フォトマスクおよびパターン形成方法