TWI495950B - 光罩及其製造方法 - Google Patents

光罩及其製造方法 Download PDF

Info

Publication number
TWI495950B
TWI495950B TW102145069A TW102145069A TWI495950B TW I495950 B TWI495950 B TW I495950B TW 102145069 A TW102145069 A TW 102145069A TW 102145069 A TW102145069 A TW 102145069A TW I495950 B TWI495950 B TW I495950B
Authority
TW
Taiwan
Prior art keywords
layer
reticle
substrate
absorbing
spacer layer
Prior art date
Application number
TW102145069A
Other languages
English (en)
Other versions
TW201426164A (zh
Inventor
Burn Jeng Lin
Hsin Chang Lee
Sheng Chi Chin
Original Assignee
Taiwan Semiconductor Mfg Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg Co Ltd filed Critical Taiwan Semiconductor Mfg Co Ltd
Publication of TW201426164A publication Critical patent/TW201426164A/zh
Application granted granted Critical
Publication of TWI495950B publication Critical patent/TWI495950B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • G03F1/58Absorbers, e.g. of opaque materials having two or more different absorber layers, e.g. stacked multilayer absorbers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/48Protective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/60Substrates

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Description

光罩及其製造方法
本發明係有關於半導體積體電路的製造技術,特別有關於光學微影系統使用的光罩。
半導體積體電路工業已經迅速地成長,在積體電路材料與設計上的技術發展已經產生了許多積體電路世代,每個世代相較於先前的世代具有更小且更複雜的電路,在積體電路發展的過程中,隨著幾何尺寸(亦即使用製程可以產生的最小元件或線)縮減的同時,機能密度(亦即每一晶片面積內互相連接的元件數量)通常也在增加。尺寸縮減的製程通常可藉由增加製造效能以及降低伴隨的成本而提供好處,然而尺寸的縮減也會增加積體電路在製造和製程上的複雜度,這些已經被實現的技術在積體電路的製程和製造上具有類似的發展。
舉例而言,當特徵的尺寸縮減時,光學微影系統的解析度也需要改善,然而,解析度的改善會造成焦點深度(depth of focus;DOF)的減少,在許多例子中,此焦點深度僅勉強可以支撐光阻膜的厚度、晶圓的平坦度和積體電路元件的平坦容許度(planarization tolerance),以及光學微影系統的聚焦誤差和調平誤差(leveling error)。因此,業界需要改善光學微影系統之焦點深度的方法。
在此所揭示的實施例係描述光罩,此光罩包含低熱膨脹材料基底,至少兩個吸收層包括第一吸收層及第二吸收層,以及將這兩個吸收層隔開的間隔層。第一吸收層沈積於低熱膨脹材料基底之上,此光罩更包含上塗層沈積於第一或第二吸收層之上。間隔層的厚度約等於晶圓基底上高低起伏特徵的高度乘以物鏡之縮小倍率的平方,吸收層包含階段式圖案,低熱膨脹材料基底包含熔融矽石、熔融石英、氟化鈣(CaF2 )、碳化矽或氧化矽-氧化鈦合金,吸收層包含鉻、鉻的化合物、鉬、鋁的化合物、鉭或鉭的化合物,間隔層包括MoSi基化合物、Si基化合物、Ta基化合物、氧化矽或摻雜鋯、鋇、鑭、鋁、鈉、磷、硫、硒或碲的氧化矽,上塗層包括鉻或鉻的化合物、鉬或鉬的化合物、矽或矽的化合物、鉭或鉭的化合物之至少一者。
本揭示也描述了製造光罩的方法,此方法包含接收低熱膨脹材料基底,在低熱膨脹材料基底之上沉積第一吸收層,在第一吸收層之上沉積第一間隔層,在第一間隔層之上沉積第二吸收層,以及形成光罩的階段式吸收層圖案。此方法更包含在第二吸收層之上沉積第二間隔層,在第二吸收層之上沉積第三吸收層,在這些吸收層之上沉積上塗層。形成階段式吸收層圖案的步驟包含在低熱膨脹材料基底上塗佈光阻膜,將光阻膜曝光,將曝光後的光阻膜顯影,藉此在低熱膨脹材料基底上形成光阻圖案,以及對具有光阻圖案的低熱膨脹材料基底進行蝕刻,藉此在低熱膨脹材料基底上形成階段式圖案,對光阻膜進行曝光的步驟包含使用光罩寫入機,將圖案寫入光阻膜。形成兩階段式圖案的步驟包含執行至少兩次形成階段式圖案 的步驟,以形成第一階段圖案和第二階段圖案。此方法更包含將第二階段圖案對準第一階段圖案,使用光罩檢查工具檢查這些階段圖案的缺陷,並且修正此缺陷。
100‧‧‧光學微影系統
102‧‧‧光源
104‧‧‧光線
106‧‧‧聚光透鏡
108‧‧‧光罩
110‧‧‧光罩平台
112‧‧‧投影透鏡
114‧‧‧基底平台
116‧‧‧基底
118‧‧‧光阻膜
200、250、270‧‧‧兩階段式堆疊光罩
202、302、304‧‧‧凸起
212‧‧‧低熱膨脹材料基底
214、218、308‧‧‧吸收層
216、306‧‧‧間隔層
232、234、332、334、336‧‧‧影像平面
242、244、246‧‧‧光阻膜
252、254、352、354、356‧‧‧上塗層
272‧‧‧蝕刻停止層
300、350‧‧‧三階段式堆疊光罩
400‧‧‧形成堆疊式光罩的方法
402、404、406、408、410、412、414、418、420、432、434、436、438、440、442、444、446‧‧‧形成堆疊式光罩的方法之各步驟
為了讓本揭示的各種實施例之目的、特徵、及優點能更明顯易懂,以下配合所附圖式作詳細說明如下:第1圖顯示由本揭示的一個或多個實施例得到好處的光學微影系統之示意圖;第2圖顯示依據本揭示的一個或多個實施例,在光學微影系統中使用的兩階段式光罩的一個例子;第3圖顯示依據本揭示的一個或多個實施例,在光學微影系統中使用的三階段式光罩的一個例子;第4圖為執行本揭示的一個或多個實施例之階段式光罩的製造流程圖;第5-10圖顯示依據本揭示的一個或多個實施例,形成兩階段式光罩的剖面示意圖;第11圖為依據本揭示的一個或多個實施例,兩階段式光罩的一個例子;第12-17圖顯示依據本揭示的一個或多個實施例,形成兩階段式光罩的剖面示意圖;第18-23圖顯示依據本揭示的一個或多個實施例,形成三階段式光罩的剖面示意圖;以及第24圖顯示依據本揭示的一個或多個實施例,三階段式光 罩的一例子。
在所附圖式中,各種特徵並非按照半導體工業標準的實施慣例之實際尺寸規格繪製,所附圖式的繪製係用於說明本揭示,為了讓本揭示的討論更清楚地顯示,在圖式中各種特徵的尺寸可以任意地擴大或縮減。
以下的揭示內容提供許多不同的實施例或例子,藉此實現本揭示的不同特徵,以下描述的元件與排列方式的特定例子係用於簡化本揭示,這些例子僅作為示範用,並非用於限定本揭示。例如,在以下的描述中,於第二特徵上或上方形成第一特徵可包含形成第一特徵與第二特徵直接接觸的實施例,另外,也可包含在第一特徵與第二特徵之間形成額外特徵的實施例,使得第一特徵與第二特徵可以不直接接觸。此外,在本揭示的各種例子中,可重複使用標號以及/或字母,這些重複標號之目的在於讓本揭示可以簡化並清楚地顯示,並非用以表示在此討論的各種實施例以及/或各種狀態之間的關係。
參閱第1圖,光學微影系統100是可以從本揭示的一個或多個實施例得到好處的系統之一個例子,光學微影系統100包含光源102、光線104、聚光透鏡106、光罩108、光罩平台(mask stage)110、投影透鏡(projection lens)112、基底平台(substrate stage)114、基底116以及光阻膜118。然而,光學微影系統也可以是以其他組態構成,並且包含其他元件,或者省略某些元件。在本揭示中,系統100也稱為步進機(stepper)或掃瞄機(scanner),並且光罩(photomask)108也可稱為遮罩(mask) 或十字標線(reticle)。在此實施例中,光源102包含可提供光線104的輻射來源,其具有從紫外光(UV)至深紫外光(DUV)的波長範圍,例如光源102可以是提供紫外光波長,如G-射線(G-line,436nm)或I-射線(I-line,365nm)的汞燈。在另一例子中,光源102可以是提供深紫外光波長,如248nm、193nm或157nm的準分子雷射(excimer laser)。聚光透鏡106係配置成可引導光線104至光罩108,光罩108可阻擋一部份的光線104,以形成圖案化的光線104。光罩108可以是二元式光罩(binary mask;BIM)、超級二元式光罩(super binary mask;SBIM)或相偏移光罩(phase shift mask;PSM),其包含交替式相偏移光罩(alternative phase shift mask;alt.PSM)或衰減式相偏移光罩(attenuated phase shift mask;att.PSM)。光罩108位於光罩平台110上,光罩平台110包含複數個馬達、滾柱導軌(roller guide)以及工作台,光罩平台110也可藉由真空將光罩108固定在光罩平台110上,當在光學微影系統100中進行對準(alignment)、聚焦、調平(leveling)以及曝光操作期間,光罩平台110更提供了光罩108在X、Y與Z方向上準確的位置和移動。投影透鏡112包含倍率透鏡(magnification lens),其係將光罩108提供的圖案影像縮小,並且將圖案化的光線104引導至沈積在基底116上的光阻膜118,而基底116則牢固在基底平台114上。基底平台114包含馬達、滾柱導軌以及工作台,基底平台114也可藉由真空將基底116牢固,當在光學微影系統100中進行對準、聚焦、調平以及曝光操作期間,基底平台114更提供基底116在X、Y與Z方向上準確的位置和移動,因此光罩的影像可以用重覆的方式轉 移至基底上(也可能經由其他的微影方法),光學微影系統100或其中的一些部分可包含額外的項目,例如真空系統以及/或冷卻系統。
在此實施例中,具有光阻膜118的基底116被載入基底平台114上,並藉由圖案化的光線104進行曝光。光阻膜118包含正型光阻(positive tone resist)或負型光阻(negative tone resist),基底116包含晶圓基底,晶圓基底可包含矽晶圓,此外,晶圓還可以包含其他元素的半導體,例如鍺;化合物半導體,其包含碳化矽(silicon carbide)、砷化鎵(gallium arsenic)、磷化鎵(gallium phosphide)、磷化銦(indium phosphide)、砷化銦(indium arsenide)、以及/或銻化銦(indium antimonide);合金半導體,其包含SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP以及/或GaInAsP。在另一例中,晶圓可以是在絕緣體上的半導體(semiconductor on insulator;SOI),複數個導電與非導電薄膜可沈積在晶圓上,這些導電薄膜可包含金屬,例如鋁(Al)、銅(Cu)、鎢(W)、鎳(Ni)、鈦(Ti)、金(Au)和鉑(Pt),或者是這些金屬的合金,而絕緣膜則可包含氧化矽及氮化矽。
在此實施例中,基底116更包含各種摻雜的特徵,例如n型井以及/或p型井,其係藉由離子植入或擴散方式形成。基底116也可包含各種隔絕的特徵,例如淺溝槽隔絕區(shallow trench isolation;STI),淺溝槽隔絕特徵可在晶圓基底上產生顯著的高低起伏作用。當特徵尺寸縮減時,光學微影系統100的解析度也需要改善,然而,解析度的改善需要以焦點深度(DOF)作為交換代價,在許多例子中,此焦點深度(DOF) 僅勉強夠支撐光阻膜的厚度、晶圓的平坦度與平坦容許度(planarization tolerance),以及聚焦誤差和調平誤差(leveling error),當系統的高低起伏(systematic topography)無法進一步平坦化時,則會超出焦點深度(DOF)的範圍,而這是不希望發生的現象。
參閱第2圖,其係顯示光學微影系統100對具有高低起伏特徵的基底116進行曝光,並且使用堆疊式光罩(stacked mask)200的剖面示意圖,如第2圖所示,基底116包含高低起伏的特徵,例如:如第2圖所繪示之一凸起202,在凸起202之頂部表面與基底116的頂部表面之間的距離以第2圖中所示之H表示,在一些實施例中,高低起伏的特徵之頂部表面與晶圓的頂部表面之間的距離也稱為高低起伏特徵的高度,投影透鏡112包含具有M倍縮小率(MX demagnification)的透鏡,例如在掃瞄機上,投影透鏡之縮小率為4倍(4X),或者在步進機上,投影透鏡之縮小率則為5倍(5X)。
如第2圖所示,堆疊式光罩200包含低熱膨脹材料(low thermal expansion material;LTEM)基底212、第一吸收層214、第一間隔層216以及第二吸收層218。第一吸收層214沈積在低熱膨脹材料基底212之上,第一間隔層216沈積在第一吸收層214之上,第二吸收層218沈積在第一間隔層216之上,第一間隔層216的厚度約為M2 H,相較於第一間隔層216的厚度,第二吸收層218的厚度是可以忽略的,例如,如果距離H為100nm,並且堆疊式光罩200使用4倍的掃瞄機,則第一間隔層216的厚度約為1600nm(42 x100=1600)。
如第2圖所示,堆疊式光罩200在基底116之上產生兩個影像平面,例如第一影像平面232和第二影像平面234,第一影像平面232在基底116的頂部表面附近形成,第二影像平面234則在高低起伏特徵202的頂部表面附近形成,第一影像平面232與第二影像平面234之間的距離等於H,第一影像平面232和第二影像平面234兩者皆落在焦點深度(DOF)的範圍內。
參閱第3圖,其係顯示光學微影系統100對具有高低起伏特徵的基底116進行曝光,並且使用堆疊式光罩300的剖面示意圖。如第3圖所示,基底116包含兩個高低起伏的特徵,例如:如第3圖所繪示之一第一凸起302和一第二凸起304,在第一凸起302的頂部表面與基底116的頂部表面之間的距離以第3圖中所示之H1表示,在第一凸起302的頂部表面與第二凸起304的頂部表面之間的距離以第3圖中所示之H2表示,投影透鏡112包含具有M倍(MX)縮小率的透鏡,例如在掃瞄機上,投影透鏡的縮小率為4倍(4X),或者在步進機上,投影透鏡的縮小率為5倍(5X)。
如第3圖所示,堆疊式光罩300包含低熱膨脹材料(LTEM)基底212、第一吸收層214、第一間隔層216、第二吸收層218、第二間隔層306以及第三吸收層308。第一吸收層214沈積在低熱膨脹材料基底212之上,第一間隔層216沈積在第一吸收層214之上,第二吸收層218沈積在第一間隔層216之上,第二間隔層306沈積在第二吸收層218之上,第三吸收層308沈積在第二間隔層306之上。第一間隔層216的厚度約為M2 H1,相較於第一間隔層216的厚度,第二吸收層218的厚度是可以忽略 的,例如,如果距離H1為100nm,並且堆疊式光罩300使用4倍的掃瞄機,則第一間隔層216的厚度約為1600nm(42 x100=1600)。第二間隔層306的厚度約為M2 H2,相較於第二間隔層306的厚度,第三吸收層308的厚度是可以忽略的,例如,如果距離H2為100nm,並且堆疊式光罩300使用4倍的掃瞄機,則第二間隔層306的厚度約為1600nm(42 x100=1600)。
如第3圖所示,堆疊式光罩300在基底116之上產生三個影像平面,例如第一影像平面332、第二影像平面334和第三影像平面336,第一影像平面332在基底116的頂部表面附近形成,第二影像平面334在第一凸起302的頂部表面附近形成,第三影像平面336在第二凸起304的頂部表面附近形成,三個影像平面全部都落在焦點深度(DOF)的範圍內。在這些實施例中,堆疊式光罩200或300可應用在高低起伏特徵為分離的或者高低起伏特徵為連續的晶圓上。
參閱第4圖,可使用方法400形成堆疊式光罩,藉此執行本揭示的一個或多個實施例。方法400從步驟402開始,提供或接收光罩基底;然後,方法400進行至步驟404,在光罩基底的表面之上沈積第一吸收層;在步驟404之後,方法400進行至步驟406,在第一吸收層之上沈積第一上塗層(topcoat layer),步驟406為可選擇的步驟,堆疊式光罩可以不包含第一上塗層,另外,步驟406也可包含沈積蝕刻停止層。方法400進行至步驟408,在第一上塗層之上沈積第一間隔層,或者如果略過可選擇的步驟406,則在第一吸收層之上沈積第一間隔 層。方法400進行至步驟410,在第一間隔層之上沈積第二吸收層;方法400進行至步驟412,在第二吸收層之上沈積第二上塗層,步驟412為可選擇的步驟,堆疊式光罩可以不包含第二上塗層。
如第4圖所示,方法400可進行至步驟414,形成如第2圖中所示之兩階段式堆疊光罩(two stage stacked mask)200的第一階段圖案。步驟414包含藉由旋轉塗佈製程在第二上塗層上塗佈第一光阻膜,或者如果略過可選擇的上塗層,則在第二吸收層上塗佈第一光阻膜,藉由光罩寫入機對第一光阻膜進行曝光,將曝光後的第一光阻膜顯影,形成第一光阻膜圖案,並且以第一光阻膜圖案作為遮罩進行蝕刻製程,以形成兩階段式堆疊光罩的第一階段圖案。在一些實施例中,對光阻膜進行曝光也可稱為使用光罩寫入機寫入光阻膜。步驟414可包含在塗佈第一光阻膜之後的軟烤(soft bake;SB),在顯影之前的曝後烤(post exposing bake;PEB),以及/或顯影之後的顯影後烤(post developing bake;PDB)。步驟414也包含在蝕刻製程之後剝除第一光阻膜,以及針對下一個步驟進行表面的清潔。方法400進行至步驟416,形成兩階段式堆疊光罩的第二階段圖案,步驟416包含藉由旋轉塗佈製程在第一階段圖案之上沈積第二光阻膜,藉由光罩寫入機對第二光阻膜進行曝光,將曝光後的第二光阻膜顯影,形成第二光阻膜圖案,以及以第二光阻膜圖案作為遮罩進行蝕刻製程,形成兩階段式堆疊光罩的第二階段圖案。步驟416可包含在塗佈第二光阻膜之後的軟烤(SB),在顯影之前的曝後烤(PEB),以及/或顯影之後的顯影後烤 (PDB)。步驟416也包含在蝕刻製程之後剝除第二光阻膜,以及針對下一個步驟進行表面的清潔。方法400進行至步驟418,檢查形成的光罩,如果發現缺陷,步驟418可包含修補光罩。在一實施例中,步驟418可以在步驟416之前以及在步驟416之後進行,在最終的檢查之後,方法400進行至步驟420,完成兩階段式堆疊光罩的製造。可以在方法400之前、期間以及之後提供額外的步驟,並且針對方法400的額外實施例,上述的一些步驟可以取代、刪除或移動。
在一些實施例中,於步驟412之後,或者如果略過可選擇的步驟412,則是在步驟410之後,方法400可沿著另一路線繼續進行,以形成第3圖中所示之三階段式堆疊光罩(three stage stacked mask)300。方法400進行至步驟432,在第二上塗層之上沈積第二間隔層,或者如果略過可選擇的步驟412,則是在第二吸收層之上沈積第二間隔層。在步驟432之後,方法400進行至步驟434,在第二間隔層之上沈積第三吸收層;方法400進行至步驟436,在第三吸收層之上沈積第三上塗層,步驟436為可選擇的步驟,因此,堆疊式光罩可以不包含第三上塗層。
如第4圖所示,方法400進行至步驟438,形成如第3圖中所示之三階段式堆疊光罩300的第一階段圖案,步驟438包含藉由旋轉塗佈製程在第三上塗層上沈積第一光阻膜,或者如果略過可選擇的第三上塗層,則是在第三吸收層上沈積第一光阻膜,藉由光罩寫入機對第一光阻膜進行曝光,將曝光後的第一光阻膜顯影,形成第一光阻膜圖案,並且以第一光阻膜圖 案作為遮罩進行蝕刻製程,形成三階段式堆疊光罩的第一階段圖案。步驟438可包含在塗佈第一光阻膜之後的軟烤(SB),在顯影之前的曝後烤(PEB),以及/或顯影之後的顯影後烤(PDB)。步驟438也包含在蝕刻製程之後剝除第一光阻膜,以及針對下一個步驟進行表面的清潔。方法400進行至步驟440,形成三階段式堆疊光罩的第二階段圖案,步驟440包含藉由旋轉塗佈製程在第一階段圖案之上沈積第二光阻膜,藉由光罩寫入機對第二光阻膜進行曝光,將曝光後的第二光阻膜顯影,形成第二光阻膜圖案,並且以第二光阻膜圖案作為遮罩進行蝕刻製程,形成三階段式堆疊光罩的第二階段圖案。步驟440可包含在塗佈第二光阻膜之後的軟烤(SB),在顯影之前的曝後烤(PEB),以及/或顯影之後的顯影後烤(PDB)。步驟440也包含在蝕刻製程之後剝除第二光阻膜,以及針對下一個步驟進行表面的清潔。方法400進行至步驟442,形成三階段式堆疊光罩的第三階段圖案,步驟442包含藉由旋轉塗佈製程在第二階段圖案之上沈積第三光阻膜,藉由光罩寫入機對第三光阻膜進行曝光,將曝光後的第三光阻膜顯影,形成第三光阻膜圖案,並且以第三光阻膜圖案作為遮罩進行蝕刻製程,形成三階段式堆疊光罩的第三階段圖案。步驟442可包含在塗佈第三光阻膜之後的軟烤(SB),在顯影之前的曝後烤(PEB),以及/或顯影之後的顯影後烤(PDB)。步驟442也包含在蝕刻製程之後剝除第三光阻膜,以及針對下一個步驟進行表面的清潔。方法400進行至步驟444,檢查形成的光罩,如果發現缺陷,步驟444可包含修補光罩。在一實施例中,步驟444可以在步驟440之前以及/或在 步驟440之後進行,在最終的檢查之後,方法400進行至步驟446,完成三階段式堆疊光罩的製造。可以在方法400之前、期間以及之後提供額外的步驟,並且針對方法400的額外實施例,上述的一些步驟可以取代、刪除或移動。
在上述包含方法400的討論中,各種層例如吸收層、間隔層和上塗層的沈積可藉由物理氣相沈積(physical vapor deposition;PVD)製程,例如蒸鍍和直流磁控濺鍍(DC magnetron sputtering);電鍍製程,例如無電電鍍(electrode-less plating)或電鍍;化學氣相沈積(chemical vapor deposition;CVD)製程,例如常壓化學氣相沈積(atmospheric pressure CVD;APCVD)、低壓化學氣相沈積(low pressure CVD;LPCVD)、電漿增強型化學氣相沈積(plasma enhanced CVD;PECVD)或高密度電漿化學氣相沈積(high density plasma CVD;HDP CVD);離子束沈積(ion beam deposition);旋轉塗佈(spin-on coating);金屬有機物分解法(metal-organic decomposition;MOD);原子層沈積(atomic layer deposition;ALD),以及/或在此技術領域中具有通常知識者所熟知的其他方法進行。在這些實施例中,蝕刻製程可包含乾蝕刻(電漿蝕刻)、濕蝕刻以及/或其他蝕刻方法,例如,乾蝕刻製程可使用含氧氣體、含氟氣體(如CF4 、SF6 、CH2 F2 、CHF3 以及/或C2 F6 )、含氯氣體(如Cl2 、CHCl3 、CCl4 以及/或BCl3 )、含溴氣體(如HBr以及/或CHBr3 )、含碘氣體、其他合適的氣體以及/或電漿,以及/或前述之組合進行。
參閱第5-10圖,其係顯示依據一個或多個實施例,使用方法400形成堆疊式光罩200的剖面示意圖,如第5圖所 示,第一吸收層214沈積在低熱膨脹材料(LTEM)基底212之上,第一間隔層216沈積在第一吸收層214之上,以及第二吸收層218沈積在第一間隔層216之上。在一些實施例中,低熱膨脹材料基底212可包含熔融矽石(fused silica)、熔融石英(fused quartz)、氟化鈣(calcium fluoride;CaF2 )、碳化矽(silicon carbide)、氧化矽-氧化鈦合金(silicon oxide-titanium oxide alloy),以及/或其他合適的低熱膨脹材料。在一實施例中,第一吸收層214或第二吸收層218可包含鉻(chromium)以及鉻的化合物,例如CrN、CrON以及CrO;在另一實施例中,第一吸收層214或第二吸收層218可包含鉬(molybdenum)以及鉬的化合物,例如MoSi、MoSiN以及MoSiON;在其他實施例中,第一吸收層214或第二吸收層218可包含鉭(tantalum)以及鉭的化合物,例如TaN、TaON、TaB、TaBN、TaHfN、TaHf、TaSi、TaSiN、TaGe、TaGeN、TaZrN以及TaZr。在一些實施例中,第一間隔層216可包含不透明或透明的材料,不透明的材料可包含MoSi基化合物,例如MoSi、MoSiON以及MoSiN;矽與矽的化合物;以及鉭與鉭的化合物。透明的材料可包含氧化矽、摻雜鋯(zirconium)、鋇(barium)、鑭(lanthanum)、鋁(aluminum)、鈉(sodium)、磷(phosphorus)、硫(sulfur)、硒(selenium)以及/或碲(tellurium)的氧化矽。
在此所揭示的實施例中,第一光阻膜242塗佈在第二吸收層218上,於曝光、顯影以及蝕刻製程之後,如第6圖所示,圖案化的第二吸收層218形成在第一間隔層216上。在剝除第一光阻膜242之後,使用圖案化的第二吸收層218作為硬遮罩 進行蝕刻製程,以形成如第7圖所示之堆疊光罩200(兩階段式堆疊光罩)的第一階段圖案。如第8圖所示,第二光阻膜244施加在光罩200的第一階段圖案上,於曝光和顯影之後,如第9圖所示,形成圖案化的第二光阻膜,在蝕刻、剝除和清潔步驟之後,利用方法400所形成的光罩200如第10圖所示。
參閱第11圖,其係顯示依據一個或多個實施例,堆疊式光罩250的剖面示意圖。堆疊式光罩250包含兩階段式圖案,堆疊式光罩250可藉由第4圖所示之方法400製造,堆疊式光罩250與第10圖所示之堆疊式光罩200相似,堆疊式光罩250包含低熱膨脹材料(LTEM)基底212、第一吸收層214、第一間隔層216、第二吸收層218、第一上塗層252以及第二上塗層254。除了吸收層和間隔層之外,為了各種目的,例如抗反射、保護吸收層或者讓蝕刻停止產生差別,在吸收層之上還沈積有上塗層。
如第11圖所示,第一吸收層214沈積在低熱膨脹材料(LTEM)基底212之上,第一上塗層252沈積在第一吸收層214之上,第一間隔層216沈積在第一上塗層252之上,第二吸收層218沈積在第一間隔層216之上,第二上塗層254沈積在第二吸收層218之上。在一些實施例中,第一上塗層252或第二上塗層254可包含Cr基化合物、Ta基化合物以及Si基化合物,Cr基化合物包含CrN、CrON或CrO,Ta基化合物包含TaO或TaON,Si基化合物包含SiO、SiO2 、SiN或SiON。
參閱第12-17圖,其係顯示依據一個或多個實施例,使用方法400形成堆疊式光罩270的剖面示意圖,如第12圖 所示,第一吸收層214沈積在低熱膨脹材料(LTEM)基底212之上,蝕刻停止層272沈積在第一吸收層214之上,第一間隔層216沈積在蝕刻停止層272之上,第二吸收層218沈積在第一間隔層216之上。在上述各層沈積之後,第一光阻膜242施加在第二吸收層218上,於曝光、顯影以及蝕刻製程之後,如第13圖所示,圖案化的第二吸收層218形成在第一間隔層216上。在剝除第一光阻膜242之後,使用圖案化的第二吸收層218作為硬遮罩進行蝕刻製程,以形成如第14圖所示之堆疊式光罩270(兩階段式堆疊光罩)的第一階段圖案。如第15圖所示,第二光阻膜244施加至光罩270的第一階段圖案上,於曝光和顯影製程之後,如第16圖所示,形成圖案化的第二光阻膜244,在蝕刻、剝除和清潔步驟之後,利用方法400所形成的堆疊式光罩270如第17圖所示。
在一些實施例中,於第一吸收層214與第一間隔層216之間增加蝕刻停止層272,其有助於產生有差別的蝕刻,蝕刻停止層272在去除吸收層/間隔層的蝕刻環境中具有非常慢的蝕刻速率,針對不同的蝕刻環境,使用不同的蝕刻停止層272,當使用CHF3 、CF4 以及/或SF6 作為蝕刻氣體,以蝕刻吸收層MoSi、MoSiN、MoSiON、TaN、TaON、TaB、TaBN、TaHfN、TaHf、TaSi、TaSiN、TaGe、TaGeN、TaZrN或TaZr時,蝕刻停止材料為Cr、CrN、CrO、CrON、Ta、TaN以及/或TaBN,這些蝕刻停止材料可以用Cl2 蝕刻氣體除去。當使用Cl2 作為蝕刻氣體,以蝕刻吸收層Cr、CrN、CrO、CrON、Ta、TaN或TaBN時,蝕刻停止材料為MoSi、MoSiN、MoSiON、TaN、TaON、TaB、 TaBN、TaHfN、TaHf、TaSi、TaSiN、TaGe、TaGeN、TaZrN以及/或TaZr,這些蝕刻停止層材料可以用CHF3 、CF4 以及/或SF6 除去。當使用CHF3 、CF4 以及/或SF6 作為蝕刻氣體,以蝕刻吸收層MoSi、MoSiN、MoSiON、TaN、TaON、TaB、TaBN、TaHfN、TaHf、TaSi、TaSiN、TaGe、TaGeN、TaZrN以及/或TaZr時,蝕刻停止材料為Cr、CrN、CrO、CrON、Ta、TaN或TaBN。
參閱第18-23圖,其係顯示依據一個或多個實施例,利用方法400形成堆疊式光罩300(三階段式堆疊光罩)的剖面示意圖,如第18圖所示,第一吸收層214沈積在低熱膨脹材料(LTEM)基底212之上,第一間隔層216沈積在第一吸收層214之上,第二吸收層218沈積在第一間隔層216之上,第二間隔層306沈積在第二吸收層218之上,以及第三吸收層308沈積在第二間隔層306之上。相較於第10圖所示之堆疊式光罩200,在光罩300中,於第二吸收層218之上增加第二間隔層306,以及沈積在第二間隔層306上的第三吸收層308,在一些實施例中,光罩200的吸收層和間隔層所使用的材料也可以分別用在光罩300的吸收層和間隔層。
如第18圖所示,於塗佈、曝光以及顯影製程之後,施加在第三吸收層308上的第一光阻膜242形成圖案化的第一光阻膜242。如第19圖所示,於蝕刻、剝除以及清潔製程之後,形成光罩300的第一階段圖案。如第20圖所示,於塗佈、曝光以及顯影製程之後,施加在光罩300的第一階段圖案之上的第二光阻膜244形成圖案化的第二光阻膜244。如第21圖所示,於 蝕刻、剝除以及清潔製程之後,形成光罩300的第二階段圖案。如第22圖所示,於塗佈、曝光以及顯影製程之後,施加在光罩300的第二階段圖案之上的第三光阻膜246形成圖案化的第三光阻膜246。如第23圖所示,於蝕刻、剝除以及清潔製程之後,形成光罩300的第三階段圖案。在一些實施例中,可使用蝕刻停止層並利用方法400形成堆疊式光罩300。
參閱第24圖,其係顯示依據一個或多個實施例,藉由方法400製造的堆疊式光罩350之剖面示意圖。堆疊式光罩350與堆疊式光罩300相似,堆疊式光罩350包含低熱膨脹材料(LTEM)基底212、第一吸收層214、第一間隔層216、第二吸收層218、第二間隔層306、第三吸收層308、第一上塗層352、第二上塗層354以及第三上塗層356。除了吸收層和間隔層之外,為了達到各種目的,例如抗反射、保護吸收層或者產生有差別的蝕刻停止,在吸收層之上還可以增加上塗層,堆疊式光罩300中的上塗層所使用的材料也可以用在光罩350的上塗層。
如第24圖所示,第一吸收層214沈積在低熱膨脹材料(LTEM)基底212之上,第一上塗層352沈積在第一吸收層214之上,第一間隔層216沈積在第一上塗層352之上,第二吸收層218沈積在第一間隔層216之上,第二上塗層354沈積在第二吸收層218之上,第二間隔層306沈積在第二上塗層354之上,第三吸收層308沈積在第二間隔層306之上,以及第三上塗層356沈積在第三吸收層308之上。
雖然本發明已揭露較佳實施例如上,然其並非用以限定本發明,在此技術領域中具有通常知識者當可瞭解,在 不脫離本發明之精神和範圍內,當可做些許更動與潤飾。因此,本發明之保護範圍當視後附之申請專利範圍所界定為準。
100‧‧‧光學微影系統
112‧‧‧投影透鏡
116‧‧‧基底
200‧‧‧兩階段式堆疊光罩
202‧‧‧凸起
212‧‧‧低熱膨脹材料基底
214、218‧‧‧吸收層
216‧‧‧間隔層
232、234‧‧‧影像平面

Claims (9)

  1. 一種光罩,包括:一基底;至少兩個吸收層,包含一第一吸收層和一第二吸收層,其中該第一吸收層沈積於該基底之上;以及一間隔層,沉積於該第一吸收層及該第二吸收層之間,用以隔離該兩個吸收層,其中該間隔層的厚度等於在一晶圓基底上的一凸起與該晶圓基底之高度差乘以一透鏡的一縮小倍率的平方。
  2. 如申請專利範圍第1項所述之光罩,更包括一上塗層沈積於該第一吸收層、該第二吸收層或前述兩者之上,該上塗層包括CrN、CrON、CrO、TaO、TaON、SiO、SiO2、SiN或SiON之至少一者。
  3. 如申請專利範圍第1項所述之光罩,其中至少該第一及該第二吸收層之一者包含至少一個階段式圖案。
  4. 如申請專利範圍第3項所述之光罩,其中該光罩用以在該晶圓基底之頂部形成一第一影像平面,並且於該凸起之頂部形成一第二影像平面。
  5. 如申請專利範圍第1項所述之光罩,其中該基底係由低熱膨脹材料所構成,該低熱膨脹材料包含熔融矽石、熔融石英、氟化鈣、碳化矽或氧化矽-氧化鈦的合金之至少一者。
  6. 如申請專利範圍第1項所述之光罩,其中該吸收層包括Cr、CrN、CrO、CrON、Mo、MoSi、MoSiN、MoSiON、Ta、TaON、TaB、TaBN、TaHfN、TaHf、TaSi、TaSiN、TaGe、TaGeN、 TaZrN或TaZr之至少一者。
  7. 如申請專利範圍第1項所述之光罩,其中該間隔層包括MoSi基化合物、Si基化合物、Ta基化合物、氧化矽或摻雜鋯、鋇、鑭、鋁、鈉、磷、硫、硒或碲的氧化矽之至少一者。
  8. 一種製造光罩的方法,包括:在一基底上沉積一第一吸收層;在該第一吸收層之上沉積一第一間隔層,該間隔層的厚度等於在一晶圓基底上的一凸起與該晶圓基底之高度差乘以一透鏡的一縮小倍率的平方;在該第一間隔層之上沉積一第二吸收層;以及在該第一吸收層或該第二吸收層或前述兩者上形成該光罩的至少一個階段式圖案。
  9. 如申請專利範圍第8項所述之製造光罩的方法,更包括:在該第二吸收層之上沉積一第二間隔層;以及在該第二吸收層之上沉積一第三吸收層。
TW102145069A 2012-12-20 2013-12-09 光罩及其製造方法 TWI495950B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/722,646 US8906583B2 (en) 2012-12-20 2012-12-20 Stacked mask

Publications (2)

Publication Number Publication Date
TW201426164A TW201426164A (zh) 2014-07-01
TWI495950B true TWI495950B (zh) 2015-08-11

Family

ID=50954245

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102145069A TWI495950B (zh) 2012-12-20 2013-12-09 光罩及其製造方法

Country Status (4)

Country Link
US (1) US8906583B2 (zh)
KR (1) KR101539499B1 (zh)
CN (1) CN103885284B (zh)
TW (1) TWI495950B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102286886B1 (ko) * 2014-11-18 2021-08-09 삼성디스플레이 주식회사 포토 마스크 및 이의 제조 방법

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030068563A1 (en) * 2000-06-23 2003-04-10 Hong Ji-Suk Method for forming a phase-shifting mask for semiconductor device manufacture
TWI293181B (en) * 2001-11-21 2008-02-01 Applied Materials Inc Method of extending the stability of a photoresist during direct writing of an image upon the photoresist

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6969569B2 (en) * 1999-04-16 2005-11-29 Applied Materials, Inc. Method of extending the stability of a photoresist during direct writing of an image
US6852454B2 (en) * 2002-06-18 2005-02-08 Freescale Semiconductor, Inc. Multi-tiered lithographic template and method of formation and use
KR20110050427A (ko) * 2008-07-14 2011-05-13 아사히 가라스 가부시키가이샤 Euv 리소그래피용 반사형 마스크 블랭크 및 euv 리소그래피용 반사형 마스크
US20110159411A1 (en) * 2009-12-30 2011-06-30 Bennett Olson Phase-shift photomask and patterning method
JP5653888B2 (ja) * 2010-12-17 2015-01-14 Hoya株式会社 マスクブランク、転写用マスク、転写用マスクの製造方法、及び半導体デバイスの製造方法
JP5648558B2 (ja) * 2011-03-30 2015-01-07 凸版印刷株式会社 反射型マスクブランク、及び反射型マスクブランクの製造方法
US8679706B2 (en) * 2012-07-30 2014-03-25 Intel Corporation Photomask processing techniques

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030068563A1 (en) * 2000-06-23 2003-04-10 Hong Ji-Suk Method for forming a phase-shifting mask for semiconductor device manufacture
TWI293181B (en) * 2001-11-21 2008-02-01 Applied Materials Inc Method of extending the stability of a photoresist during direct writing of an image upon the photoresist

Also Published As

Publication number Publication date
CN103885284A (zh) 2014-06-25
US20140178804A1 (en) 2014-06-26
US8906583B2 (en) 2014-12-09
KR20140080402A (ko) 2014-06-30
KR101539499B1 (ko) 2015-07-24
CN103885284B (zh) 2018-01-05
TW201426164A (zh) 2014-07-01

Similar Documents

Publication Publication Date Title
US9869928B2 (en) Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof
US9213232B2 (en) Reflective mask and method of making same
US8679707B2 (en) Method of fabricating a lithography mask
US11740547B2 (en) Method of manufacturing extreme ultraviolet mask with reduced wafer neighboring effect
JP2022009220A (ja) 反射型マスクブランク、反射型マスクの製造方法、及び半導体装置の製造方法
US20220365414A1 (en) Protection layer on low thermal expansion material (ltem) substrate of extreme ultraviolet (euv) mask
US9651857B2 (en) Mask and method for forming the same
US7074527B2 (en) Method for fabricating a mask using a hardmask and method for making a semiconductor device using the same
US8492054B2 (en) Mechanisms for patterning fine features
CN104049455A (zh) 极紫外光(euv)光掩模及其制造方法
US9995999B2 (en) Lithography mask
US20240069431A1 (en) Method of manufacturing photo masks
TWI495950B (zh) 光罩及其製造方法
KR100735530B1 (ko) 단차를 가진 반사층을 포함하는 반사형 포토마스크 및 그 제조방법
US12001132B2 (en) Protection layer on low thermal expansion material (LTEM) substrate of extreme ultraviolet (EUV) mask
KR20240031182A (ko) 포토 마스크를 제조하는 방법
JP2018146760A (ja) 転写用マスクの製造方法、および半導体デバイスの製造方法
CN117348330A (zh) 制造光掩模的方法