KR101539499B1 - 적층형 마스크 - Google Patents

적층형 마스크 Download PDF

Info

Publication number
KR101539499B1
KR101539499B1 KR1020130068236A KR20130068236A KR101539499B1 KR 101539499 B1 KR101539499 B1 KR 101539499B1 KR 1020130068236 A KR1020130068236 A KR 1020130068236A KR 20130068236 A KR20130068236 A KR 20130068236A KR 101539499 B1 KR101539499 B1 KR 101539499B1
Authority
KR
South Korea
Prior art keywords
layer
absorber layer
absorber
substrate
mask
Prior art date
Application number
KR1020130068236A
Other languages
English (en)
Other versions
KR20140080402A (ko
Inventor
번젱 린
신창 이
성치 친
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20140080402A publication Critical patent/KR20140080402A/ko
Application granted granted Critical
Publication of KR101539499B1 publication Critical patent/KR101539499B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • G03F1/58Absorbers, e.g. of opaque materials having two or more different absorber layers, e.g. stacked multilayer absorbers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/48Protective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/60Substrates

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

본 개시는 마스크를 기술한다. 마스크는 저열팽창 재료(LTEM) 기판, 적어도 2개의 흡수체층, 및 2개의 흡수체층을 분리시키는 스페이서층을 포함한다. 상기 제1 흡수체층은 LTEM 기판 위에 증착된다. 마스크는 흡수체층 위에 탑코트층을 더 포함한다. 스페이서층의 두께는 웨이퍼 기판 상의 토포그라피 피처의 높이를 대물 렌즈의 반배율의 제곱과 곱한 값 정도이다. 흡수체층은 단차형 패턴을 포함한다.

Description

적층형 마스크{A STACKED MASK}
본 발명은 적층형 마스크에 관한 것이다.
반도체 집적 회로(IC) 산업은 급격한 성장을 겪고 있다. IC 재료 및 디자인에 있어서의 기술적 진보로 인해 각 세대가 이전 세대보다 작고 복잡한 회로를 갖는 IC 세대가 초래되었다. IC의 발전 과정에서, 기하학적 크기[즉, 제조 프로세스를 이용하여 생성될 수 있는 가장 작은 구성요소(또는 라인)]가 감소되면서 기능적 밀도(즉, 칩 면적 당 상호 연결된 디바이스들의 갯수)는 대체로 증가되었다. 이러한 축소 프로세스는 일반적으로 제조 효율을 증가시키고 관련 비용을 낮춤으로써 이익을 제공한다. 그러한 축소는 또한 IC를 처리하고 제조하는 복잡도를 증가시켰으며, 이들 진보가 실현되기 위해서는, IC 처리 및 제조에 있어서 유사한 발전이 요구된다.
예컨대, 피처의 크기가 축소됨에 따라, 광학적 리소그래피 시스템의 해상도가 개선되고 있다. 그러나, 개선된 해상도의 결과로서 초점 깊이(DOF; depth of focus)가 감소된다. 많은 경우에, DOF는 레지스트 필름 두께, 웨이퍼 평탄도, 및 IC 디바이스의 평탄화 공차, 광학 리소그래피의 포커싱 및 레벨링 에러를 지원하는 데에 거의 충분하지 않다. 따라서, 광학 리소그래피 시스템의 DOF를 개선시키는 방법이 요구된다.
본 명세서에 개시된 실시예는 마스크를 설명한다. 마스크는 저열팽창 재료(LTEM; low thermal expansion material) 기판, 적어도 2개의 흡수체층, 및 2개의 흡수체층을 분리시키는 스페이서층을 포함한다. 제1 흡수체층은 LTEM 기판 위에 증착된다. 마스크는 흡수체층 위에 탑코트(topcoat)층을 더 포함한다. 스페이서층의 두께는 웨이퍼 기판 상의 토포그라피 피처(topography feature)의 높이에 대물 렌즈의 반배율(demagnification)의 제곱을 곱한 것과 거의 동등하다. 흡수체층은 단차형 패턴(staged pattern)을 포함한다. LTEM 기판은 용융 실리카, 용융 석영, 불화칼슘(CaF2), 실리콘 탄화물, 또는 실리콘 산화물-티타늄 산화물 합금을 포함한다. 흡수체층은, 크롬, 크롬 화합물, 몰리브덴, 몰리브덴 화합물, 탄탈 또는 탄탈 화합물을 포함한다. 스페이서층은 MoSi계 화합물, Si계 화합물, Ta계 화합물, 실리콘 화합물 또는 지르코늄, 바륨, 란탄, 알루미늄, 나트륨, 인, 황, 셀레늄, 또는 텔루르가 도핑된 실리콘 산화물을 포함한다. 탑코트층은 크롬, 크롬 화합물, 몰리브덴, 몰리브덴 화합물, 탄탈 또는 탄탈 화합물을 포함한다.
본 개시는 또한 마스크의 제조 방법을 설명한다. 방법은 저열팽창 재료(LTEM) 기판을 수용하는 단계, LTEM 기판 위에 제1 흡수체층을 증착하는 단계, 제1 흡수체층 위에 제1 스페이서층을 증착하는 단계, 제1 스페이서층 위에 제2 흡수체층을 증착하는 단계, 및 마스크에 단차형 흡수체층 패턴을 형성하는 단계를 포함한다. 방법은 제2 흡수체층 위에 제2 스페이서층을 증착하는 단계를 더 포함한다. 방법은 흡수체층 위에 탑코트층을 증착하는 단계를 더 포함한다. 단차형 흡수체층 패턴을 형성하는 단계는, LTEM 기판 상에 레지스트 필름을 코팅하는 단계, 레지스트 필름을 노출시키는 단계, 노출된 레지스트 필름을 현상하여 LTEM 기판 상에 레지스트 패턴을 형성하는 단계, 및 레지스트 패턴을 갖는 LTEM 기판을 에칭하여 LTEM 기판 상에 단차형 패턴을 형성하는 단계를 포함한다. 레지스트 필름을 노출시키는 단계는 마스크 라이터를 이용하여 레지스트 필름에 패턴을 기록하는 단계를 포함한다. 단차형 패턴을 형성하는 단계는 단차형 패턴의 형성을 적어도 2회 수행하여 제1단 패턴과 제2단 패턴을 형성하는 단계를 포함한다. 방법은 제2단 패턴을 제1단 패턴에 정렬시키는 단계를 더 포함한다. 방법은 마스크 검사 툴을 이용하여 결함에 대해 단차형 패턴을 검사하는 단계를 더 포함한다. 방법은 결함을 정정(fix)하는 단계를 더 포함한다.
본 개시는 첨부 도면과 함께 읽을 때에 이하의 상세한 설명으로부터 가장 잘 이해된다. 산업에 있어서의 표준적 실시에 따라, 다양한 피처들은 실척으로 도시되어 있지 않고 오직 예시의 목적을 위해 사용된다. 사실상, 다양한 피처들의 치수는 논의의 명확도를 위해 임의로 증가 또는 감소된다.
도 1은 본 개시의 하나 이상의 실시예로부터 이익을 얻는 광학 리소그래피 시스템의 다이아그램을 나타낸다.
도 2는 본 개시의 하나 이상의 실시예에 따른 광학 리소그래피 시스템에 사용되는 2단 마스크의 예를 나타낸다.
도 3은 본 개시의 하나 이상의 실시예에 따른 광학 리소그래피 시스템에 사용되는 3단 마스크의 예를 나타낸다.
도 4는 본 개시의 하나 이상의 실시예를 실시하는 적층형 마스크를 제조하는 흐름도이다.
도 5 내지 도 10은 본 개시의 하나 이상의 실시예에 따른 2단 마스크를 형성하는 단면도를 나타낸다.
도 11은 본 개시의 하나 이상의 실시예에 따른 2단 마스크의 예이다.
도 12 내지 도 17은 본 개시의 하나 이상의 실시예에 따른 2단 마스크를 형성하는 단면도를 나타낸다.
도 18 내지 도 23은 본 개시의 하나 이상의 실시예에 따른 3단 마스크를 형성하는 단면도를 나타낸다.
도 24는 본 개시의 하나 이상의 실시예에 따른 2단 마스크의 예이다.
이하의 개시는 본 개시의 상이한 피처들을 실시하기 위한 많은 상이한 실시예, 즉 예를 제공한다. 구성요소 및 구조의 특정한 예는 본 개시를 간소화하도록 아래에서 설명된다. 물론, 이들은 단지 예일 뿐이고 한정하도록 의도되지 않는다. 예컨대, 아래의 설명에서 제2 피처 위에 또는 제2 피처 상에 제1 피처의 형성은 제1 및 제2 피처가 직접적인 접촉 상태로 형성되는 실시예를 포함할 수 있고, 또한 제1 및 제2 피처가 직접적으로 접촉하지 않을 수 있도록 제1 및 제2 피처 사이에 추가의 피처가 형성될 수 있는 실시예를 포함할 수 있다. 또한, 본 개시는 다양한 예에서 참조 번호 및/또는 문자를 반복할 수 있다. 이 반복은 간소화 및 명확도를 위한 것이고 논의되는 다양한 실시예들 및/또는 구성들 간의 관계를 자체가 결정하지 않는다.
이하, 도 1을 참조하면, 광학 리소그래피 시스템(100)은 본 개시의 하나 이상의 실시예로부터 이익을 얻을 수 있는 시스템의 예이다. 광학 리소그래피 시스템(100)은 광원(102), 광(104), 집광 렌즈(106), 포토마스크(108), 마스크 스테이지(110), 투영 렌즈(112), 기판 스테이지(114), 기판(116) 및 레지스트 필름(118)을 포함한다. 그러나, 다른 구성 및 특정한 디바이스의 포함 또는 생략이 가능할 수 있다. 본 개시에서, 시스템(100)은 또한 스테퍼(stepper) 또는 스캐너로서 지칭되고, 포토마스크(108)는 또한 마스크 또는 레티클(reticle)로서 지칭된다. 본 실시예에서, 광원(102)은 파장 범위가 UV에서 DUV까지인 광(104)을 제공하는 복사원을 포함한다. 예컨대, 수은 램프가 G-라인(436 nm) 또는 I-라인(365 nm) 등의 UV 파장을 제공한다. 다른 예로서, 엑시머 레이저가 248 nm, 193 nm 또는 157 nm 등의 DUV 파장을 제공한다. 집광 렌즈(106)는 광(104)을 포토마스크(108)로 안내하도록 구성된다. 포토마스크(108)는 광(204)의 일부를 차단하고 광(104)의 에어리얼 이미지(aerial image)를 제공하여 패터닝된 광(104)을 형성한다. 포토마스크(108)는 바이너리 마스크(BIM; binary mask), 슈퍼 바이너리 마스크(SBIM; super binary mask), 또는 위상 변이 마스크(PSM; phase shift mask)일 수 있고, 위상 변이 마스크는 대체형 위상 변이 마스크(대체형 PSM) 또는 감쇠형 위상 변이 마스크(감쇠형 PSM)를 포함한다. 포토마스크(108)는 마스크 스테이지(110) 상에 위치 결정된다. 마스크 스테이지(110)는 복수 개의 모터, 롤러 가이드 및 테이블을 포함한다. 마스크 스테이지(110)는 또한 포토마스크(108)를 진공에 의해 마스크 스테이지(110) 상에 고정시킨다. 마스크 스테이지(110)는 또한 광학 리소그래피 시스템(100)에서의 정렬, 포커싱, 레벨링 및 노출 작동 중에 X, Y 및 Z 방향에서 포토마스크(108)의 정확한 위치 및 이동을 제공한다. 투영 렌즈(112)는 포토마스크(108)에 의해 제공되는 패턴 이미지를 감소시키고 패터닝된 광(104)을 기판 스테이지(114)에 의해 고정된 기판(116) 상에 증착된 레지스트 필름(118)에 안내하는 배율 렌즈를 포함한다. 기판 스테이지(114)는 모터, 롤러 가이드 및 테이블을 포함한다. 기판 스테이지(114)는 또한 기판(116)을 진공에 의해 고정시킨다. 기판 스테이지는 포토마스크의 이미지가 반복적인 방식으로 기판 상에 전사되도록(그러나, 다른 리소그래피 방법이 가능함) 광학 리소그래피 시스템(100)에서 정렬, 포커싱, 레벨링 및 노출 작동 중에 X, Y 및 Z 방향에서 기판(116)의 정확한 위치 및 이동을 제공한다. 광학 리소그래피 시스템(100), 또는 그 일부는 진공 시스템 및/또는 냉각 시스템 등의 추가 아이템을 포함할 수 있다.
본 실시예를 계속 참조하면, 레지스트 필름(118)이 증착된 기판(116)이 패터닝된 광(104)에 의한 노출을 위해 기판 스테이지(114) 상에 로딩된다. 레지스트 필름(118)은 포지티브 톤 레지스트(positive tone resist) 또는 네가티브 톤 레지스트(negative tone resist)를 포함한다. 기판(116)은 웨이퍼 기판을 포함한다. 웨이퍼 기판은 실리콘 웨이퍼를 포함한다. 대안적으로 또는 추가적으로, 웨이퍼는게르마늄 등의 다른 원소 반도체; 실리콘 탄화물, 비화갈륨, 인화갈륨, 인화인듐, 비화인듐, 및/또는 안티몬화인듐을 포함하는 화합물 반도체; SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, 및/또는 GaInAsP를 포함하는 합금 반도체를 포함할 수 있다. 또 다른 변형예에서, 웨이퍼는 절연체 상 반도체(SOI; semiconductor on insulator)이다. 복수 개의 도전성 및 비도전성 박막이 웨이퍼 상에 증착될 수 있다. 예컨대, 도전성 박막은 알루미늄(Al), 구리(Cu), 텅스텐(W), 니켈(Ni), 티타늄(Ti), 금(Au), 및 플라티늄(Pt) 등의 금속, 또는 그 합금을 포함할 수 있다. 절연체 필름은 실리콘 산화물 또는 실리콘 질화물을 포함할 수 있다.
본 실시예에서, 웨이퍼 기판(116)은 이온 주입 또는 확산에 의해 형성되는 n형 웰 및/또는 p형 웰 등의 다양한 도핑된 피처를 더 포함한다. 웨이퍼 기판(116)은 또한 얕은 트렌치 절연(STI) 등의 다양한 절연 피처를 포함한다. STI 피처는 웨이퍼 기판에 대해 상당한 토포그라피(topography) 영향을 미칠 수 있다. 피처 크기가 축소됨에 따라, 광학 리소그래피 시스템(100)의 해상도가 개선된다. 그러나, 이는 초점 깊이(DOF)의 취사선택(trade-off)을 수반한다. 많은 경우에, DOF는 레지스트 필름 두께, 웨이퍼 평탄도 및 평탄화 공차, 포커싱 및 레벨링 에러를 지원하는 데에 거의 충분하지 않다. 계통적 토포그라피가 더 평탄화될 수 없을 때에, DOF 예산이 초과될 수 있고, 이는 바람직하지 못하다.
이하, 도 2를 참조하면, 광학 리소그래피 시스템(100)을 이용하여 토포그라피 웨이퍼(116)를 노출시키는 적층형 웨이퍼(200)의 단면도가 예시되어 있다. 도 2에 도시된 바와 같이, 웨이퍼(116)는 토포그라피 피처(202)를 포함한다. 토포그라피 피처(202)의 상부면과 웨이퍼(116)의 상부면 간의 거리는 도 2에 도시된 H로 나타낸다. 몇몇 실시예에서, 토포그라피 피처의 상부면과 웨이퍼의 상부면 간의 거리는 또한 토포그라피 피처의 높이로서 지칭된다. 투영 렌즈(112)는 스캐너의 투영 렌즈에 대해 MX 반배율, 예컨대 4X, 또는 스테퍼의 투영 렌즈에 대해 5X를 갖는 렌즈를 포함한다.
도 2에 도시된 바와 같이, 적층형 마스크(200)는 저열팽창 재료(LTEM; low thermal expansion material) 기판(212), 제1 흡수체층(214), 제1 스페이서층(216), 및 제2 흡수체층(218)을 포함한다. 제1 흡수체층(214)은 LTEM 기판(212) 위에 증착된다. 제1 스페이서층(216)은 제1 흡수체층(214) 위에 증착된다. 제2 흡수체층(218)은 제1 스페이서층(216) 위에 증착된다. 제1 스페이서층(216)의 두께는 대략 M2H이다. 제2 흡수체층(218)의 두께는 제1 스페이서층(216)의 두께에 비해 무시해도 좋다. 예컨대, 거리(H)가 100 nm이면, 적층형 마스크(200)는 4X 스캐너를 이용한다. 제1 스페이서층(216)의 두께는 대략 1600 nm이다(42×100=1600).
도 2에 도시된 바와 같이, 적층형 마스크(200)는 웨이퍼(116) 위에 2개의 이미지 평면, 예컨대 제1 이미지 평면(232)과 제2 이미지 평면(234)을 발생시킨다. 제1 이미지 평면(232)은 웨이퍼(116)의 상부면 근처에 형성된다. 제2 이미지 평면(234)은 토포그라피 피처(202)의 상부면 근처에 형성된다. 제1 이미지 평면(232)과 제2 이미지 평면(234) 간의 거리는 H와 동일하다. 제1 이미지 평면(232)과 제2 이미지 평면(234) 양자는 DOF 범위 내에 배치된다.
이하, 도 3을 참조하면, 광학 리소그래피 시스템(100)을 이용하여 토포그라피 웨이퍼(116)를 노출시키는 적층형 마스크(300)의 단면도가 예시되어 있다. 도 3에 도시된 바와 같이, 웨이퍼(116)는 2개의 토포그라피 피처, 즉 토포그라피 피처(302)와 토포그라피 피처(304)를 포함한다. 토포그라피 피처(302)의 상부면과 웨이퍼(116)의 상부면 간의 거리는 도 3에 도시된 H1에 의해 나타낸다. 토포그라피 피처(302)의 상부면과 토포그라피 피처(304)의 상부면 간의 거리는 도 3에 도시된 H2에 의해 나타낸다. 투영 렌즈(112)는 스캐너의 투영 렌즈에 대해 MX 반배율, 예컨대 4X, 또는 스테퍼의 투영 렌즈에 대해 5X를 갖는 렌즈를 포함한다.
도 3에 도시된 바와 같이, 적층형 마스크(300)는 저열팽창 재료(LTEM; low thermal expansion material) 기판(212), 제1 흡수체층(214), 제1 스페이서층(216), 제2 흡수체층(218), 제2 스페이서층(306), 및 제3 흡수체층(308)을 포함한다. 제1 흡수체층(214)은 LTEM 기판(212) 위에 증착된다. 제1 스페이서층(216)은 제1 흡수체층(214) 위에 증착된다. 제2 흡수체층(218)은 제1 스페이서층(216) 위에 증착된다. 제2 스페이서층(306)은 제2 흡수체층(218) 위에 증착된다. 제3 흡수체층(308)은 제2 스페이서층(306) 위에 증착된다. 제1 스페이서층(216)의 두께는 대략 M2H1이다. 제2 흡수체층(218)의 두께는 제1 스페이서층(216)의 두께에 비해 무시해도 좋다. 예컨대, 거리(H1)가 100 nm이고 적층형 마스크(300)가 4X 스캐너를 이용하면, 제1 스페이서층(216)의 두께는 대략 1600 nm이다(42×100=1600). 제2 스페이서층(306)의 두께는 대략 M2H2이다. 제3 흡수체층(308)의 두께는 제2 스페이서층(306)에 비해 무시해도 좋다. 예컨대, 거리(H2)가 100 nm이면, 제2 스페이서층(306)의 두께는 대략 1600 nm이다(42×100=1600).
도 3에 도시된 바와 같이, 적층형 마스크(300)는 웨이퍼(116) 위에 3개의 이미 평면, 즉 제1 이미지 평면(332), 제2 이미지 평면(334), 및 제3 이미지 평면(336)을 발생시킨다. 제1 이미지 평면(332)은 웨이퍼(116)의 상부면 근처에 형성된다. 제2 이미지 평면(334)은 토포그라피 피처(302)의 상부면 근처에 형성된다. 제3 이미지 평면(336)은 토포그라피 피처(304)의 상부면 근처에 형성된다. 3개의 이미지 평면 모두는 DOF 범위 내에 배치된다. 본 실시예에서, 적층형 마스크(200 또는 300)는 불연속적인 토포그라피 피처에 또는 연속적으로 갖춘 토포그라피에 적용될 수 있다.
이하, 도 4를 참조하면, 본 개시의 하나 이상의 실시예를 실시하기 위한 적층형 마스크를 형성하도록 방법(400)이 사용될 수 있다. 방법(400)은 단계(402)에서 마스크 기판을 제공 또는 수용함으로써 시작한다. 이어서, 방법(400)은 마스크 기판의 표면 위에 제1 흡수체층을 증착함으로써 단계(404)로 진행한다. 단계(404) 후에, 방법(400)은 제1 흡수체층 위에 제1 탑코트(topcoat)층을 증착함으로써 단계(406)로 진행한다. 단계(406)는 선택적 단계이다. 적층형 마스크는 제1 탑코트층을 포함하지 않을 수 있다. 단계(406)는 또한 에칭 정지층을 증착하는 것을 포함할 수 있다. 방법(400)은 또한 제1 탑코트층 위에 또는 선택적 단계(406)가 생략되면 제1 흡수체층 위에 제1 스페이서층을 증착함으로써 단계(408)로 진행한다. 방법(400)은 제1 스페이서층 위에 제2 흡수체층을 증착함으로써 단계(410)로 진행한다. 방법(400)은 제2 흡수체층 위에 제2 탑코트층을 증착함으로써 단계(412)로 진행한다. 단계(412)는 선택적 단계이다. 적층형 마스크는 제2 탑코트층을 포함하지 않을 수 있다.
도 4에 도시된 바와 같이, 방법(400)은 도 2에 도시된 바와 같이 2단 적층형 마스크(200)의 제1단 패턴을 형성함으로써 단계(414)로 진행할 수 있다. 단계(414)는 제2 탑코트층 상에, 또는 선택적 탑코트층이 생략되면 제2 흡수체층 상에 제1 레지스트 필름을 스핀온 프로세스에 의해 코팅하고, 마스크 라이터(mask writer)에 의해 제1 레지스트 필름을 노출시키며, 노출된 제1 레지스트 필름을 현상하여 제1 레지스트 패턴을 형성하고, 2단 적층형 마스크의 제1단 패턴이 형성되도록 제1 레지스트 패턴을 에칭하는 것을 포함한다. 몇몇 실시예에서, 레지스트 필름을 노출시키는 것은 또한 마스크 라이터를 이용하여 레지스트 필름을 기록하는 것으로 지칭된다. 단계(414)는 제1 레지스트 필름을 코팅한 후의 소프트 베이크(SB; soft bake)와, 현상 전의 노출후 베이크(PEB; post exposing bake) 및/또는 현상 후의 현상후 베이크(PDB; post developing bake)를 포함할 수 있다. 단계(414)는 또한 에칭 프로세스 후에 제1 레지스트 필름을 박리하고 다음의 단계를 위해 표면을 세정하는 것을 포함한다. 방법(400)은 2단 적층형 마스크의 제2단 패턴을 형성함으로써 단계(416)로 진행한다. 단계(416)는 스핀온 프로세스에 의해 제1단 패턴 위에 제2 레지스트 필름을 증착하고, 마스크 라이터에 의해 제2 레지스트 필름을 노출시키며, 노출된 제2 레지스트 필름을 현상하여 제2 레지스트 패턴을 형성하고, 2단 적층형 마스크의 제2단 패턴이 형성되도록 제2 레지스트 패턴을 에칭하는 것을 포함한다. 단계(416)는 제1 레지스트 필름을 코팅한 후의 소프트 베이크(SB)와, 현상 전의 노출후 베이크(PEB) 및/또는 현상 후의 현상후 베이크(PDB)를 포함할 수 있다. 단계(416)는 또한 에칭 프로세스 후에 제1 레지스트 필름을 박리하고 다음의 단계를 위해 표면을 세정하는 것을 포함한다. 방법(400)은 형성된 마스크를 검사함으로써 단계(418)로 진행한다. 단계(418)는 결함이 발견되면 마스크를 정정하는 것을 포함할 수 있다. 일 실시예에서, 단계(418)는 또한 단계(416) 전에 그리고 단계(416) 후에 수행될 수 있다. 최종 검사 후에, 방법(400)은 2단 적층형 마스크의 제조를 마무리함으로써 단계(420)로 진행한다. 추가 단계가 방법(400) 전에, 동안에, 그리고 후에 제공될 수 있고, 설명된 몇몇 단계가 방법(400)의 추가 실시예를 위해 대체, 제거, 또는 이동될 수 있다.
몇몇 실시예에서, 단계(412), 또는 선택적 단계(412)가 생략되면 단계(410) 후에, 방법(400)은 도 3에 도시된 3단 적층형 마스크(300)를 형성하기 위해 다른 루트를 따라 계속 진행할 수 있다. 방법(400)은 제2 탑코트층 위에, 또는 선택적 단계(412)가 생략되면 제2 흡수체층 위에 제2 스페이서층을 증착함으로써 단계(432)로 진행한다. 단계(432) 후에, 방법(400)은 제2 스페이서층 위에 제3 흡수체층을 증착함으로써 단계(434)로 진행한다. 방법(400)은 제3 흡수체층 위에 제3 탑코트층을 증착함으로써 단계(436)로 진행한다. 단계(436)는 선택적 단계이다. 따라서, 적층형 마스크는 제3 탑코트층을 포함하지 않을 수 있다.
도 4에 도시된 바와 같이, 방법(400)은 도 3에 도시된 바와 같이 3단 적층형 마스크(300)의 제1단 패턴을 형성함으로써 단계(438)로 진행한다. 단계(438)는 제3 탑코트층 상에, 또는 선택적인 제3 탑코트층이 생략되면 제3 흡수체층 상에 제1 레지스트 필름을 스핀온 프로세스에 의해 증착하고, 마스크 라이터에 의해 제1 레지스트 필름을 노출시키며, 노출된 제1 레지스트 필름을 현상하여 제1 레지스트 패턴을 형성하고, 3단 적층형 마스크의 제1단 패턴이 형성되도록 제1 레지스트 패턴을 에칭하는 것을 포함한다. 단계(438)는 제1 레지스트 필름을 코팅한 후의 소프트 베이크(SB)와, 현상 전의 노출후 베이크(PEB) 및/또는 현상 후의 현상후 베이크(PDB)를 포함할 수 있다. 단계(438)는 또한 에칭 프로세스 후에 제1 레지스트 필름을 박리하고 다음의 단계를 위해 표면을 세정하는 것을 포함한다. 방법(400)은 3단 적층형 마스크의 제2단 패턴을 형성함으로써 단계(440)로 진행한다. 단계(440)는 예컨대 스핀온 프로세스에 의해 제1단 패턴 위에 제2 레지스트 필름을 증착하고, 마스크 라이터에 의해 제2 레지스트 필름을 노출시키며, 노출된 제2 레지스트 필름을 현상하여 제2 레지스트 패턴을 형성하고, 3단 적층형 마스크의 제2단 패턴이 형성되도록 제2 레지스트 패턴을 에칭하는 것을 포함한다. 단계(440)는 제1 레지스트 필름을 코팅한 후의 소프트 베이크(SB)와, 현상 전의 노출후 베이크(PEB) 및/또는 현상 후의 현상후 베이크(PDB)를 포함할 수 있다. 단계(440)는 또한 에칭 프로세스 후에 제1 레지스트 필름을 박리하고 다음의 단계를 위해 표면을 세정하는 것을 포함한다. 방법(400)은 3단 적층형 마스크의 제3단 패턴을 형성함으로써 단계(442)로 진행한다. 단계(442)는 스핀온 프로세스에 의해 제2단 패턴 위에 제3 레지스트 필름을 증착하고, 마스크 라이터에 의해 제3 레지스트 필름을 노출시키며, 노출된 제3 레지스트 필름을 현상하여 제3 레지스트 패턴을 형성하고, 2단 적층형 마스크의 제2단 패턴이 형성되도록 제3 레지스트 패턴을 에칭하는 것을 포함한다. 단계(442)는 제1 레지스트 필름을 코팅한 후의 소프트 베이크(SB)와, 현상 전의 노출후 베이크(PEB) 및/또는 현상 후의 현상후 베이크(PDB)를 포함할 수 있다. 단계(442)는 또한 에칭 프로세스 후에 제1 레지스트 필름을 박리하고 다음의 단계를 위해 표면을 세정하는 것을 포함한다. 방법(400)은 형성된 마스크를 검사함으로써 단계(444)로 진행한다. 단계(444)는 결함이 발견되면 마스크를 정정하는 것을 포함할 수 있다. 일 실시예에서, 단계(444)는 또한 단계(440) 전에 그리고 단계(440) 후에 수행될 수 있다. 최종 검사 후에, 방법(400)은 3단 적층형 마스크의 제조를 마무리함으로써 단계(446)로 진행한다. 추가 단계가 방법(400) 전에, 동안에, 그리고 후에 제공될 수 있고, 설명된 몇몇 단계가 방법(400)의 추가 실시예를 위해 대체, 제거, 또는 이동될 수 있다.
방법(400)을 수반하는 전술한 논의에서, 흡수체층, 스페이서층, 및 탑코트층 등의 다양한 층들은 증발 및 DC 마그네트론 스퍼터링 등의 물리적 기상 증착(PVD) 프로세스, 무전해 도금 또는 전기 도금 등의 도금 프로세스, 분위기 압력 CVD(APCVD; atmospheric pressure CVD), 저압 CVD(LPCVD; low pressure CVD), 플라즈마 강화 CVD(PECVD; plasma enhanced CVD), 또는 고밀도 플라즈마 CVD(HDP CVD; high density plasma CVD) 등의 화학적 기상 증착(CVD), 이온빔 증착, 스핀온 코팅, 금속-유기 분해(MOD; metal-organic decomposition), 원자층 증착(ALD; atomic layer deposition) 및/또는 당업계에 공지된 기타 방법에 의해 증착된다. 본 실시예에서, 에칭 프로세스는 건식(플라즈마) 에칭, 습식 에칭, 및/또는 기타 방법을 포함할 수 있다. 예컨대, 건식 에칭 프로세스는 산소 함유 가스, 불소 함유 가스(예컨대, CF4, SF6, CH2F2, CHF3, 및/또는 C2F6), 염소 함유 가스(예컨대, Cl2, CHCl3, CCl4, 및/또는 BCl3), 브롬 함유 가스(예컨대, HBr 및/또는 CHBR3), 요오드 함유 가스, 기타 적절한 가스 및/또는 플라즈마, 및/또는 그 조합을 실시할 수 있다.
이하, 도 5 내지 도 10을 참조하면, 방법(400)을 이용하여 적층형 마스크(200)를 형성하는 단면도가 하나 이상의 실시예에 따라 예시되어 있다. 도 5에 도시된 바와 같이, 제1 흡수체층(214)은 LTEM 기판(212) 위에 증착된다. 제1 스페이서층(216)이 제1 흡수체층(214) 위에 증착되고, 제2 흡수체층(218)은 제1 스페이서층(216) 위에 증착된다. 몇몇 실시예에서, LTEM 기판(212)은 용융 실리카, 용융 석영, 불화칼슘(CaF2), 실리콘 탄화물, 실리콘 산화물-티타늄 산화물 합금 및/또는 기타 적절한 LTEM을 포함할 수 있다. 제1 흡수체층(214) 또는 제2 흡수체층(218)은, 일 실시예에서 크롬, 및 CrN, CrON, 및 CrO 등의 그 화합물; 다른 실시예에서 몰리브덴 및 MoSi, MoSiN, 및 MoSiON 등의 그 화합물; 및 다른 실시예에서 탄탈 및 TaN, TaON, TaB, TaBN, TaHfN, TaHf, TaSi, TaSiN, TaGe, TaGeN, TaZrN, 및 TaZr 등의 그 화합물을 포함할 수 있다. 몇몇 실시예에서, 제1 스페이서층(216)은 불투명한 재료 또는 투명한 재료를 포함할 수 있다. 불투명한 재료는 MoSi, MoSiON, 및 MoSiN 등의 MoSi계 화합물; 실리콘 및 실리콘 화합물; 및 탄탈 및 그 화합물을 포함할 수 있다. 투명한 재료는 실리콘 산화물, 지르코늄, 바륨, 란탄, 알루미늄, 나트륨, 인, 황, 셀레늄, 및/또는 텔루르가 도핑된 실리콘 산화물을 포함할 수 있다.
본 명세서에 도시된 실시예를 계속 참조하면, 제2 흡수체층(218) 상에 제1 레지스트 필름(242)이 코팅된다. 노출, 현상, 및 에칭 프로세스 후에, 도 6에 도시된 바와 같이 제1 스페이서층(216) 상에 제2 흡수체층(218)이 형성된다. 제1 레지스트 필름(242)가 박리된 후에, 도 7에 도시된 바와 같이 적층형 마스크(200; 2단 적층형 마스크)의 제1단 패턴을 형성하도록 하드마스크로서 패터닝된 제2 흡수체층(218)을 이용함으로써 에칭 프로세스가 적용된다. 도 8에 도시된 바와 같이 마스크(200)의 제1단 패턴에 제2 레지스트 필름(244)이 부착된다. 노출 및 현상 후에, 도 9에 도시된 바와 같이 패터닝된 제2 레지스트 필름이 형성된다. 에칭, 박리 및 세정 후에, 방법(400)을 이용하여 도 10에 도시된 바와 같이 마스크(200)가 형성된다.
이하, 도 11을 참조하면, 적층형 마스크(250)의 단면도가 하나 이상의 실시예에 따라 예시되어 있다. 적측형 마스크(250)는 2단 패턴을 포함한다. 적층형 마스크(250)는 도 4에 도시된 바와 같이 방법(400)에 의해 제조될 수 있다. 적층형 마스크(250)는 도 10에 도시된 적층형 마스크(200)와 유사하다. 적층형 마스크(250)는 저열팽창 재료(LTEM) 기판(212), 제1 흡수체층(214), 스페이서층(216), 제2 흡수체층(218), 제1 탑코트층(252), 및 제2 탑코트층(254)을 포함한다. 흡수체층 및 스페이서층 외에, 탑코트층이 반사 방지, 흡수체층의 보호, 또는 차동 에칭 정지 등의 다양한 목적을 위해 흡수체층 위에 증착된다.
도 11에 도시된 바와 같이, 제1 흡수체층(214)이 LTEM 기판(212) 위에 증착된다. 제1 탑코트층(252)은 제1 흡수체층(214) 위에 증착된다. 제1 스페이서층(216)이 제1 탑코트층(252) 위에 증착된다. 제2 흡수체층(218)이 제1 스페이서층(216) 위에 증착된다. 제2 탑코트층(254)이 제2 흡수체층(218) 위에 증착된다. 몇몇 실시예에서, 제1 탑코트층(252) 또는 제2 탑코트층(254)은 Cr계 화합물, Ta계 화합물, 및 Si계 화합물을 포함할 수 있다. Cr계 화합물은 CrN, CrON, 또는 CrO를 포함한다. Ta계 화합물은 TaO 또는 TaON을 포함할 수 있다. Si계 화합물은 SiO, SiO2, SiN 또는 SiON을 포함한다.
이하, 도 12 내지 도 17을 참조하면, 방법(400)을 이용하여 적층형 마스크(270)를 형성하는 단면도가 하나 이상의 실시예에 따라 예시되어 있다. 도 12에 도시된 바와 같이, 제1 흡수체층(214)이 LTEM 기판(212) 위에 증착되고, 에칭 정지층(272)이 제1 흡수체층(214) 위에 증착되며, 제1 스페이서층(216)이 에칭 정지층(272) 위에 증착되고, 제2 흡수체층(218)이 제1 스페이서층(216) 위에 증착된다. 증착 후에, 제1 레지스트 필름(242)이 제2 흡수체층(218) 상에 증착된다. 노출, 현상, 및 에칭 프로세스 후에, 패터닝된 제2 흡수체층(218)이 도 13에 도시된 바와 같이 제1 스페이서층(216) 상에 형성된다. 제1 레지스트 필름(242)이 박리된 후에, 패터닝된 제2 흡수체층(218)을 하드마스크로서 이용하여 에칭 프로세스가 적용되어 도 14에 도시된 바와 같이 적층형 마스크(270; 2단 적층형 마스크)의 제1단 패턴을 형성한다. 제2 레지스트 필름(244)이 도 15에 도시된 바와 같이 적층형 마스크(270)의 제1단 패턴에 부착된다. 노출 및 현상 후에, 도 16에 도시된 바와 같이 패터닝된 제2 레지스트 필름(244)이 형성된다. 에칭, 박리, 및 세정 후에, 방법(400)을 이용하여 도 17에 도시된 바와 같이 적층형 마스크(270)가 형성된다.
몇몇 실시예에서, 에칭 정지층(272)은 차동 에칭을 용이하게 하도록 제1 흡수체층(214)과 제1 스페이서층(216) 사이에 추가된다. 에칭 정지층(272)은 흡수체/스페이서 제거의 에칭 환경에서 훨씬 느린 에칭 속도를 갖는다. 에칭 정지층(272)은 상이한 에칭 환경들에 대해 상이하다. CHF3, CF4 및/또는 SF6이 흡수체인 MoSi, MoSiN, MoSiON, TaN, TaON, TaB, TaBN, TaHfN, TaHf, TaSi, TaSiN, TaGe, TaGeN, TaZrN, 또는 TaZr을 에칭하는 에칭 가스로서 사용될 때에, 에칭 정지 재료는 Cr, CrN, CrO, CrON Ta, TaN, 및/또는 TaBN이다. 이들 에칭 정지 재료는 Cl2에칭 가스에 의해 제거될 수 있다. Cl2가 흡수체인 Cr, CrN, CrO, CrON Ta, TaN, 또는 TaBN을 위한 에칭 가스로서 사용될 때에, 에칭 정지 재료는 MoSi, MoSiN, MoSiON, TaN, TaON, TaB, TaBN, TaHfN, TaHf, TaSi, TaSiN, TaGe, TaGeN, TaZrN, 및/또는 TaZr이다. 이들 에칭 정지층 재료는 CHF3, CF4 및/또는 SF6에 의해 제거될 수 있다. CHF3, CF4 및/또는 SF6이 흡수체인 MoSi, MoSiN, MoSiON, TaN, TaON, TaB, TaBN, TaHfN, TaHf, TaSi, TaSiN, TaGe, TaGeN, TaZrN, 및/또는 TaZr을 위한 에칭 가스로서 사용될 때에, 에칭 정지 재료는 Cr, CrN, CrO, CrON Ta, TaN, 또는 TaBN이다.
이하, 도 18 내지 도 23을 참조하면, 방법(400)을 이용하여 적층형 마스크(300; 3단 적층형 마스크)를 형성하는 단면도가 하나 이상의 실시예에 따라 예시되어 있다. 도 18에 도시된 바와 같이, 제1 흡수체층(214)이 LTEM 기판(212) 위에 증착되고, 제1 스페이서층(216)이 제1 흡수층(214) 위에 증착되며, 제2 흡수체층(218)이 제1 스페이서층(216) 위에 증착되고, 제2 스페이서층(208)이 제2 흡수체층(218) 위에 증착되며, 제3 흡수체층(308)이 제2 스페이서층(306) 위에 증착된다. 도 10에 도시된 적층형 마스크(200)과 비교하면, 마스크(300)에서는 제2 스페이서층(306) 상에 증착된 제2 스페이서층(306)과 제3 흡수체층(308)이 제2 흡수체층(218) 위에 추가된다. 몇몇 실시예에서, 마스크(200)에서의 흡수층과 스페이서층에 사용된 재료는 또한 마스크(300)에서 흡수층과 스페이서층에 각각 사용될 수 있다.
도 18에 도시된 바와 같이, 코팅, 노출, 및 현상 프로세스 후에, 제1 레지스트 필름(242)이 제3 흡수체층(308) 상에 부착되어 패터닝된 제1 레지스트 필름(242)을 형성한다. 에칭, 박리 및 세정 프로세스 후에, 마스크(300)의 제1단 패턴이 도 19에 도시된 바와 같이 형성된다. 도 20에 도시된 바와 같이, 코팅, 노출, 및 현상 프로세스 후에 제2 레지스트 필름(244)이 마스크(300)의 제1단 패턴 위에 부착되어 패터닝된 제2 레지스트 필름(244)을 형성한다. 에칭, 박리 및 세정 프로세스 후에, 마스크(300)의 제2단 패턴이 도 21에 도시된 바와 같이 형성된다. 도 22에 도시된 바와 같이, 코팅, 노출, 및 현상 프로세스 후에 제3 레지스트 필름(246)이 마스크(300)의 제2단 패턴 위에 부착되어 패터닝된 제3 레지스트 필름(246)을 형성한다. 에칭, 박리 및 세정 프로세스 후에, 마스크(300)의 제3단 패턴이 도 23에 도시된 바와 같이 형성된다. 몇몇 실시예에서, 에칭 정지층은 방법(400)을 이용하여 적층형 마스크(300)을 형성하는 데에 사용될 수 있다.
이하, 도 24를 참조하면, 방법(400)에 의해 제조되는 적층형 마스크(350)의 단면도가 하나 이상의 실시예에 따라 예시되어있다. 적층형 마스크(350)는 적층형 마스크(300)와 유사하다. 적층형 마스크(350)는 저열팽창 재료(LTEM) 기판(212), 제1 흡수체층(214), 제1 스페이서층(216), 제2 흡수체층(218), 제2 스페이서층(306), 제3 흡수체층(308), 제1 탑코트층(352), 제2 탑코트층(354), 및 제3 탑코트층(356)을 포함한다. 흡수체층 및 스페이서층 외에, 반사 방지, 흡수체층의 보호, 또는 차동 에칭 정지 등의 다양한 목적을 위해 흡수체층 위에 탑코트층이 추가된다. 적층형 마스크(300)의 탑코트층에 사용되는 재료가 또한 마스크(350)의 탑코트층에 사용될 수 있다.
도 24에 도시된 바와 같이, 제1 흡수체층(214)이 LTEM 기판(212) 위에 증착된다. 제1 탑코트층(352)이 제1 흡수체층(214) 위에 증착된다. 제1 스페이서층(216)이 제1 탑코트층(352) 위에 증착된다. 제2 흡수체층(218)이 제1 스페이서층(216) 위에 증착된다. 제2 탑코트층(354)이 제2 흡수체층(218) 위에 증착된다. 제2 스페이서층(306)이 제2 탑코트층(354) 위에 증착된다. 제3 흡수체층(308)이 제2 스페이서층(306) 위에 증착된다. 제3 탑코트층(356)이 제3 흡수체층(308) 위에 증착된다.
따라서, 본 명세서에 개시된 실시예는 마스크를 설명한다. 마스크는 저열팽창 재료(LTEM; low thermal expansion material) 기판, 적어도 2개의 흡수체층, 및 2개의 흡수체층을 분리시키는 스페이서층을 포함한다. 제1 흡수체층은 LTEM 기판 위에 증착된다. 마스크는 흡수체층 위에 탑코트(topcoat)층을 더 포함한다. 스페이서층의 두께는 웨이퍼 기판 상의 토포그라피 피처(topography feature)의 높이에 대물 렌즈의 반배율(demagnification)의 제곱을 곱한 것과 거의 동등하다. 흡수체층은 단차형 패턴(staged pattern)을 포함한다. LTEM 기판은 용융 실리카, 용융 석영, 불화칼슘(CaF2), 실리콘 탄화물, 또는 실리콘 산화물-티타늄 산화물 합금을 포함한다. 흡수체층은, 크롬, 크롬 화합물, 몰리브덴, 몰리브덴 화합물, 탄탈 또는 탄탈 화합물을 포함한다. 스페이서층은 MoSi계 화합물, Si계 화합물, Ta계 화합물, 실리콘 화합물 또는 지르코늄, 바륨, 란탄, 알루미늄, 나트륨, 인, 황, 셀레늄, 또는 텔루르가 도핑된 실리콘 산화물을 포함한다. 탑코트층은 크롬, 크롬 화합물, 몰리브덴, 몰리브덴 화합물, 탄탈 또는 탄탈 화합물을 포함한다.
본 개시는 또한 마스크의 제조 방법을 설명한다. 방법은 저열팽창 재료(LTEM) 기판을 수용하는 단계, LTEM 기판 위에 제1 흡수체층을 증착하는 단계, 제1 흡수체층 위에 제1 스페이서층을 증착하는 단계, 제1 스페이서층 위에 제2 흡수체층을 증착하는 단계, 및 마스크에 단차형 흡수체층 패턴을 형성하는 단계를 포함한다. 방법은 제2 흡수체층 위에 제2 스페이서층을 증착하는 단계를 더 포함한다. 방법은 흡수체층 위에 탑코트층을 증착하는 단계를 더 포함한다. 단차형 흡수체층 패턴을 형성하는 단계는, LTEM 기판 상에 레지스트 필름을 코팅하는 단계, 레지스트 필름을 노출시키는 단계, 노출된 레지스트 필름을 현상하여 LTEM 기판 상에 레지스트 패턴을 형성하는 단계, 및 레지스트 패턴을 갖는 LTEM 기판을 에칭하여 LTEM 기판 상에 단차형 패턴을 형성하는 단계를 포함한다. 레지스트 필름을 노출시키는 단계는 마스크 라이터를 이용하여 레지스트 필름에 패턴을 기록하는 단계를 포함한다. 단차형 패턴을 형성하는 단계는 단차형 패턴의 형성을 적어도 2회 수행하여 제1단 패턴과 제2단 패턴을 형성하는 단계를 포함한다. 방법은 제2단 패턴을 제1단 패턴에 정렬시키는 단계를 더 포함한다. 방법은 마스크 검사 툴을 이용하여 결함에 대해 단차형 패턴을 검사하는 단계를 더 포함한다. 방법은 결함을 정정하는 단계를 더 포함한다.
전술한 내용은 당업자가 본 개시의 양태를 더욱 잘 이해할 수 있도록 여러 개의 실시예들의 특징을 개설하고 있다. 당업자라면 본 명세서에서 소개된 실시예들의 동일한 목적을 수행하고 및/또는 동일한 이점을 달성하기 위해 다른 프로세스 및 구조를 설계 또는 수정하기 위한 기초로서 본 개시를 쉽게 이용할 수 있다는 것을 알아야 한다. 당업자라면 또한 그러한 균등한 구성이 본 개시의 사상 및 범위로부터 벗어나지 않고, 본 개시의 사상 및 범위로부터 벗어남이 없이 본 명세서에 다양한 변화, 대체 및 변경이 이루어질 수 있다는 것을 알아야 한다

Claims (10)

  1. 웨이퍼 기판 상에 토포그라피 피처(topography feature)를 형성하기 위한 적어도 하나의 마스크 패턴을 포함하는 마스크에 있어서, 상기 적어도 하나의 마스크 패턴 각각은,
    저열팽창 재료(LTEM; low thermal expansion material) 기판;
    제1 흡수체층과 제2 흡수체층을 포함하는 적어도 2개의 흡수체층들로서, 상기 제1 흡수체층은 상기 LTEM 기판 위에 증착되는 것인, 상기 적어도 2개의 흡수체층들; 및
    상기 제1 및 제2 흡수체층들을 분리시키는 스페이서층을 포함하고,
    상기 흡수체층들은 단차형 패턴(staged pattern)들을 포함하는 것인, 마스크.
  2. 마스크에 있어서,
    저열팽창 재료(LTEM; low thermal expansion material) 기판;
    제1 흡수체층과 제2 흡수체층을 포함하는 적어도 2개의 흡수체층들로서, 상기 제1 흡수체층은 상기 LTEM 기판 위에 증착되는 것인, 상기 적어도 2개의 흡수체층들;
    상기 제1 및 제2 흡수체층들을 분리시키는 스페이서층; 및
    상기 제1 흡수체층 또는 상기 제2 흡수체층 중 적어도 하나 위의 탑코트(topcoat)층을 포함하고,
    상기 흡수체층들은 단차형 패턴(staged pattern)들을 포함하는 것인, 마스크.
  3. 삭제
  4. 적층형 마스크에 있어서,
    저열팽창 재료(LTEM; low thermal expansion material) 기판;
    제1 흡수체층과 제2 흡수체층을 포함하는 적어도 2개의 흡수체층들로서, 상기 제1 흡수체층은 상기 LTEM 기판 위에 증착되는 것인, 상기 적어도 2개의 흡수체층들; 및
    상기 2개의 흡수체층들을 분리시키는 스페이서층으로서, 상기 스페이서층의 두께는 웨이퍼 기판 상의 토포그라피 피처(topography feature)의 높이에 대물 렌즈의 반배율(demagnification)의 제곱을 곱한 것과 동등한 것인, 상기 스페이서층
    을 포함하는 적층형 마스크.
  5. 제4항에 있어서,
    상기 제1 흡수체층 위에, 상기 제2 흡수체층 위에, 또는 이들 양 층들 위에 탑코트층을 더 포함하는 것인 적층형 마스크.
  6. 웨이퍼 기판 상에 토포그라피 피처(topography feature)를 형성하기 위한 적어도 하나의 마스크 패턴을 포함하는 마스크를 제조하는 방법에 있어서,
    저열팽창 재료(LTEM; low thermal expansion material) 기판을 수용하는 단계;
    상기 LTEM 기판 위에 제1 흡수체층을 증착하는 단계;
    상기 제1 흡수체층 위에 제1 스페이서층을 증착하는 단계;
    상기 제1 스페이서층 위에 제2 흡수체층을 증착하는 단계; 및
    i) 상기 제1 흡수체층, 상기 제1 스페이서층 및 상기 제2 흡수체층 모두, ii) 상기 제1 스페이서층 및 상기 제2 흡수체층 모두, 또는 iii) 상기 제2 흡수체층을 패터닝함으로써 상기 마스크의 단차형 흡수체층 패턴들을 형성하는 단계
    를 포함하는 마스크 제조 방법.
  7. 마스크를 제조하는 방법에 있어서,
    저열팽창 재료(LTEM; low thermal expansion material) 기판을 수용하는 단계;
    상기 LTEM 기판 위에 제1 흡수체층을 증착하는 단계;
    상기 제1 흡수체층 위에 제1 스페이서층을 증착하는 단계;
    상기 제1 스페이서층 위에 제2 흡수체층을 증착하는 단계;
    상기 제2 흡수체층 위에 제2 스페이서층을 증착하는 단계; 및
    i) 상기 제1 흡수체층, 상기 제1 스페이서층, 상기 제2 흡수체층 및 상기 제2 스페이서층 모두, ii) 상기 제1 스페이서층, 상기 제2 흡수체층 및 상기 제2 스페이서층 모두, iii) 상기 제2 흡수체층 및 상기 제2 스페이서층 모두, 또는 iv) 상기 제2 스페이서층을 패터닝함으로써 상기 마스크의 단차형 흡수체층 패턴들을 형성하는 단계를 더 포함하는 것인 마스크 제조 방법.
  8. 마스크를 제조하는 방법에 있어서,
    저열팽창 재료(LTEM; low thermal expansion material) 기판을 수용하는 단계;
    상기 LTEM 기판 위에 제1 흡수체층을 증착하는 단계;
    상기 제1 흡수체층 위에 제1 스페이서층을 증착하는 단계;
    상기 제1 스페이서층 위에 제2 흡수체층을 증착하는 단계; 및
    i) 상기 제1 흡수체층, 상기 제1 스페이서층 및 상기 제2 흡수체층 모두, ii) 상기 제1 스페이서층 및 상기 제2 흡수체층 모두, 또는 iii) 상기 제2 흡수체층을 패터닝함으로써 상기 마스크의 단차형 흡수체층 패턴들을 형성하는 단계를 포함하고,
    상기 단차형 흡수체층 패턴들을 형성하는 단계는, 상기 LTEM 기판 상에 레지스트 필름을 코팅하는 단계, 상기 레지스트 필름을 노출시키는 단계, 상기 LTEM 기판 상에 레지스트 패턴을 형성하도록 상기 노출된 레지스트 필름을 현상하는 단계, 및 상기 LTEM 기판 상에 단차형 흡수체층 패턴들을 형성하기 위해 상기 레지스트 패턴을 이용하여, i) 상기 제1 흡수체층, 상기 제1 스페이서층 및 상기 제2 흡수체층 모두, ii) 상기 제1 스페이서층 및 상기 제2 흡수체층 모두, 또는 iii) 상기 제2 흡수체층이 패터닝되도록 i) 상기 제1 흡수체층, 상기 제1 스페이서층 및 상기 제2 흡수체층 모두, ii) 상기 제1 스페이서층 및 상기 제2 흡수체층 모두, 또는 iii) 상기 제2 흡수체층을 에칭하는 단계를 포함하는 것인, 마스크 제조 방법.
  9. 마스크를 제조하는 방법에 있어서,
    저열팽창 재료(LTEM; low thermal expansion material) 기판을 수용하는 단계;
    상기 LTEM 기판 위에 제1 흡수체층을 증착하는 단계;
    상기 제1 흡수체층 위에 제1 스페이서층을 증착하는 단계;
    상기 제1 스페이서층 위에 제2 흡수체층을 증착하는 단계; 및
    i) 상기 제1 흡수체층, 상기 제1 스페이서층 및 상기 제2 흡수체층 모두, ii) 상기 제1 스페이서층 및 상기 제2 흡수체층 모두, 또는 iii) 상기 제2 흡수체층을 패터닝함으로써 상기 마스크의 단차형 흡수체층 패턴들을 형성하는 단계; 및
    마스크 검사 툴(tool)을 이용하여 결함에 대해 상기 단차형 흡수체층 패턴들을 검사하는 단계를 포함하고, 상기 단차형 흡수체층 패턴들을 검사하는 단계는 상기 결함을 정정(fix)하는 단계를 포함하는 것인 마스크의 제조 방법.
  10. 웨이퍼 기판 상에 레지스트 패턴을 형성하기 위한 방법에 있어서,
    웨이퍼 기판을 제공하는 단계; 및
    상기 웨이퍼 기판 상에 토포그라피를 형성하기 위한 적어도 하나의 마스크 패턴을 포함하는 마스크를 이용하여 상기 웨이퍼 기판 상에 레지스트 패턴을 형성하는 단계를 포함하고, 상기 적어도 하나의 마스크 패턴 각각은,
    저열팽창 재료(low thermal expansion material; LTEM) 기판;
    제1 흡수체층과 제2 흡수체층을 포함하는 적어도 두 개의 흡수체층들로서, 상기 제1 흡수체층은 상기 LTEM 기판 위에 증착되는 것인, 상기 적어도 두 개의 흡수체층들; 및
    상기 제1 및 제2 흡수체층들을 분리시키는 스페이서층을 포함하는 것인, 마스크의 제조 방법.
KR1020130068236A 2012-12-20 2013-06-14 적층형 마스크 KR101539499B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/722,646 US8906583B2 (en) 2012-12-20 2012-12-20 Stacked mask
US13/722,646 2012-12-20

Publications (2)

Publication Number Publication Date
KR20140080402A KR20140080402A (ko) 2014-06-30
KR101539499B1 true KR101539499B1 (ko) 2015-07-24

Family

ID=50954245

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020130068236A KR101539499B1 (ko) 2012-12-20 2013-06-14 적층형 마스크

Country Status (4)

Country Link
US (1) US8906583B2 (ko)
KR (1) KR101539499B1 (ko)
CN (1) CN103885284B (ko)
TW (1) TWI495950B (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102286886B1 (ko) * 2014-11-18 2021-08-09 삼성디스플레이 주식회사 포토 마스크 및 이의 제조 방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030068563A1 (en) * 2000-06-23 2003-04-10 Hong Ji-Suk Method for forming a phase-shifting mask for semiconductor device manufacture
US20040265706A1 (en) * 1999-04-16 2004-12-30 Applied Materials, Inc. Method of extending the stability of a photoresist during direct writing of an image
WO2010007955A1 (ja) * 2008-07-14 2010-01-21 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランク、および、euvリソグラフィ用反射型マスク
US8679706B2 (en) * 2012-07-30 2014-03-25 Intel Corporation Photomask processing techniques

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6727047B2 (en) * 1999-04-16 2004-04-27 Applied Materials, Inc. Method of extending the stability of a photoresist during direct writing of an image upon the photoresist
US6852454B2 (en) * 2002-06-18 2005-02-08 Freescale Semiconductor, Inc. Multi-tiered lithographic template and method of formation and use
US20110159411A1 (en) * 2009-12-30 2011-06-30 Bennett Olson Phase-shift photomask and patterning method
JP5653888B2 (ja) * 2010-12-17 2015-01-14 Hoya株式会社 マスクブランク、転写用マスク、転写用マスクの製造方法、及び半導体デバイスの製造方法
JP5648558B2 (ja) * 2011-03-30 2015-01-07 凸版印刷株式会社 反射型マスクブランク、及び反射型マスクブランクの製造方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040265706A1 (en) * 1999-04-16 2004-12-30 Applied Materials, Inc. Method of extending the stability of a photoresist during direct writing of an image
US20030068563A1 (en) * 2000-06-23 2003-04-10 Hong Ji-Suk Method for forming a phase-shifting mask for semiconductor device manufacture
WO2010007955A1 (ja) * 2008-07-14 2010-01-21 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランク、および、euvリソグラフィ用反射型マスク
US8679706B2 (en) * 2012-07-30 2014-03-25 Intel Corporation Photomask processing techniques

Also Published As

Publication number Publication date
TWI495950B (zh) 2015-08-11
CN103885284A (zh) 2014-06-25
US8906583B2 (en) 2014-12-09
TW201426164A (zh) 2014-07-01
KR20140080402A (ko) 2014-06-30
CN103885284B (zh) 2018-01-05
US20140178804A1 (en) 2014-06-26

Similar Documents

Publication Publication Date Title
US9213232B2 (en) Reflective mask and method of making same
US8785084B2 (en) Method for mask fabrication and repair
US9310675B2 (en) Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof
US12001132B2 (en) Protection layer on low thermal expansion material (LTEM) substrate of extreme ultraviolet (EUV) mask
US11740547B2 (en) Method of manufacturing extreme ultraviolet mask with reduced wafer neighboring effect
JP2022009220A (ja) 反射型マスクブランク、反射型マスクの製造方法、及び半導体装置の製造方法
US8021806B2 (en) Photomask blank, photomask, and methods of manufacturing the same
US9651857B2 (en) Mask and method for forming the same
US7074527B2 (en) Method for fabricating a mask using a hardmask and method for making a semiconductor device using the same
US8492054B2 (en) Mechanisms for patterning fine features
CN104049455A (zh) 极紫外光(euv)光掩模及其制造方法
CN112305856B (zh) 极紫外光微影光罩与图案化半导体晶圆的方法
US20240069431A1 (en) Method of manufacturing photo masks
US9995999B2 (en) Lithography mask
KR101539499B1 (ko) 적층형 마스크
JP6800779B2 (ja) 転写用マスクの製造方法、および半導体デバイスの製造方法
KR20240031182A (ko) 포토 마스크를 제조하는 방법
US20230386838A1 (en) Euv photomask and related methods
US20240053669A1 (en) Euv photo masks and manufacturing method thereof
CN117348330A (zh) 制造光掩模的方法
JP2019040149A (ja) マスクブランク、マスクブランクの製造方法、および転写用マスクの製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20180711

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20190710

Year of fee payment: 5