TW201412404A - 具有邊緣至中心氣體輸送之雙充氣部軸對稱噴淋頭 - Google Patents

具有邊緣至中心氣體輸送之雙充氣部軸對稱噴淋頭 Download PDF

Info

Publication number
TW201412404A
TW201412404A TW102122169A TW102122169A TW201412404A TW 201412404 A TW201412404 A TW 201412404A TW 102122169 A TW102122169 A TW 102122169A TW 102122169 A TW102122169 A TW 102122169A TW 201412404 A TW201412404 A TW 201412404A
Authority
TW
Taiwan
Prior art keywords
panel
gas distribution
channel
semiconductor processing
central axis
Prior art date
Application number
TW102122169A
Other languages
English (en)
Other versions
TWI604893B (zh
Inventor
Shambhu N Roy
Vincent E Burkhart
Natan Solomon
Sanjay Gopinath
Kaihan Abidi Ashtiani
Schravendijk Bart Van
Jason Stevens
Dhritiman Subha Kashyap
David Cohen
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of TW201412404A publication Critical patent/TW201412404A/zh
Application granted granted Critical
Publication of TWI604893B publication Critical patent/TWI604893B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

提供一種用於半導體處理操作之雙充氣部噴淋頭。該噴淋頭可包含一面板,該面板具有兩組氣體分佈孔,每一組乃藉由個別的充氣部加以饋送。其中一組氣體分佈孔可為該噴淋頭之該面板中的穿孔,並且可允許困在該面板與一電漿罩之間的氣體朝向一晶圓流動。另一組氣體分佈孔可將途經該面板中之通路或通道的氣體朝向該晶圓分佈。該面板中的該等通路或通道可包含放射狀通道以及環狀通道,並且可從繞著該面板之周邊的一環狀氣體分佈通道而加以饋送。

Description

具有邊緣至中心氣體輸送之雙充氣部軸對稱噴淋頭
本發明係關於一種雙充氣部噴淋頭。
半導體處理工具經常在處理期間使用被稱為「噴淋頭」的元件來分佈製程氣體而使其遍佈於半導體基板。此種噴淋頭可包含在處理期間面向此基板的面板,以及可分佈而遍及此面板的若干氣體分佈孔,以促進從此噴淋頭內到此基板的氣體輸送。
在某些實施例中,提供一種用於半導體處理噴淋頭的面板。此面板可包含具有一外表面、一頂表面、一底表面、以及一中心軸的一容積。此頂表面與此底表面可部分地限制此容積、兩者實質上可相互平行與偏移、以及實質上可以此中心軸為中心並且與其垂直。此外表面可在相對於此中心軸的一徑向上至少部分地限制此容積。此面板亦可包含在此容積內從此外表面朝向此中心軸延伸的複數第一通道以及複數第一氣體分佈孔,每一個第一氣體分佈孔係在此容積內與其中一或多個第一通道流體連接並且延伸穿過此底表面而不延伸穿過此頂表面。此面板亦可包含複數第二氣體分佈孔,每一個第二氣體分佈孔係延伸穿過此頂表面與此底表面而不在此容積內與第一通道流體連接。
在某些實施例中,此面板可進一步包含位於此容積內的複數第二通道。每一個第二通道係在相對於此中心軸的一實質圓周方向上行進,並且可在此容積內與至少一第一通道流體連接。在某些此種實施例中,第二 通道可為以此中心軸為中心的同心環狀通道。每一組相鄰第二通道之間的徑向間距亦可實質上相同。
在某些實施例中,兩個以上的第一通道可以不同的量朝向此中心軸而延伸到此容積內。在某些實施例中,第一通道可沿著實質徑向路徑朝向此中心軸而延伸到此容積內。
在某些面板實施例中,每一個第二氣體分佈孔與該頂表面相交之處以及與該底表面相交之處可被斜裁(chamfered)。
在某些實施例中,第一通道可具有沿著其長度的第一平均橫向截面積,第二通道可具有沿著其長度的第二平均橫向截面積,以及第一平均橫向截面積可實質大於第二平均橫向截面積。在某些此種實施例中,第一平均橫向截面積可大於第二平均橫向截面積至少80%。
在某些實施例中,此面板可包含緊鄰此外表面的一或多個氣體分佈通道,每一個氣體分佈通道被設置成與一或多個氣體饋送入口流體連接。在某些進一步的實施例中,第一通道的每一者可透過第一通道的過渡區域而與一或多個氣體分佈通道的其中一者流體連接。在某些此種實施例中,每一個第一通道之過渡區域的橫向截面積可實質小於第一通道的平均橫向截面積。在某些面板實施例中,每一個過渡區域的橫向截面積可小於往過渡區域之第一通道之橫向截面積的15%。
在某些實施例中,此面板可包含24個第一通道,並且可以實質相等的間距使第一通道繞著此中心軸而排列成一放射狀圖案。
在某些實施例中,複數第一氣體分佈孔與複數第二氣體分佈孔可分佈而遍及此底表面的一實質圓形區域,此實質圓形區域具有標稱半徑R。在第一通道之第一子群組中的第一通道係自此中心軸而於R之0%與20%間的距離上終止,在第一通道之第二子群組中的第一通道係自此中心軸而於R之15%與35%間的距離上終止,在第一通道之第三子群組中的第一通道係自此中心軸而於R之30%與50%間的距離上終止。可以實質相等的間距使第一子群組中的第一通道繞著此中心軸而排列成一放射狀圖案,可以實質相等的間距使第二子群組中的第一通道繞著此中心軸而排列成一放射狀圖案,以及可以實質相等的間距使第三子群組中的第一通道繞著此中心軸而排列成一放射狀圖案。
在某些實施例中,每一個過渡區域的橫向截面積可小於下述計算出之氣體分佈通道之橫向截面積的10%,該氣體分佈通道係與過渡區域流體連接,其橫向截面積乃乘以與該氣體分佈通道流體連接之第一通道的數量並除以與氣體分佈通道流體連接之氣體饋送入口的數量。
在某些面板實施例中,第一氣體分佈孔可分佈成遍及此底表面之實質均勻密度的一圖案,以及第二氣體分佈孔可分佈成遍及此底表面之實質均勻密度的一圖案。
在某些實施例中,此面板係由第一元件以及第二元件所構成,第一元件包含此頂表面以及與此頂表面相對的元件底表面,第二元件包含此底表面以及與此底表面相對的元件頂表面。此元件底表面與此元件頂表面可嚙合在一起,第一通道可由此元件頂表面中的特徵部、此元件底表面中的特徵部、或此元件頂表面與此元件底表面兩者中的特徵部所形成,以及第一氣體分佈孔可形成在第二元件中並且延伸穿過此元件頂表面。
在某些實施例中,第一元件與第二元件可包含促進螺紋扣合件的使用而將第一元件夾固至第二元件的特徵部,以使此元件底表面與此元件頂表面嚙合。在某些其他實施例中,此元件底表面與此元件頂表面可接合在一起。
在以下隨附圖式與說明內容中提出本說明書所述之申請標的之一或多個實施例的細節。其他的特徵、樣態、以及優點可從說明內容、圖式、以及請求項而更顯明白。吾人注意到下列圖式的相對尺寸可能並非按照比例來繪製,除非具體表明其為按比例所繪製的圖式。
100‧‧‧雙充氣部噴淋頭
102‧‧‧電漿罩
104‧‧‧遠端電漿源安裝位置
106‧‧‧晶圓支座
108‧‧‧保護環
110‧‧‧面板
111‧‧‧介接板
112‧‧‧面板上半部
114‧‧‧面板下半部
116‧‧‧螺栓
118‧‧‧安裝環
120‧‧‧氣體饋送入口
122‧‧‧氣體饋送入口O形環
124‧‧‧面板O形環
126‧‧‧中心軸
128‧‧‧頂表面
130‧‧‧底表面
132‧‧‧第一氣體分佈孔
134‧‧‧第二氣體分佈孔
136‧‧‧氣體分佈通道
138‧‧‧第一通道
140‧‧‧第二通道
142‧‧‧第一子群組
144‧‧‧第二子群組
146‧‧‧第三子群組
148‧‧‧過渡區域
150
152‧‧‧氣流
154‧‧‧餡餅楔形物
156‧‧‧環狀區段
158‧‧‧環狀區段
620‧‧‧氣體饋送入口
636‧‧‧氣體分佈通道
638‧‧‧第一通道
720‧‧‧氣體饋送入口
736‧‧‧氣體分佈通道
738‧‧‧第一通道
820‧‧‧氣體饋送入口
836‧‧‧氣體分佈通道
838‧‧‧第一通道
920‧‧‧氣體饋送入口
936‧‧‧氣體分佈通道
938‧‧‧第一通道
940‧‧‧第二通道
1010‧‧‧面板
1034‧‧‧第二氣體分佈孔
1060‧‧‧自由基通道路徑
1062‧‧‧斜面
圖1描繪雙充氣部噴淋頭與晶圓支座之一範例的等角視圖。
圖2描繪圖1之示範雙充氣部噴淋頭與晶圓支座的等角剖面視圖。
圖3描繪圖1之示範雙充氣部噴淋頭與晶圓支座的展開、等角剖面視圖。
圖4描繪圖2之示範雙充氣部噴淋頭之面板的等角視圖。
圖5描繪圖4之面板的等角、四分之一視圖。
圖6描繪一示範分離之氣體分佈通道的概念圖。
圖7描繪一示範傾斜狀輪輻之第一通道排列的概念圖。
圖8描繪一示範風車狀輪輻之第一通道排列的概念圖。
圖9描繪一示範分離之第二通道排列的概念圖。
圖10描繪具有斜面之一示範第二氣體分佈孔的橫剖面視圖。
圖11描繪不具有斜面之一示範第二氣體分佈孔的橫剖面視圖。
圖12描繪圖5之面板的等角、八分之一視圖。
圖13描繪具有剖面線之圖4之面板的側視圖,這些剖面線係用以說明圖15-19的剖面視圖。
圖14描繪圖4之面板的平面視圖。
圖15描繪圖4之面板位在第一深度時的移除剖面視圖。
圖16描繪圖4之面板位在第二深度時的移除剖面視圖。
圖17描繪圖4之面板位在第三深度時的移除剖面視圖。
圖18描繪具有額外註釋與不同比例之圖17的移除剖面視圖。
圖19描繪圖4之面板位在第四深度時的移除剖面視圖。
圖20描繪一示範面板的平面視圖。
圖21描繪具有藉由虛線所顯示之內部特徵部之一示範面板的平面視圖。
圖1到5以及圖12到21係按照比例所繪製的圖式,然而繪製的比例可在不同的圖式之間進行變化(無論如何,每一個圖式內的比例仍然為按照比例)。
在隨附圖式中顯示各種實施例的範例並且在下文中做進一步的說明。吾人將瞭解在此之討論並非意指將請求項限制於所述之具體實施例。相反地,其係意指涵蓋可被包含在如藉由隨附請求項所界定之本發明之精神與範圍內的替代、修改、以及等效物。在下列說明中,提及許多實施具體細節,以提供對本發明的徹底瞭解。本發明可在不具有部分或所有這些實施具體細節的情況下被加以實現。在其他情況下,為了不對本發明造成不必要的混淆,已不詳述為人所熟知的製程操作。
圖1描繪雙充氣部噴淋頭與晶圓支座之一範例的等角視圖。雖然僅可看到雙充氣部噴淋頭100的部分外部細節,但之後的圖式會揭示得更為詳細。
圖2描繪圖1之示範雙充氣部噴淋頭與晶圓支座的等角剖面視圖。吾人可觀看到電漿罩102係安裝於面板110。電漿罩102與面板110可界定出第一充氣部容積,於其中可引發電漿。電漿罩102在頂部可具有遠端電漿源安裝位置104,以允許安裝電漿產生器(未圖示)。可使用經由一或多個入口(未圖示,但其例如可途經遠端電漿源安裝位置104)導入到第一充氣部容積內的氣體來引發電漿。在圖2中亦可看到晶圓支座106與保護環108;其並非噴淋頭100的元件,而是被描繪來提供在半導體處理工具中對於晶圓(或晶圓支座)可如何設置噴淋頭100的額外背景。
圖3描繪圖1之示範雙充氣部噴淋頭與晶圓支座的展開、等角剖面視圖。可觀看到遠端電漿罩102,同樣地可觀看到面板110。在此範例中,面板110係由面板上半部112與面板下半部114(吾人應瞭解在此特定背景下,「半部」一詞實際上可不表示兩元件間的50/50關係,而是被用於更概括的意義以表示可結合構成一更大元件的兩元件-其他實施例可主要介紹單一元件的設計或者可使用多於兩個的元件來構成面板110)所構成。可使用一系列的螺栓116將面板上半部112與面板下半部114夾在一起。螺栓116可穿到介接板111內,此介接板可與面板上半部112上的凸緣嚙合。面板110可被安裝在安裝環118中。最後,亦可看到晶圓支座106以及保護環108。安裝環118可具有比保護環108之直徑稍大的直徑,以允許安裝環118滑下並圍繞保護環108或者反之亦然。
面板110、電漿罩102、以及圖3所示的其他主要元件可由鋁、陶瓷材料、或其他可與半導體處理環境相容的材料加以製造。雖然在此實施例中,所顯示之面板上半部112與面板下半部114係栓在一起,但可使用其他用以形成面板110的方法來替代。舉例而言,可例如以擴散接合(diffusion bond)或焊接(braze)將面板上半部112與面板下半部114接合在一起。
圖4描繪圖2之示範雙充氣部噴淋頭之面板的等角視圖。一或多個氣體饋送入口120可繞著面板110的周邊隔開。在此特定範例中,主要 介紹放置在面板110之相對側上的兩個氣體饋送入口120。在此情況下,每一個氣體饋送入口120係以對應的氣體饋送入口O形環122作為特徵,以在氣體饋送入口120與例如介接板111的一嚙合元件之間提供一密封介面。每一個氣體饋送入口120可與面板110內的一氣體分佈通道流體連通。
圖5描繪圖4之面板的等角、四分之一剖面視圖。中心軸126亦包含在圖4中,其用以標定面板110的標稱(nominal)中心。吾人可觀看到面板上半部112的底表面係座落在面板下半部114的頂表面上。然而,一徑向間隙係存在於面板上半部112與面板下半部114之間,其形成氣體分佈通道136。在此範例中,氣體分佈通道136為環狀並且繞著整個面板110延伸。在所示之實施例中,氣體分佈通道136可具有大約13"的內直徑以及大約13.5"的外直徑。氣體饋送入口120可與氣體分佈通道136流體連通。面板O形環124可防止來自氣體饋送入口120與氣體分佈通道136的氣體透過面板上半部112與面板下半部114之間的介面逸出。其他實施例可以數個氣體分佈通道作為特徵。舉例來說,兩-氣體饋送入口設計的一替代實施例可利用各自僅延伸175度之弧形的兩個相對、環狀氣體分佈通道,即每一個氣體饋送入口供給個別的氣體分佈通道。圖6例如描繪具有兩個氣體分佈通道636之一實施例的概念圖,每一個氣體分佈通道僅部分繞著一面板(未圖示)的圓周延伸。第一通道638的放射狀陣列係從氣體分佈通道636朝向此面板的中心延伸。氣體饋送入口620係與每一個氣體分佈通道636連接。第二通道未顯示於圖6中。
在圖5中亦可看到兩個第一通道138。第一通道138可形成在面板110內並且可在相對於中心軸126的一實質徑向上延伸,例如像是輪子上的輪輻(spokes)。其他實施例可以其他通道路徑形狀作為特徵,例如非放射狀輪輻通道或彎曲或風車狀(pinwheel)通道-然而,此種設計可共有一共同特徵,即具有一般從繞著面板110之周邊隔開的位置朝向面板110之中心軸126延伸的第一通道138。
圖7例如描繪具有第一通道738之一實施例的概念圖,這些通道係以一般方式但具有自實際與此面板之中心相交之半徑的輕微角度偏移而從環狀氣體分佈通道736朝向此面板的中心延伸。兩個氣體饋送入口720係與氣體分佈通道736連接。第二通道未顯示於圖7中。
圖8例如描繪具有風車狀-輪輻第一通道838之一實施例的概念圖,這些通道係以一般方式但沿著彎曲路徑(引起「風車狀」外觀),從環狀氣體分佈通道836朝向此面板的中心延伸。兩個氣體饋送入口820係與氣體分佈通道836連接。第二通道未顯示於圖8中。
在圖5中亦可看到12個第二通道140(未全部列舉),這些通道可形成在面板110內並且可在相對於中心軸126的一實質圓周方向上延伸。第二通道140可具有不同的標稱直徑,並且被加以隔開以使其遍佈於面板110而分佈。雖然第二通道140被顯示為延伸360度的同心環狀通道,但其他實施例可以展現其他通道路徑形狀。舉例而言,替代多個環狀第二通道140,在某些實施例中可使用以中心軸126為中心的一或多個螺旋第二通道。或者,第二通道可不在繞著中心軸126的整個圓上延伸-例如,四個具有相同標稱通道路徑半徑的個別第二通道可各自延伸85度並且可排列成均勻隔開的放射狀圖案。此可允許途經第二通道的氣體實質繞著整個360度的範圍行進,並同時使第二通道的每一個區段保持與在同一徑向位置的其他區段局部隔離。
圖9例如描繪具有放射狀輪輻第一通道938之一實施例的概念圖,這些通道係從分離的環狀氣體分佈通道936朝向此面板的中心延伸。氣體饋送入口920係與每一個氣體分佈通道936連接。此排列係類似於在圖6中所顯示者,然而,圖9亦顯示第二通道940。第二通道940被拆成多個、短的通道,以使饋送到每一個第一通道938中的氣體不會遇到饋送到位於此面板充氣部容積內之其他第一通道938中的氣體。
面板110係「雙充氣部」噴淋頭的部分。面板110可以兩個不同組的氣體分佈孔作為特徵,其各自用以排放不同的充氣部容積。舉例來說,面板110可包含第一氣體分佈孔132以及第二氣體分佈孔134。如上所述,第一充氣部容積可形成在遠端電漿罩102(未顯示在圖5中)與面板110之間。因此,第二氣體分佈孔134可允許第一充氣部容積內的氣體朝向使用面板110進行處理的晶圓逸出。第二充氣部容積可至少部分地藉由第一通道與第二通道所界定的容積加以形成。第一氣體分佈孔132可允許第二充氣部容積內的氣體朝向使用面板110進行處理的晶圓逸出。
在所示之實施例中,第一氣體分佈孔132可實質小於第二氣體分 佈孔134。舉例而言,第一氣體分佈孔132可具有大約0.02"的直徑,而第二氣體分佈孔134可具有大約0.09"的直徑。第二氣體分佈孔亦可完全延伸穿過面板110,即從頂表面128到底表面130。在所示之實施例中,頂表面128與底表面130可互相偏移大約0.725"的距離。在某些實施例中,由於可在第一充氣部容積內產生遠端電漿,所以可期望增加朝向使用面板110進行處理之晶圓釋出之自由基的數量。因此,第二氣體分佈孔134可具有在某些限制條件內被設置成盡可能使通過面板110之自由基的數量增至最大的直徑。可進一步支配第二氣體分佈孔134之直徑的部分限制條件可包含例如第二氣體分佈孔134皆可具有實質相同的標稱直徑;第二氣體分佈孔134與第一或第二通道任一者保持一間隙距離以避免潛在的通道壁破裂;以及第二氣體分佈孔134係以相對均勻的方式被分佈遍及於其所佔據之底表面130的區域,例如在所示之實施例中,第一氣體分佈孔132與第二氣體分佈孔134可被分佈遍及於與最外部的第二通道140大約相同之直徑的圓形區域。在某些實施例中,第一氣體分佈孔的密度可不同於第二氣體分佈孔的密度。舉例來說,在所示之面板110中,存在有大約600-650個第一氣體分佈孔以及1700-1800個第二氣體分佈孔。在所示之實施例中,此圓形區域可具有大約12"的直徑。其他因素亦可支配第二氣體分佈孔134的尺寸,例如流體流量條件。為了進一步增加通過面板110之自由基的數量,第二氣體分佈孔134可在一或兩側上進行斜裁(chamfered)或經歷不同的邊緣處理,以增加通過第二氣體分佈孔134之暢通視線的數量。為了避免過度的視覺干擾,僅其中一些在圖5中所示的第二氣體分佈孔134,即靠近中心軸126的第二氣體分佈孔134,被顯示具有斜裁邊緣,然而所有的第二氣體分佈孔134同樣皆可被加以斜裁。
圖10描繪具有斜面(chamfers)之一示範第二氣體分佈孔的橫剖面視圖。圖11描繪不具有斜面之一示範第二氣體分佈孔的橫剖面視圖。除了存在或不存在斜面1062以外,圖10與11所顯示的構造為相同。吾人可觀看到在此範例中,存在斜面1062可使沿著距離面板1010中之第二氣體分佈孔1034的中心軸25度內之自由基通道路徑1060行進的自由基暢通地通過第二氣體分佈孔1034。反之,不存在斜面1062則僅可使沿著距離面板1010中之第二氣體分佈孔1034的中心軸15度內之自由基通道路徑1060行 進的自由基暢通地通過第二氣體分佈孔1034。
斜面亦可用以塑造氣流形狀。舉例而言,第一氣體分佈孔132可於與底表面130相交之處被斜裁,以改造在離開第一氣體分佈孔132時的氣流。雖然本申請案之圖式所示的部分第一氣體分佈孔132可顯示此種斜裁,但其他第一氣體分佈孔132則未顯示,以避免過度的視覺干擾-無論如何,若希望的話,所有的第一氣體分佈孔132皆可以此種方式加以斜裁。
圖12描繪圖5之面板的等角、八分之一剖面視圖。顯示第一通道138,但不個別標示出關於先前圖式所述的其他特徵部。亦顯示若干氣流路徑,其係藉由白色的氣流箭頭152加以標示。吾人可觀看到氣流152係起始於此氣體饋送入口,接著流入到氣體分佈通道136內,之後流入到第一通道138內。每一個第一通道係經由過渡區域148而與氣體分佈通道136流體連接;過渡區域148可為橫向截面積實質小於第一通道138之平均截面積的第一通道138的區域。如在此關於通道所使用者,「橫向截面積」一詞係指在與此通道中之流體流動的標稱方向實質垂直之一平面上的此通道的截面積。例如,對於直、長的通道來說,可就垂直於此通道之長軸的一平面來評估橫向截面積。對於環狀通道而言,可就與此環狀通道之中心線實質重合(coincident)的一平面來評估橫向截面積。
在通過過渡區域148之後,氣流152膨脹進入到第一通道138的較大容積內並且亦沿著與第一通道138流體連通的第二通道140移動。部分的氣體流自第一氣體分佈孔132流出而朝向使用面板110進行處理的晶圓。在同時,電漿氣體/自由基可流過第二氣體分佈孔134而朝向此晶圓,然而為了避免過度的干擾而未顯示此氣流。
圖13描繪具有剖面線之圖4之面板的側視圖,這些剖面線係用以說明圖15-19的剖面視圖。這些剖面線標示出圖15-19所示之每一個剖面視圖的概略位置。圖14描繪圖4之面板的平面視圖。
圖15描繪圖4之面板位在第一深度時的移除剖面視圖。在此視圖中,可觀看到大部分的第一通道138。此係因為至少在此範例中,第一通道138係高於第二通道,即第二通道不與圖15所使用的截平面相交。吾人可觀看到第一通道138係以不同的量在徑向上朝向面板110的中心進行延伸。此圖中無法看到第一通道138的若干部分,例如看不到使第一通道138 與氣體分佈通道136連接的過渡區域148,因為其不與圖15所使用的截平面相交。在此範例中使用總數24個的第一通道138,並且可將這些通道分成多個子群組。舉例而言,第一通道138可被分成3或4個概括子群組。在第一子群組142中的第一通道138可朝向中心延伸最遠,例如延伸到位在包含所有第一氣體分佈孔132與第二氣體分佈孔134之最小圓形區域之直徑的20%內的直徑位置。在第二子群組144中的第一通道138可朝向中心延伸到位在包含所有第一氣體分佈孔132與第二氣體分佈孔134之最小圓形區域之直徑的15至35%內的直徑位置。在第三子群組146中的第一通道138可朝向中心延伸到位在包含所有第一氣體分佈孔132與第二氣體分佈孔134之最小圓形區域之直徑的30至50%內的直徑位置。這些區域被描繪在圖18中,例如餡餅楔形物(pie wedge)154顯示第一通道138的0到20%之區域,環狀區段156顯示另一第一通道138的15到35%之區域,以及另一環狀區段158顯示又另一第一通道138的30到50%之區域(圖18係具有不同於其他橫剖面視圖的比例)。在每一個子群組內的第一通道138的長度亦可存在變化。舉例來說,吾人可在圖15中觀看到,第一子群組142中的第一通道138可被劃分成兩個具有稍微不同之第一通道138長度的更進一步子群組。在整體上以及在每一個子群組內,皆可以相等的間距使第一通道138排列成一放射狀圖案。作為閱讀者的參考,顯示以10%增加的虛線圓圈,然而僅每隔一個的此種圓圈會被標記。
圖16描繪圖4之面板位在第二深度時的移除剖面視圖。在此視圖中,截平面深度係足夠深,以使第二通道140與此截平面相交。在此示範實施例中,顯示12個第二通道140。在某些情況下,第一通道138係在其與第二通道相交時終止,例如第二子群組144與第三子群組146中的第一通道138。在其他情況下,第一通道138可在超過與第二通道140相交的點上終止,例如部分的第一通道138可延伸超過最內部的第二通道140。
圖17描繪圖4之面板位在第三深度時的移除剖面視圖。在此視圖中,截平面深度係足夠深,以使第二通道140係與此截平面、以及第一通道138的過渡區域148相交。吾人可觀看到過渡區域148係使第一通道138的剩餘部分與氣體分佈通道136流體連接。過渡區域148通常可位在最外部的第二通道140與氣體分佈通道136之間。
圖19描繪圖4之面板位在第四深度時的移除剖面視圖。除了位在此深度的第一通道係稍微延伸超過最外部的第二通道140以外,此視圖係幾乎與圖16所示之剖面相同。此可使進入第一通道138的氣流152在遇到最外部的第二通道140之前從過渡區域148中的狀態稍微膨脹。
現在討論部分這些特徵部之間的各種關係。使用面板的雙充氣部噴淋頭,例如上述圖式所示之實施例,可用以提供遍佈於使用面板進行處理之晶圓的實質均勻之(來自兩充氣部之氣體的)氣流。為了提升此種均勻性,特徵部可能會限制其他特徵部的樣態。舉例而言,每一個過渡區域148的橫向截面積可被設置成小於下列計算結果的10%,該計算為將與該過渡區域148流體連接之氣體分佈通道136之橫向截面積除以與氣體分佈通道136流體連接之氣體饋送入口120的數量。另一個可被使用的限制條件係將每一個過渡區域148的橫向截面積限制在小於往過渡區域148之第一通道138之最大橫向截面積的15%。可被使用的一進一步限制條件係將每一個第一通道138的橫向截面積限制在比每一個第二通道140之橫向截面積大了至少80%。
例如,過渡區域148可各自具有大約0.0054平方吋的橫向截面積,第一通道138可各自具有大約0.057732平方吋的最大橫向截面積,以及第二通道140可各自具有大約0.0278平方吋的橫向截面積。
如同所述,第一氣體分佈孔與第二氣體分佈孔可被實質均勻分佈遍及面板在處理期間面向晶圓的面。圖20描繪面板110的平面視圖。圖21描繪具有顯示隱藏內部特徵部(例如第一通道以及第二通道)之虛線的面板110的平面視圖。吾人可觀看到第一氣體分佈孔132與第二氣體分佈孔134被實質均勻分佈遍及(至少,在設計作為噴淋頭之晶圓半徑所對應之特定區域內)面板110的面。
上述大多數圖式所顯示的實施例係用於300mm半導體晶圓。亦考量到用於更大尺寸晶圓(例如450mm晶圓)的額外實施例。此種額外實施例可遵照上述一般設計原理,但其在尺寸上會因為較大的晶圓尺寸而有所差異。吾人亦可根據於其中可使用此噴淋頭的特定應用來調整各種參數。舉例來說,若不使用自由基的話,則第二氣體分佈孔的直徑可大幅小於所述之實施例。
雖然此種雙充氣部噴淋頭已被描述成用於將來自遠端電漿源的電漿與自由基分佈在一充氣部中並且同時經由不同的一充氣部來輸送製程氣體,但此種雙充氣部噴淋頭亦可用以輸送不同氣體的其他組合。舉例而言,例如氬的清除氣體可經由第一充氣部加以輸送,而製程氣體則係經由第二充氣部加以輸送。
以上所述之設備/製程可與微影圖案化工具或製程結合而用於半導體裝置、顯示器、LEDs、光電面板等等的加工或製造。一般來說,雖然不必要,但此種工具/製程將在一共用的加工設施中一起被使用或執行。膜的微影圖案化一般包含下列部分或所有步驟(每一個步驟皆可使用若干的可行工具加以進行):(1)使用旋轉塗佈或噴塗工具在工件(即,基板)上施加光阻;(2)使用熱板或烘箱或UV硬化工具來硬化光阻;(3)以例如晶圓步進機(stepper)的工具將光阻曝露於可見光或UV光或x-光;(4)使用例如溼式工作台(wet bench)的工具來對光阻進行顯影,俾能選擇性地去除光阻進而將其圖案化;(5)藉由使用乾式或電漿輔助蝕刻工具將光阻圖案轉印到下伏膜或工件內;以及(6)使用例如RF或微波電漿光阻剝除機的工具來去除光阻。又,所揭露之設備可用於具有在所揭露之方法前或後之微影及/或圖案化程序的製程。
在某些實施例中,雙充氣部噴淋頭可安裝在反應器中,並且與具有用以控制製程操作之指令的系統控制器連結。此系統控制器一般可包含一或多個記憶裝置以及用以執行指令的一或多個處理器,俾使此設備可執行各種半導體加工程序。容納用以控制製程操作之指令的機械可讀媒體可耦合至此系統控制器。這些處理器可包含CPU或電腦,並且可包含或通信連接一或多個類比及/或數位輸入/輸出連接部、步進機馬達控制器板等等。若存在於一特定實施例中的話,此系統控制器例如可用以控制到雙充氣部噴淋頭的氣體輸送、台座的移動、自反應器排空氣體的真空口吸力、到電漿電極的功率與頻率、及/或加熱與冷卻元件。
一般可存在有與此系統控制器結合的使用者介面。此使用者介面可包含顯示螢幕、此設備及/或製程條件的圖形軟體顯示、以及使用者輸入裝置,例如指向(pointing)裝置、鍵盤、觸控螢幕、麥克風等等。此系統控制器可連接至在工具或模組中所顯示的任何或所有元件,其包含在本申請 案之圖式中所顯示者;此系統控制器的佈置與連接可基於特定的實施例而變化。
在某些實施例中,此系統控制器控制了處理腔室內的壓力。此系統控制器亦可藉由輸送系統中的調節閥、液體輸送控制器、以及MFCs以及排放管線中的流量限制閥而控制此腔室內之各種製程氣體的濃度。此系統控制器執行系統控制軟體,此軟體包含用以控制一特定製程之時序、氣體與液體之流率、腔室壓力、腔室/噴淋頭/台座/基板溫度、及/或其他參數的指令組。在某些實施例中可使用儲存於與此控制器結合之記憶裝置上的其他電腦程式。在某些實施例中,此系統控制器控制了進入與離開圖式所示之各種設備的基板運送。
可使用任何習知的電腦可讀程式化語言來編寫用以按照一製程順序來控制製程的電腦程式碼:例如組合語言、C、C++、Pascal、Fortran或其他者。藉由處理器來執行經編譯之目的碼或腳本(script),以執行在程式中所確認的工作。此系統軟體可以許多不同方式被加以設計或配置。舉例而言,可編寫各種腔室元件副程式或控制物件,以控制執行所述製程所需之腔室元件的操作。用於此目的之程式或程式部分的範例包含製程氣體控制碼、壓力控制碼、以及電漿控制碼。
控制器參數係與製程條件有關,例如每一個操作的時序、腔室內部的壓力、基板溫度、製程氣體流率、RF功率、以及上述其他者。這些參數係以配方的形式提供給使用者,並且可利用使用者介面來加以輸入。用以監視此製程的信號可藉由系統控制器的類比及/或數位輸入連接部來加以提供。在此設備之類比與數位輸出連接部上輸出用以控制此製程的信號。
雖然在此已參考隨附圖式來詳細說明數個本發明實施例,但吾人可瞭解本發明並不限於這些刻板的實施例,以及在不背離如隨附請求項所界定之本發明之精神範圍的情況下,熟習本項技藝者可在此實現各種變化與修改。
110‧‧‧面板
112‧‧‧面板上半部
114‧‧‧面板下半部
116‧‧‧螺栓
120‧‧‧氣體饋送入口
124‧‧‧面板O形環
126‧‧‧中心軸
128‧‧‧頂表面
130‧‧‧底表面
132‧‧‧第一氣體分佈孔
134‧‧‧第二氣體分佈孔
136‧‧‧氣體分佈通道
138‧‧‧第一通道
140‧‧‧第二通道

Claims (20)

  1. 一種用於半導體處理噴淋頭的面板,包含:一容積,具有一外表面、一頂表面、一底表面、以及一中心軸,其中該頂表面與該底表面:部分地限制該容積,實質上互相平行與偏移,以及實質上以該中心軸為中心並且垂直於該中心軸,以及其中該外表面在相對於該中心軸的一徑向上至少部分地限制該容積;複數第一通道,位於該容積內並從該外表面朝向該中心軸延伸;複數第一氣體分佈孔,每一個第一氣體分佈孔係在該容積內與該第一通道之其中一或多者流體連接,並且延伸穿過該底表面而不延伸穿過該頂表面;以及複數第二氣體分佈孔,每一個第二氣體分佈孔係延伸穿過該頂表面與該底表面並且不在該容積內與該第一通道流體連接。
  2. 如申請專利範圍第1項所述之用於半導體處理噴淋頭的面板,更包含:複數第二通道,位於該容積內,每一個第二通道:在相對於該中心軸的一實質圓周方向上行進,以及在該容積內與至少一第一通道流體連接。
  3. 如申請專利範圍第2項所述之用於半導體處理噴淋頭的面板,其中,該第二通道係以該中心軸為中心的同心環狀通道。
  4. 如申請專利範圍第3項所述之用於半導體處理噴淋頭的面板,其中,每一組相鄰第二通道之間的一徑向間距為實質上相同。
  5. 如申請專利範圍第1到4項其中任一項所述之用於半導體處理噴淋頭的面板,其中,兩個以上的該第一通道係以不同的量朝向該中心軸而延伸到該容積內。
  6. 如申請專利範圍第5項所述之用於半導體處理噴淋頭的面板,其中,該第一通道係沿著實質徑向路徑朝向該中心軸而延伸到該容積內。
  7. 如申請專利範圍第1到4項其中任一項所述之用於半導體處理噴淋頭的面板,其中,於該第二氣體分佈孔與該頂表面相交之處、以及該第二氣體分佈孔與該底表面相交之處,該第二氣體分佈孔中的每一個均被斜裁。
  8. 如申請專利範圍第1到4項其中任一項所述之用於半導體處理噴淋頭的面板,其中:該第一通道具有沿著其長度的一第一平均橫向截面積,該第二通道具有沿著其長度的一第二平均橫向截面積,以及該第一平均橫向截面積係實質大於該第二平均橫向截面積。
  9. 如申請專利範圍第8項所述之用於半導體處理噴淋頭的面板,其中,該第一平均橫向截面積係大於該第二平均橫向截面積至少80%。
  10. 如申請專利範圍第1到4項其中任一項所述之用於半導體處理噴淋頭的面板,更包含緊鄰該外表面的一或多個氣體分佈通道,每一個氣體分佈通道被設置成與一或多個氣體饋送入口流體連接。
  11. 如申請專利範圍第10項所述之用於半導體處理噴淋頭的面板,其中,該第一通道之每一者係透過該第一通道的一過渡區域而與該一或多個氣體分佈通道之其中一者流體連接。
  12. 如申請專利範圍第11項所述之用於半導體處理噴淋頭的面板,其中,每一個第一通道之該過渡區域的橫向截面積係實質小於該第一通道的平均橫向截面積。
  13. 如申請專利範圍第12項所述之用於半導體處理噴淋頭的面板,其中,每一該過渡區域的該橫向截面積係小於下列計算式結果的10%,該計算式為 與該過渡區域流體連接之該氣體分佈通道之該橫向截面積乘以與該氣體分佈通道流體連接之該第一通道的數量,並被除以與該氣體分佈通道流體連接之該氣體饋送入口的數量。
  14. 如申請專利範圍第13項所述之用於半導體處理噴淋頭的面板,其中,每一個過渡區域的橫向截面積係小於往該過渡區域之該第一通道之橫向截面積的15%。
  15. 如申請專利範圍第1到4項其中任一項所述之用於半導體處理噴淋頭的面板,其中:存在有24個第一通道,以實質相等的間距使該第一通道繞著該中心軸而排列成一放射狀圖案。
  16. 如申請專利範圍第15項所述之用於半導體處理噴淋頭的面板,其中:該複數第一氣體分佈孔與該複數第二氣體分佈孔係分佈遍及於該底表面的一實質圓形區域,該實質圓形區域具有一標稱半徑R,在該第一通道之一第一子群組中的該第一通道係自該中心軸而於R之0%與20%間的距離上終止,在該第一通道之一第二子群組中的該第一通道係自該中心軸而於R之15%與35%間的距離上終止,在該第一通道之一第三子群組中的該第一通道係自該中心軸而於R之30%與50%間的距離上終止,以實質相等的間距使該第一子群組中的該第一通道繞著該中心軸而排列成一放射狀圖案,以實質相等的間距使該第二子群組中的該第一通道繞著該中心軸而排列成一放射狀圖案,以及以實質相等的間距使該第三子群組中的該第一通道繞著該中心軸而排列成一放射狀圖案。
  17. 如申請專利範圍第1到4項其中任一項所述之用於半導體處理噴淋頭的面板,其中:該第一氣體分佈孔的分佈乃以一實質均勻密度的圖案而遍及該底表面,以及該第二氣體分佈孔的分佈乃以一實質均勻密度的圖案而遍及該底表面。
  18. 如申請專利範圍第1到4項其中任一項所述之用於半導體處理噴淋頭的面板,更包含:一第一元件,該第一元件包含該頂表面以及與該頂表面相對的一元件底表面;以及一第二元件,該第二元件包含該底表面以及與該底表面相對的一元件頂表面,其中:該元件底表面與該元件頂表面嚙合在一起,該第一通道係由該元件頂表面中的特徵部、該元件底表面中的特徵部、或該元件頂表面與該元件底表面兩者中的特徵部所形成,以及該第一氣體分佈孔係形成在該第二元件中並且延伸穿過該元件頂表面。
  19. 如申請專利範圍第18項所述之用於半導體處理噴淋頭的面板,其中,該第一元件與該第二元件包含特徵部,以促進螺紋扣合件的使用而將該第一元件夾固至該第二元件,而使該元件底表面與該元件頂表面嚙合。
  20. 如申請專利範圍第18項所述之用於半導體處理噴淋頭的面板,其中,該元件底表面與該元件頂表面接合在一起。
TW102122169A 2012-06-22 2013-06-21 具有邊緣至中心氣體輸送之雙充氣部軸對稱噴淋頭 TWI604893B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/531,254 US9447499B2 (en) 2012-06-22 2012-06-22 Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery

Publications (2)

Publication Number Publication Date
TW201412404A true TW201412404A (zh) 2014-04-01
TWI604893B TWI604893B (zh) 2017-11-11

Family

ID=49773591

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102122169A TWI604893B (zh) 2012-06-22 2013-06-21 具有邊緣至中心氣體輸送之雙充氣部軸對稱噴淋頭

Country Status (3)

Country Link
US (1) US9447499B2 (zh)
KR (1) KR102110610B1 (zh)
TW (1) TWI604893B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108570662A (zh) * 2017-03-08 2018-09-25 株式会社东芝 喷淋板、处理装置和喷出方法
TWI689353B (zh) * 2015-05-26 2020-04-01 美商蘭姆研究公司 用於半導體處理之設備

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
CN106884157B (zh) 2011-03-04 2019-06-21 诺发系统公司 混合型陶瓷喷淋头
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9121097B2 (en) 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US9255326B2 (en) * 2013-03-12 2016-02-09 Novellus Systems, Inc. Systems and methods for remote plasma atomic layer deposition
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US9353440B2 (en) 2013-12-20 2016-05-31 Applied Materials, Inc. Dual-direction chemical delivery system for ALD/CVD chambers
US10100408B2 (en) * 2014-03-03 2018-10-16 Applied Materials, Inc. Edge hump reduction faceplate by plasma modulation
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
KR101670382B1 (ko) * 2015-03-10 2016-10-28 우범제 퍼지가스 분사 플레이트 및 그 제조 방법
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
JP2021505766A (ja) 2017-12-08 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation 遠隔プラズマ膜蒸着を可能にするためにラジカルおよび前駆体ガスを下流チャンバに供給するための改良された孔パターンを備える統合シャワーヘッド
US20190226087A1 (en) * 2018-01-24 2019-07-25 Applied Materials, Inc. Heated ceramic faceplate
WO2019200312A1 (en) * 2018-04-13 2019-10-17 Veeco Instruments Inc. Chemical vapor deposition apparatus with multi-zone injector block
USD858192S1 (en) 2018-04-27 2019-09-03 Applied Materials, Inc. Gas distribution plate
US10472716B1 (en) 2018-05-17 2019-11-12 Lam Research Corporation Showerhead with air-gapped plenums and overhead isolation gas distributor
US11834743B2 (en) * 2018-09-14 2023-12-05 Applied Materials, Inc. Segmented showerhead for uniform delivery of multiple precursors
WO2020154162A1 (en) * 2019-01-25 2020-07-30 Mattson Technology, Inc. Post plasma gas injection in a separation grid
CN113383109A (zh) * 2019-02-01 2021-09-10 朗姆研究公司 用于具有多个充气部及气体分配室的沉积工具的喷头
JP2022551152A (ja) * 2019-10-14 2022-12-07 ラム リサーチ コーポレーション 二重プレナムフラクタルシャワーヘッド
CN111270221B (zh) * 2020-04-03 2022-07-22 北京北方华创微电子装备有限公司 半导体设备中的气体分配器和半导体设备
US20230317416A1 (en) * 2022-04-01 2023-10-05 Applied Materials, Inc. Plasma showerhead with improved uniformity

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5614026A (en) 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
US6148761A (en) * 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6635117B1 (en) 2000-04-26 2003-10-21 Axcelis Technologies, Inc. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US7296534B2 (en) 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
KR100965758B1 (ko) 2003-05-22 2010-06-24 주성엔지니어링(주) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US20050241767A1 (en) 2004-04-30 2005-11-03 Ferris David S Multi-piece baffle plate assembly for a plasma processing system
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US20060021703A1 (en) 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
KR100731164B1 (ko) 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
US7895970B2 (en) 2005-09-29 2011-03-01 Tokyo Electron Limited Structure for plasma processing chamber, plasma processing chamber, plasma processing apparatus, and plasma processing chamber component
US8268078B2 (en) 2006-03-16 2012-09-18 Tokyo Electron Limited Method and apparatus for reducing particle contamination in a deposition system
US20080156631A1 (en) 2006-12-27 2008-07-03 Novellus Systems, Inc. Methods of Producing Plasma in a Container
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US20090095222A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US20090095221A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US8110068B2 (en) 2008-03-20 2012-02-07 Novellus Systems, Inc. Gas flow distribution receptacles, plasma generator systems, and methods for performing plasma stripping processes
KR101004927B1 (ko) 2008-04-24 2010-12-29 삼성엘이디 주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
JP5222040B2 (ja) 2008-06-25 2013-06-26 東京エレクトロン株式会社 マイクロ波プラズマ処理装置
US8291857B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8147648B2 (en) 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US8419959B2 (en) * 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
WO2011044451A2 (en) 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
US8869742B2 (en) * 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US20120135609A1 (en) 2010-11-30 2012-05-31 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
CN106884157B (zh) 2011-03-04 2019-06-21 诺发系统公司 混合型陶瓷喷淋头
CN102424956B (zh) 2011-12-02 2013-07-10 彭继忠 用于金属有机化合物化学气相沉积设备的喷淋装置
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US20140235069A1 (en) 2013-02-15 2014-08-21 Novellus Systems, Inc. Multi-plenum showerhead with temperature control
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI689353B (zh) * 2015-05-26 2020-04-01 美商蘭姆研究公司 用於半導體處理之設備
CN108570662A (zh) * 2017-03-08 2018-09-25 株式会社东芝 喷淋板、处理装置和喷出方法
TWI653091B (zh) 2017-03-08 2019-03-11 日商東芝股份有限公司 噴淋板、處理裝置及噴出方法
CN108570662B (zh) * 2017-03-08 2020-11-17 株式会社东芝 喷淋板、处理装置和喷出方法

Also Published As

Publication number Publication date
US9447499B2 (en) 2016-09-20
KR102110610B1 (ko) 2020-05-14
KR20140000168A (ko) 2014-01-02
TWI604893B (zh) 2017-11-11
US20130341433A1 (en) 2013-12-26

Similar Documents

Publication Publication Date Title
TWI604893B (zh) 具有邊緣至中心氣體輸送之雙充氣部軸對稱噴淋頭
KR20170074755A (ko) 샤워헤드 어셈블리
KR102232748B1 (ko) 기판을 가공하기 위한 플라즈마 처리 장치 및 플라즈마 처리에 의해 처리된 기판의 면내 균일성을 제어하는 방법
KR102484362B1 (ko) 효과적인 혼합 및 퍼징을 위한 유입부
US9353439B2 (en) Cascade design showerhead for transient uniformity
KR101095172B1 (ko) 플라즈마 반응 챔버의 사이드 가스 인젝터
KR102374558B1 (ko) 기판 프로세싱 시스템 내의 재순환을 감소시키기 위한 칼라, 원추형 샤워헤드들 및/또는 상단 플레이트들
KR20190087608A (ko) 리모트 플라즈마 막 증착을 인에이블하도록 다운스트림 챔버로 라디칼 및 전구체 가스를 전달하기 위한 열적 제어부와 통합된 샤워헤드
TWI588888B (zh) 用於帶有邊緣、側邊及背面保護之乾蝕刻之裝置及方法
TWI661462B (zh) Plasma processing device and gas supply member
US20190145002A1 (en) Showerhead and substrate processing device including the same
TW201413040A (zh) 多區石英氣體分配設備
KR20200087267A (ko) 리모트 플라즈마 막 증착을 인에이블하도록 다운스트림 챔버로 라디칼 및 전구체 가스를 전달하기 위해 개선된 홀 패턴을 갖는 통합된 샤워헤드
KR102267923B1 (ko) 증착 장치
JP2000294538A (ja) 真空処理装置
JP2018093148A (ja) 給排気構造
KR20140028703A (ko) 배플, 배플 어셈블리, 상기 배플을 포함하는 기판 처리 장치, 그리고 상기 장치를 이용한 기판 처리 방법
JP2021532268A (ja) Cvdチャンバのためのガスボックス
JP2023113477A (ja) 基板処理装置
CN117467976B (zh) 用于气相沉积工艺腔室的上衬环、下衬环、进气衬体和内衬
JP2006165173A (ja) 半導体装置の製造装置および製造方法
US20170207102A1 (en) Semiconductor manufacturing apparatus and semiconductor manufacturing method
TW201730362A (zh) 具有氣體漏洩預防能力之旋轉夾具