TW201336668A - 壓印微影裝置及方法 - Google Patents

壓印微影裝置及方法 Download PDF

Info

Publication number
TW201336668A
TW201336668A TW102102726A TW102102726A TW201336668A TW 201336668 A TW201336668 A TW 201336668A TW 102102726 A TW102102726 A TW 102102726A TW 102102726 A TW102102726 A TW 102102726A TW 201336668 A TW201336668 A TW 201336668A
Authority
TW
Taiwan
Prior art keywords
void space
medium
patterned surface
patterned
substrate
Prior art date
Application number
TW102102726A
Other languages
English (en)
Other versions
TWI494209B (zh
Inventor
Der Tempel Leendert Van
John Frederik Dijksman
Sander Frederik Wuister
Yvonne Wendela Kruijt-Stegeman
Jeroen Herman Lammers
Cornelis Adrianus Henricus Antonius Mutsaers
Original Assignee
Asml Netherlands Bv
Koninkl Philips Electronics Nv
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asml Netherlands Bv, Koninkl Philips Electronics Nv filed Critical Asml Netherlands Bv
Publication of TW201336668A publication Critical patent/TW201336668A/zh
Application granted granted Critical
Publication of TWI494209B publication Critical patent/TWI494209B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures

Abstract

本發明揭示一種壓印微影方法,該方法涉及在基板或壓印模板中使用一空隙空間。一旦該基板上之一可壓印可流動介質已定型,截獲於一壓印模板與該可壓印可流動介質之間的一氣袋便可導致一不規則性。一空隙空間允許通常在使該可壓印介質定型之前藉由氣體至該空隙空間中之流動或擴散而使該氣袋耗散。作為該壓印模板之一部分(例如,作為形成或相鄰於該模板之圖案化表面之一層)的一固體多孔介質層可提供該空隙空間。該多孔層之該空隙空間擔當可供該經截獲氣體流動或擴散進入之一空隙空間。待圖案化之該基板可出於相同目的而包括一多孔層。一適當固體多孔介質包括一奈米多孔二氧化矽。

Description

壓印微影裝置及方法
本發明係關於一種壓印微影裝置及一種用於製造器件之方法,諸如,電子器件及積體電路或其他應用,諸如,製造積體光學系統、用於磁疇記憶體之導引及偵測圖案、平板顯示器、液晶顯示器(LCD)、薄膜磁頭,等等。
在微影中,一直需要減少微影圖案中之特徵的尺寸,以便增加給定基板區域上之特徵的密度。在光微影中,針對較小特徵之推動已導致諸如浸沒微影及極紫外線(EUV)微影之技術的發展,然而,該等技術相當昂貴。
已得到增加關注的針對較小特徵之潛在較不昂貴的辦法係所謂的壓印微影,其通常涉及使用「印模」(通常被稱作壓印模板)以將圖案轉印至基板上。壓印微影之優點在於:特徵之解析度不受(例如)輻射源之發射波長或投影系統之數值孔徑限制。實情為,解析度係主要限於壓印模板上之圖案密度。
微影通常涉及將若干圖案施加至基板上,圖案經彼此堆疊成使得其一起形成諸如積體電路之器件。每一圖案與先前提供之圖案之對準為重要考慮事項。若圖案彼此不足夠精確地對準,則此可導致在層之間不進行某些電連接。此又可導致器件係非功能的。因此,微影裝 置通常包括對準裝置,對準裝置可用以使每一圖案與先前提供之圖案及/或與提供於基板上之對準標記對準。
通常,將基板夾持至基板固持器,且該基板固持器及/或該壓印模板(或該等壓印模板)可在壓印之間相對於另一者移動。通常,控制系統(諸如,執行電腦程式之電腦)使用來自對準裝置之資訊以在壓印每一圖案時控制該基板及/或該(該等)壓印模板相對於另一者之位置。在本說明書中,術語「基板」意欲包括形成基板之一部分的任何表面層,諸如,平坦化層或抗反射塗層。
壓印微影涉及將可壓印介質圖案化於待圖案化之基板之表面上。圖案化可涉及使壓印模板之經圖案化表面與可壓印介質層接觸,使得可壓印介質流動至經圖案化表面中之凹座中且係藉由經圖案化表面上之突起而被推開。凹座界定壓印模板之經圖案化表面的圖案特徵。通常,可壓印介質係可流動的,因為經圖案化表面與可壓印介質彼此接觸。在圖案化可壓印介質之後,適當地將可壓印介質帶入不可流動狀態(或冷凍狀態),且分離壓印模板之經圖案化表面與經圖案化可壓印介質。接著,通常進一步處理基板及經圖案化可壓印介質,以便圖案化基板。可壓印介質通常係藉由可壓印介質小液滴形成於待圖案化之基板之表面上。
經圖案化可壓印介質中之圖案化失真可歸因於截獲於壓印模板之圖案化表面及/或基板及/或可流動可壓印介質之間的氣袋(gas pocket)而引起。舉例而言,一旦基板上之可壓印可流動介質(諸如,抗蝕劑)已定型,截獲於壓印模板與可壓印可流動介質之間的氣袋便可導致不規則性,且因此,通常必須在使可壓印介質定型之前允許足夠時間以用於使氣體擴散/溶解至可壓印介質、基板或壓印模板中或自可壓印介質、基板或壓印模板逸出。此可導致用於氣體溶解/擴散 或逸出之時間為壓印循環中之速率判定步驟。本發明之一實施例係關於一種用以加快氣體逸出或溶解/擴散之方法及裝置。
高度擴散氣體(諸如,氦)可用作進行壓印之氛圍。接著,可將任何經截獲氣袋更快速地溶解或擴散至可壓印介質、基板或壓印模板中。然而,由此方法引起之問題在於:即使當使用諸如氦之氣體時,氣體之擴散及/或溶解亦為相對緩慢製程。此可導致對一起固持壓印模板及可壓印介質持續若干秒、數十秒或甚至數分鐘之需要,以便減少對圖案化之氣袋失真。此又可導致壓印微影製程之產出率的減少,因為擴散時間可為防止壓印模板與經圖案化可壓印介質之早期分離的速率判定步驟。此意謂用於諸如抗蝕劑小液滴之可壓印介質之壓縮成型的時間變為壓印循環中之速率判定步驟。因此,需要(例如)一種用以克服此項技術中之該等問題或其他問題的方法及裝置。
因此,在一實施例中,一目標尤其係提供一種允許壓印模板與經圖案化可壓印介質之更快速分離而無經截獲氣袋的壓印微影方法及裝置。
在一態樣中,提供一種用於藉由具有經圖案化表面之壓印模板而將可壓印介質圖案化於基板上的方法,方法包含:在存在氣體之情況下使經圖案化表面與可壓印介質接觸;硬化可壓印介質;及分離經圖案化表面與可壓印介質,其中經圖案化表面與基板及/或可壓印介質之間的經截獲氣體逸出至空隙空間,空隙空間經組態以准許在分離經圖案化表面與可壓印介質之前逸出經截獲氣體。
在適當時,以下特徵可適用於方法及裝置之所有各種態樣。在適當時,可將以下特徵之組合用作方法及裝置之一部分。
用作氛圍之氣體通常為氦,以便提供優良擴散速率,但可使用另一適當氣體。氣體可為氣體之混合物,且除了氣體以外亦可包括蒸汽。舉例而言,來自可壓印介質之蒸汽可為氣體之一部分或全部。
適當地,空隙空間係與經圖案化表面進行擴散及/或流體連接,使得截獲於可壓印介質與經圖案化表面之間的氣體可容易地轉移至空隙空間中。流體連接意謂直接流體連接,使得可發生氣體至空隙空間中之黏性流動。適當地,例如,藉由使用小微孔尺寸,空隙空間經組態成使得可壓印介質將不流動至空隙空間中。擴散連接意謂氣體可能必須藉由跨越空隙空間與圖案化凹座之間的實體障壁進行擴散而通過彼障壁。在本說明書中,擴散連接意謂跨越該障壁之氣體的通量對於使至少50質量%之經截獲氣體在10秒內通過障壁而傳遞至空隙空間係足夠的。適當地,實體障壁可為(例如)具有1 μm至10 μm之厚度的多孔(諸如,奈米多孔)材料層。具有小於1 μm之厚度的固體層亦將為適當實體障壁,且可與多孔障壁組合。
在逸出至空隙空間中之後的經截獲氣體可(例如)留存於空隙空間內,或可在空隙空間係與進行壓印之氛圍進行擴散或流體連接時逸出至彼氛圍中。空隙空間可(例如)與准許氣體逸出之流體或微流體通道連接。
可壓印介質可具有足夠黏性以使得可壓印介質維持其形狀以用於在分離經圖案化表面與可壓印介質之後硬化,但通常,在分離經圖案化表面與可壓印介質之前硬化可壓印介質。舉例而言,此可藉由加熱可壓印介質以便給予交聯而達成,或在熱塑性可壓印介質之情況下,可冷卻介質以在分離可壓印介質與壓印模板之經圖案化表面之前將介質轉變成固體狀態(例如,冷卻至低於其玻璃溫度之溫度)。
壓印模板可包含空隙空間。詳言之,空隙空間可為多孔固體介質之空隙空間。多孔固體介質可具有開放微孔結構,此意謂微孔經互連為介質之連續相;或多孔固體介質可具有封閉微孔結構,此意謂微孔在介質中形成不連續相,其中固體係作為連續相。
適當地,壓印模板可包含多孔固體介質層,多孔固體介質層之 微孔空間擔當空隙空間或擔當空隙空間及至經圖案化表面之擴散連接兩者。多孔固體介質層可用以形成壓印模板之經圖案化表面的圖案化特徵。舉例而言,其可在經圖案化表面中形成凹座之末端(通常為頂部)。多孔固體介質層可形成壓印模板之整個經圖案化表面,其中凹座及突起兩者均係由多孔固體介質形成。
適當地,多孔固體介質可為具有空隙空間的壓印模板內之區域,空隙空間經組態為與經截獲氣體進行擴散及/或流體連接。
通常,固體多孔介質層可具有自1 μm至10 μm(理想地,自3 μm至8 μm,諸如,大約5 μm)之厚度。固體多孔介質之孔隙率可為自0.1體積%至50體積%,但適當地為自0.3體積%至20體積%,諸如,約0.5體積%至10體積%,例如,約1體積%。
固體多孔介質可適當地為奈米多孔介質,奈米多孔介質意謂微孔直徑通常小於100奈米,例如,自約0.1奈米至20奈米、自約0.2奈米至10奈米,或自約0.5奈米至5奈米。此係(例如)藉由電子顯微術加以適當地量測。
適當地,多孔固體介質係選自由二氧化矽、氮化矽、二氧化鈦、氧化錫、氧化鋯、氧化鋁、氧化鉭及其任何混合物組成的群組。詳言之,多孔固體介質可包含如下物質或基本上由如下物質組成:二氧化矽,視情況為奈米多孔二氧化矽。
為了輔助在圖案化之後自可壓印介質釋放經圖案化表面,經圖案化表面可包含釋放層,釋放層包含如下物質或基本上由如下物質組成:二氧化鈦、氧化鋁、氧化鉭或其任何混合物。
可藉由經施加通過壓印模板之光化輻射(諸如,紫外線(UV)輻射)來硬化可壓印介質。為了促進此過程,多孔固體介質可對於諸如UV輻射之光化輻射係透射的(亦即,透明或半透明的)。二氧化矽適用於此目的。
替代壓印模板或除了壓印模板以外,待圖案化之基板可包含空隙空間。舉例而言,空隙空間可為形成基板之一部分之多孔固體介質層的空隙空間。適當地,多孔固體介質層形成與待圖案化之基板之表面上之可壓印介質接觸的基板之表面。
在一態樣中,提供一種用於基板之壓印微影的裝置,裝置包含壓印模板,壓印模板包含空隙空間且具有經圖案化表面,經圖案化表面經配置以藉由使經圖案化表面與可壓印介質接觸而將可壓印介質圖案化於基板上,其中空隙空間係與經圖案化表面進行擴散及/或流體連接,使得截獲於經圖案化表面與基板及/或可壓印介質之間的氣體可在經圖案化表面與可壓印介質接觸時逸出至空隙空間中。
在適當時,方法之特徵亦可適用於裝置。在適當時,可將特徵之組合用作裝置之一部分,例如,如申請專利範圍中所闡述。
在一態樣中,提供一種用於基板之壓印微影的壓印模板,壓印模板包含空隙空間且具有經圖案化表面,經圖案化表面經配置以藉由使經圖案化表面與可壓印介質接觸而將可壓印介質圖案化於基板上,其中空隙空間係與經圖案化表面進行擴散及/或流體連接,使得截獲於經圖案化表面與基板及/或可壓印介質之間的截獲氣體可在經圖案化表面與可壓印介質接觸時逸出至空隙空間中。
在適當時,方法及裝置之以上所提及之特徵亦可適用於壓印模板。在適當時,可將特徵之組合用作壓印模板之一部分。
適當地,壓印模板可包含多孔固體介質層,多孔固體介質層之微孔空間擔當空隙空間或擔當空隙空間及至經圖案化表面之擴散連接兩者。多孔固體介質層可用以形成壓印模板之經圖案化表面的圖案化特徵。舉例而言,其可在經圖案化表面中形成凹座之末端(通常為頂部)。多孔固體介質層可形成壓印模板之整個經圖案化表面,其中凹座及突起兩者均係由多孔固體介質形成。
適當地,多孔固體介質可為具有空隙空間的壓印模板內之區域,空隙空間經組態為與經截獲氣體進行擴散及/或流體連接。
通常,固體多孔介質層可具有自1 μm至10 μm(理想地,自3 μm至8 μm,諸如,大約5 μm)之厚度。固體多孔介質之孔隙率可為自0.1體積%至50體積%,但適當地為自0.3體積%至20體積%,諸如,約0.5體積%至10體積%,例如,約1體積%。
固體多孔介質可適當地為奈米多孔介質,奈米多孔介質意謂微孔直徑通常小於100奈米,例如,自約0.1奈米至20奈米、自約0.2奈米至10奈米,或自約0.5奈米至5奈米。此係(例如)藉由電子顯微術加以適當地量測。
適當地,多孔固體介質係選自由二氧化矽、氮化矽、二氧化鈦、氧化錫、氧化鋯、氧化鋁、氧化鉭及其任何混合物組成的群組。詳言之,多孔固體介質可包含如下物質或基本上由如下物質組成:二氧化矽,視情況為奈米多孔二氧化矽。
為了輔助在圖案化之後自可壓印介質釋放經圖案化表面,經圖案化表面可包含釋放層,釋放層包含如下物質或基本上由如下物質組成:二氧化鈦、氧化鋁、氧化鉭或其任何混合物。
另外態樣提供用於製造壓印模板之方法,壓印模板包含多孔固體介質層,多孔固體介質層之微孔空間擔當空隙空間或擔當空隙空間及至經圖案化表面之擴散連接兩者。在一個該態樣中,可藉由電漿沈積製程來形成多孔固體介質層。歐洲專利申請公開案第EP-A-1037276號中揭示該電漿沈積製程(例如,電漿CVD製程)。
在另一態樣中,可藉由(例如)如PCT專利申請公開案第WO2004/101840號中所揭示之旋塗式玻璃製程來形成多孔固體介質層。在另一態樣中,可藉由溶膠-凝膠沈積製程來形成多孔固體介質層。舉例而言,法國專利申請公開案第FR2762097號中揭示該製程。
對於此態樣,多孔固體介質可在處於其凝膠狀態中時藉由在凝固凝膠之前將母版模板與凝膠按壓在一起而經圖案化為凝膠。該製程使能夠藉由單一親代或母版模板來製造經圖案化表面家族(子代),且因此增加生產效率。
對於此等態樣,多孔固體介質可係如上文所描述。特定而言,其可包含如下物質或基本上由如下物質組成:二氧化矽,視情況為奈米多孔二氧化矽。
另一態樣提供一種經調適以藉由壓印微影加以圖案化之基板,基板包含與經調適以加以圖案化之基板之表面進行擴散及/或流體連接的空隙空間。
空隙空間可為經調適以加以圖案化之基板之表面上之多孔固體介質層的空隙空間。多孔固體介質可係如上文所描述,詳言之,其可包含如下物質或基本上由如下物質組成:二氧化矽,諸如,奈米多孔二氧化矽。可使用如上文所詳述之製程來形成多孔層。
該多孔固體介質層亦可擔當經調適以加以圖案化之基板之表面上的底部抗反射塗層(BARC),以亦防止在硬化期間UV自基板之反射(例如,以避免干擾圖案形成於可壓印介質中)。
裝置及方法特別適用於製造器件,諸如,電子器件及積體電路或其他應用,諸如,製造積體光學系統、用於磁疇記憶體之導引及偵測圖案、平板顯示器、液晶顯示器(LCD)、薄膜磁頭,等等。詳言之,方法及裝置適於高解析度微影,其中經圖案化至基板上之特徵具有約1 μm或更小(通常為100奈米或更小或甚至10奈米或更小)之特徵寬度或臨界尺寸。
12‧‧‧經後續圖案化基板
12'‧‧‧平坦化/轉印層
14‧‧‧模板
15‧‧‧可壓印介質
16‧‧‧石英模板/壓印模板
17‧‧‧UV可固化樹脂/可壓印介質
18‧‧‧經圖案化表面
20‧‧‧實質上無孔固體層/固體石英層
21‧‧‧多孔固體介質層/多孔固體介質
22‧‧‧實質上無孔固體層
23‧‧‧實質上無孔固體層
24‧‧‧實質上無孔固體層
29‧‧‧氣袋
30‧‧‧凹座
31‧‧‧突起
圖1a至圖1b分別示意性地展示熱壓印及UV壓印微影之實例;圖2示意性地展示在UV壓印微影中氣袋之截獲; 圖3示意性地展示根據本發明之一實施例的壓印模板;圖4示意性地展示根據本發明之一實施例的壓印模板;圖5示意性地展示根據本發明之一實施例的壓印模板;圖6示意性地展示根據本發明之一實施例的壓印模板;及圖7示意性地展示根據本發明之一實施例的基板。
將參看附圖來描述本發明之特定實施例。
圖1a至圖1b示意性地描繪兩種壓印微影方法之實例。
圖1a展示所謂的熱壓印微影(或熱壓)之實例。在典型熱壓印製程中,將模板14壓印至已鑄造於基板12之表面上的熱固性或熱塑性可壓印介質15中。可壓印介質15可為(例如)樹脂。可將樹脂(例如)旋塗及烘烤至基板表面上,或如在所說明實例中,旋塗及烘烤至基板12'之平坦化及轉印層上。當使用熱固性聚合物樹脂時,將樹脂加熱至如下溫度:該溫度係使得在與模板接觸後,樹脂便充分地可流動以流動至界定於模板上之圖案特徵中。接著,增加樹脂之溫度以熱固化(交聯)樹脂,使得其凝固且不可逆地採用所要圖案。可接著移除模板14且冷卻經圖案化樹脂。在使用熱塑性聚合物樹脂層之熱壓印微影中,加熱熱塑性樹脂,使得其緊接地在藉由模板14進行壓印之前處於自由可流動狀態中。可能有必要將熱塑性樹脂加熱至顯著地高於樹脂之玻璃轉移溫度的溫度。模板係與可流動樹脂接觸,且接著冷卻至低於其玻璃轉移溫度,其中模板14處於適當位置以硬化圖案。此後,移除模板14。圖案將由來自可壓印材料之剩餘層的以浮雕形式之特徵組成,可接著藉由適當蝕刻製程來移除剩餘層以僅留下圖案特徵。用於熱壓印微影製程中之熱塑性聚合物樹脂的實例為聚(甲基丙烯酸甲酯)、聚苯乙烯、聚(甲基丙烯酸苄酯)或聚(甲基丙烯酸環己酯)。對於關於熱壓印之更多資訊,見(例如)美國專利第4731155號及第5772905號。
圖1b展示UV壓印微影之實例,其涉及將對於UV係透射之透明或半透明模板及UV可固化液體用作可壓印介質(術語「UV」在此處係出於便利起見而使用,但應被解釋為包括用於固化可壓印介質之任何適當光化輻射)。UV可固化液體之黏性通常低於用於熱壓印微影中之熱固性或熱塑性樹脂之黏性,且因此,UV可固化液體可顯著更快地移動以填充模板圖案特徵。以與圖1a之製程類似的方式而將石英模板16施加至UV可固化樹脂17。然而,代替使用如在熱壓印中之熱或溫度循環,藉由以通過石英模板而施加至可壓印介質上之UV輻射來固化可壓印介質而冷凍圖案。在移除模板之後,圖案將由來自可壓印材料之剩餘層的以浮雕形式之特徵組成,可接著藉由適當蝕刻製程來移除剩餘層以僅留下圖案特徵。經由UV壓印微影而圖案化基板之特定方式為所謂的步進及閃蒸壓印微影(step and flash imprint lithography,SFIL),其可用以按較小步進以與通常用於IC製造中之光學步進器類似的方式來圖案化基板。對於關於UV壓印之更多資訊,見(例如)美國公開專利申請案第2004-0124566號、美國專利第6334960號、PCT專利申請公開案第WO 02/067055號,及J. Haisma之名為「Mold-assisted nanolithography:A process for reliable pattern replication」的文章(J. Vac.Sci.Technol.B14(6),1996年11月/12月)。
以上壓印技術之組合係可能的。見(例如)美國專利申請公開案第2005-0274693號,其提及加熱與UV固化可壓印介質之組合。
在圖2中,如以上針對圖1b所詳述,展示UV壓印微影之實施例。壓印模板16已在氦氛圍中接觸可壓印介質17。可見,氦之氣袋29已形成於經圖案化表面18與可壓印介質17/基板轉印層12'/基板12之間。當硬化可壓印介質時,藉由將UV輻射照耀通過模板16,此等氣袋可導致經圖案化可壓印介質中之不完整性,其可導致經後續圖案化基板12及/或轉印層12'之對應不完整性。
圖3示意性地展示根據本發明之一實施例的壓印模板。壓印模板16具有由(例如)固體石英製成之實質上無孔固體層20,及以(例如)奈米多孔二氧化矽層之形式的多孔固體介質層21。壓印模板16之經圖案化表面18的突起31及凹座30完全地形成於多孔固體介質層21中。
在使用中,經圖案化表面18在氦氛圍中接觸基板12上之可壓印介質17,如圖2所示。氦之氣袋29形成於凹座30中。氣袋29中之壓力高於多孔固體介質21之空隙空間中的壓力,且因此,藉由流動及/或擴散,藉由壓力差而將氦快速地驅動至空隙空間中。結果,氣袋29將在藉由將UV照耀通過壓印模板16來硬化可壓印介質17之前已實質上消失,此意謂應減少經圖案化可壓印介質17及經後續圖案化基板12中之不完整性。固體石英層20及多孔固體介質21兩者均對於UV係實質上透射的。在此實施例中,多孔固體介質層21形成經圖案化表面18。
圖4示意性地展示根據本發明之另一實施例的壓印模板。壓印模板16具有夾有多孔固體介質層21(例如,奈米多孔二氧化矽層)之兩個實質上無孔固體層20及22(例如,各自係由石英製成)。壓印模板16之經圖案化表面18的突起31及凹座30自固體層22延伸至固體介質21中或直至固體介質21。
在使用中,經圖案化表面18在氦氛圍中接觸基板12上之可壓印介質17,如圖2所示。氦之氣袋29形成於凹座30中。氣袋29中之壓力高於多孔固體介質21之空隙空間中的壓力,且因此,藉由流動及/或擴散,藉由壓力差而將氦快速地驅動至空隙空間中。氦可接著流動/擴散通過多孔固體介質層21之空隙空間,以在層之邊緣處逸出至周圍氛圍。結果,氣袋29將在藉由將UV照耀通過壓印模板16來硬化可壓印介質17之前已實質上消失(比在先前技術中快速),此意謂需要較少時間以避免經圖案化可壓印介質17及經後續圖案化基板12中之不完整性。在此實施例中,多孔固體介質層21形成壓印模板16之經圖案化表 面18的圖案化特徵;具體而言,其至少形成凹座30之末端。與圖3之實施例的機械強度相比較,固體層22應向經圖案化表面18給予改良機械強度,同時維持多孔固體介質21之空隙空間與可形成有氣袋29的凹座30之末端之間的極佳流體及/或擴散連接。
圖5示意性地展示根據本發明之另一實施例的壓印模板。壓印模板16具有夾有多孔固體介質層21(例如,奈米多孔二氧化矽層)之兩個實質上無孔固體層20及23(例如,各自係由石英製成)。壓印模板16之經圖案化表面18的突起31及凹座30僅延伸至固體層23中且不延伸至固體介質21中。此導致位於凹座末端30與多孔固體介質層21之空隙空間之間的薄固體層23。
在使用中,經圖案化表面18在氦氛圍中接觸基板12上之可壓印介質17,如圖2所示。氦之氣袋29形成於凹座30中。氣袋29中之壓力高於多孔固體介質21之空隙空間中的壓力,且因此,藉由在凹座30之末端處擴散通過薄固體層23,藉由壓力差而將氦快速地驅動至空隙空間中。氦可接著流動/擴散通過多孔固體介質層21之空隙空間,以在層之邊緣處逸出至周圍氛圍。結果,氣袋29將在藉由將UV照耀通過壓印模板16來硬化可壓印介質17之前已實質上消失(比在先前技術中快速),此意謂需要較少時間以避免經圖案化可壓印介質17及經後續圖案化基板12中之不完整性。在此實施例中,多孔固體介質層21不形成壓印模板16之經圖案化表面18的圖案化特徵。此導致改良機械強度,但係以用於使氦移動至多孔固體介質21之空隙空間中的更長時間為代價。
圖6示意性地展示根據本發明之另一實施例的壓印模板。壓印模板16具有夾有多孔固體介質層21(例如,奈米多孔二氧化矽層)之兩個實質上無孔固體層20及24(例如,各自係由石英製成)。壓印模板16之經圖案化表面18的突起31及凹座30至少部分地形成於多孔固體介質21 中,但塗覆有薄固體(例如,石英)層24。此導致位於圖案化表面18與多孔固體介質層21之空隙空間之間的薄固體層24。
在使用中,經圖案化表面18在氦氛圍中接觸基板12上之可壓印介質17,如圖2所示。氦之氣袋29形成於凹座30中。氣袋29中之壓力高於多孔固體介質21之空隙空間中的壓力,且因此,藉由擴散通過薄固體層24,藉由壓力差而將氦快速地驅動至空隙空間中。氦可接著流動/擴散通過多孔固體介質層21之空隙空間,以在層之邊緣處逸出至周圍氛圍。結果,氣袋29將在藉由將UV照耀通過壓印模板16來硬化可壓印介質17之前已實質上消失(比在先前技術中快速),此意謂需要較少時間以避免經圖案化可壓印介質17及經後續圖案化基板12中之不完整性。在此實施例中,多孔固體介質層21不接觸可壓印介質,但其確實形成壓印模板16之經圖案化表面18的圖案化特徵。再次,與圖4之實施例相比較,此導致改良機械強度,但係以用於使氦移動至多孔固體介質21之空隙空間中的更長時間為代價。
圖7示意性地展示根據本發明之一實施例的基板。圖7展示具有在頂部上為多孔固體介質層21(例如,由奈米多孔二氧化矽製成)之平坦化/轉印層12'的基板12。在圖7中,可壓印UV可硬化材料17之小液滴經展示為在接觸壓印模板16之圖案化表面18之前位於多孔固體介質21上。
在使用中,圖7之基板可與任何壓印模板一起使用,包括(但不限於)圖2至圖6中任一者之模板。此處作為一實例來描述與圖2之壓印模板的一起使用。如圖2所示,壓印模板之經圖案化表面18在氦氛圍中接觸基板12上之可壓印介質17的液滴。氦之氣袋29可形成於凹座30中。氣袋29中之壓力可高於基板中之多孔固體介質21之空隙空間中的壓力,且因此,藉由溶解至可壓印介質17中且隨後通過可壓印介質而擴散至基板之多孔層21,應藉由壓力差而將氦快速地驅動至空隙空間 中。多孔層12之空隙空間中的氣體可藉由流動/擴散通過多孔層21之空隙空間而在基板12之邊緣處逸出至周圍氛圍。結果,氣袋29將在藉由將UV照耀通過壓印模板16來硬化可壓印介質17之前已實質上消失,此意謂需要較少時間以避免經圖案化可壓印介質17及經後續圖案化基板12中之不完整性。
應瞭解,可在不脫離如附加申請專利範圍中所界定之本發明之範疇的情況下進行對上述實施例之眾多修改。舉例而言,所使用之壓印方法可為如圖1a所示之熱壓製程,而非針對以上各種實施例所詳述之UV壓印方法。
本說明書中之術語多孔固體介質意欲包括具有適於固持或轉移氣體之空隙空間的任何適當多孔固體。通常,多孔固體介質之特徵在於微孔體積及平均微孔直徑。可在介質中存在微孔尺寸之分布。
出於本文之目的,需要微孔直徑,使得可壓印介質在由使壓印模板與可壓印介質接觸在一起引起之壓力下實質上不會流動至微孔中。出於此原因,可使用所謂的奈米多孔材料,諸如,奈米多孔陶瓷。出於此原因,可能需要使用用於多孔固體介質之封閉微孔結構。
實例多孔固體介質為二氧化矽,特別地為奈米多孔二氧化矽。舉例而言,歐洲專利申請公開案第EP-A-1 818 583號、第EP-A-0 775 669號、第EP-A-0 775 669號、第EP-A-1 037 276號及第EP-A-1 169 491號中描述用於製備奈米多孔二氧化矽層之適當方法。
通常,可藉由此項技術中已知之溶膠-凝膠製程來形成多孔固體介質(諸如,奈米多孔二氧化矽)層,其中自諸如二氧化矽凝膠之凝膠蒸發溶劑,以便形成多孔固體。其他適當途徑包括多孔層之化學氣相沈積或旋塗式玻璃製程,其均係如上文所提及。適當地,可藉由在(比如)600℃至700℃下進行加熱而將親水性矽烷醇或矽烷醇與矽氧烷塗層之混合物熱處理成含疏水性氧化矽層來形成多孔層。該多孔層特 別係用於非極性或疏水性可壓印介質。
因為諸如二氧化矽之多孔或奈米多孔固體介質的機械強度可低於諸如石英之對應無孔固體介質的機械強度,所以可能有利的係藉由如上文所解釋的諸如石英之薄無孔固體層來罩蓋諸如二氧化矽之多孔或奈米多孔介質。此外,該無孔固體層可用以防止抗蝕劑流動至多孔固體介質之微孔中。對於藉由溶膠-凝膠製程所形成之層,層厚度可歸因於由蒸發所導致之機械應力而受限制。為了改良強度,可使用交替多孔固體介質層與無孔固體介質層。厚度小於1 μm之固體石英層對於諸如氦之氣體係充分可滲透的,以用於使發生至多孔固體層中之擴散。
當奈米多孔二氧化矽形成壓印模板之經圖案化表面之一部分或全部時,可藉由以鈦、鋁或鉭替換多孔二氧化矽層中之矽的某部分或全部來促進經圖案化表面自經硬化可壓印介質之釋放。
通常,奈米多孔固體層(諸如,用於本發明之奈米多孔二氧化矽層)之厚度將為自1 μm至10 μm,較佳地,自3 μm至8 μm,諸如,大約5 μm。奈米多孔固體層之孔隙率通常將為自0.1體積%至5體積%,適當地為自0.5體積%至2體積%,諸如,約1體積%。
就對諸如UV之光化輻射係「透射」而言,其在本說明書中意謂對於UV係透射之材料允許落於材料上之UV之實質部分傳遞通過材料而無實質強度損失。適當地,1毫米之透射材料層將允許在指定波長下落於透射材料層上之至少10%(較佳地,至少30%)的UV功率傳遞通過透射材料層。
應認為所描述及說明之實施例在性質上係說明性而非限制性的,應理解,僅已展示及描述較佳實施例,且在如申請專利範圍中所界定之本發明之範疇內的所有改變及修改需要受到保護。應理解,儘管在描述中諸如「較佳」、「較佳地」或「更佳地」之詞語的使用暗示 如此描述之特徵可係理想的,但其可仍非必要的,且缺少該特徵之實施例可預期為在如附加申請專利範圍中所界定之本發明的範疇內。關於申請專利範圍,意欲為如下情況:當使用諸如「一」、「至少一」或「至少一部分」之詞語來引言特徵時,不意欲將申請專利範圍僅限於一個該特徵,除非申請專利範圍中相反地特定敍述。當使用語言「至少一部分」及/或「一部分」時,項目可包括一部分及/或整個項目,除非相反地特定敍述。
16‧‧‧石英模板/壓印模板
18‧‧‧經圖案化表面
20‧‧‧實質上無孔固體層/固體石英層
21‧‧‧多孔固體介質層/多孔固體介質
30‧‧‧凹座
31‧‧‧突起

Claims (38)

  1. 一種用於藉由具有一經圖案化表面之一壓印模板而將一可壓印介質圖案化於一基板上的方法,該方法包含:在存在一氣體之情況下使該經圖案化表面與該可壓印介質接觸;硬化該可壓印介質;及分離該經圖案化表面與該可壓印介質,其中該經圖案化表面與該基板及/或該可壓印介質之間的經截獲氣體逸出至一空隙空間,該空隙空間經組態以准許在分離該經圖案化表面與該可壓印介質之前逸出該經截獲氣體,且其中該空隙空間經組態使得該可壓印介質在由使該壓印模板與該可壓印介質接觸在一起所造成之壓力下實質上不會流動至該空隙空間中。
  2. 如請求項1之方法,其中在分離該經圖案化表面與該可壓印介質之前硬化該可壓印介質。
  3. 如請求項1或2之方法,其中該壓印模板包含該空隙空間。
  4. 如請求項1或2之方法,其中該空隙空間係一多孔固體介質之一空隙空間。
  5. 如請求項4之方法,其中該壓印模板包含一多孔固體介質層。
  6. 如請求項5之方法,其中該多孔固體介質層形成該壓印模板之該經圖案化表面的圖案化特徵。
  7. 如請求項6之方法,其中該多孔固體介質層形成該壓印模板之該經圖案化表面。
  8. 如請求項4之方法,其中該多孔固體介質為具有一空隙空間的該壓印模板內之一區域,該空隙空間經組態為與該經截獲氣體進 行擴散及/或流體連接。
  9. 如請求項4之方法,其中該多孔固體介質對於UV輻射係透射的。
  10. 如請求項1或2之方法,其中該基板包含該空隙空間。
  11. 如請求項10之方法,其中該空隙空間為一多孔固體介質層之一空隙空間。
  12. 如請求項11之方法,其中該多孔固體介質層形成與該可壓印介質接觸的該基板之一表面。
  13. 如請求項1或2之方法,進一步包含利用一經預先提供之圖案及/或利用設置於該基板上之對準標記來對準一圖案。
  14. 如請求項1或2之方法,其中該經圖案化表面包含一釋放層,該釋放層包含如下物質或基本上由如下物質組成:二氧化鈦、氧化鋁、氧化鉭或其任何混合物。
  15. 如請求項1或2之方法,進一步包含在分離該經圖案化表面與該可壓印介質之後蝕刻該經圖案化可壓印介質。
  16. 如請求項1或2之方法,其中該方法係一種步進及閃蒸壓印微影方法。
  17. 如請求項1或2之方法,其中該可壓印介質係非極性或疏水性的。
  18. 如請求項5之方法,其中該奈米多孔固體介質層之孔隙率係自體積的0.5至2%
  19. 一種用於一基板之壓印微影的裝置,該裝置包含:一壓印模板,該壓印模板包含一空隙空間且具有一經圖案化表面,該經圖案化表面經配置以藉由使該經圖案化表面與一可壓印介質接觸而將該可壓印介質圖案化於一基板上,其中該空隙空間係與該經圖案化表面進行擴散及/或流體連接,使得截獲於該經圖案化表面與該基板及/或該可壓印介質之 間的一氣體可在該經圖案化表面與該可壓印介質接觸時逸出至該空隙空間中,及其中該空隙空間經組態使得該可壓印介質在由使該壓印模板與該可壓印介質接觸在一起所造成之壓力下實質上不會流動至該空隙空間中。
  20. 如請求項19之裝置,進一步包含利用一經預先提供之圖案及/或利用設置於該基板上之對準標記來對準一圖案。
  21. 如請求項19或20之裝置,其中該經圖案化表面包含一釋放層,該釋放層包含如下物質或基本上由如下物質組成:二氧化鈦、氧化鋁、氧化鉭或其任何混合物。
  22. 如請求項19或20之裝置,其中該裝置經組態以用於步進及閃蒸壓印微影。
  23. 如請求項19或20之裝置,進一步包含該可壓印介質且其中該可壓印介質係非極性或疏水性的。
  24. 如請求項19之裝置,其中該空隙空間為一奈米多孔固體介質層之一空隙空間。
  25. 如請求項24之裝置,其中該奈米多孔固體介質層形成該壓印模板之該經圖案化表面。
  26. 如請求項24之裝置,其中該奈米多孔固體介質層形成該壓印模板之該經圖案化表面之圖案化特徵。
  27. 如請求項19之裝置,其中該經圖案化表面之圖案特徵並非直接與該空隙空間流體連接,使得該氣體係藉由擴散而逸出至該空隙空間中。
  28. 如請求項24之裝置,其中鄰近該奈米多孔固體介質層之一實質上非多孔固體層形成該經圖案化表面。
  29. 如請求項24之裝置,其中該奈米多孔固體介質層之孔隙率係自 體積的0.5至2%。
  30. 一種用於一基板之壓印微影的壓印模板,該壓印模板包含一空隙空間且具有一經圖案化表面,該經圖案化表面經配置以藉由使該經圖案化表面與一可壓印介質接觸而將該可壓印介質圖案化於一基板上,其中該空隙空間係與該經圖案化表面進行擴散及/或流體連接,使得截獲於該經圖案化表面與該基板及/或該可壓印介質之間的一經截獲氣體可在該經圖案化表面與該可壓印介質接觸時逸出至該空隙空間中,且其中該空隙空間經組態使得該可壓印介質在由使該壓印模板與該可壓印介質接觸在一起所造成之壓力下實質上不會流動至該空隙空間中。
  31. 如請求項30之壓印模板,其中該經圖案化表面包含一釋放層,該釋放層包含如下物質或基本上由如下物質組成:二氧化鈦、氧化鋁、氧化鉭或其任何混合物。
  32. 如請求項30之壓印模板,其中該空隙空間為一奈米多孔固體介質層之一空隙空間。
  33. 如請求項32之壓印模板,其中該奈米多孔固體介質層形成該壓印模板之該經圖案化表面。
  34. 如請求項32之壓印模板,其中該奈米多孔固體介質層形成該壓印模板之該經圖案化表面之圖案化特徵。
  35. 如請求項30之壓印模板,其中該經圖案化表面之圖案特徵並非直接與該空隙空間流體連接,使得該氣體係藉由擴散而逸出至該空隙空間中。
  36. 如請求項32之壓印模板,其中鄰近該奈米多孔固體介質層之一實質上非多孔固體層形成該經圖案化表面。
  37. 如請求項32之壓印模板,其中該奈米多孔固體介質層之孔隙率係自體積的0.5至2%。
  38. 一種經調適以藉由壓印微影加以圖案化之基板,該基板包含與經調適以加以圖案化之基板之表面進行擴散及/或流體連接的一空隙空間,其中該空隙空間經組態使得一可壓印介質在由使該壓印模板與該可壓印介質接觸在一起所造成之壓力下實質上不會流動至該空隙空間中。
TW102102726A 2008-12-04 2009-10-23 壓印微影裝置及方法 TWI494209B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US19350908P 2008-12-04 2008-12-04

Publications (2)

Publication Number Publication Date
TW201336668A true TW201336668A (zh) 2013-09-16
TWI494209B TWI494209B (zh) 2015-08-01

Family

ID=42227110

Family Applications (3)

Application Number Title Priority Date Filing Date
TW102102726A TWI494209B (zh) 2008-12-04 2009-10-23 壓印微影裝置及方法
TW98136091A TWI393627B (zh) 2008-12-04 2009-10-23 壓印微影裝置及方法
TW104121209A TWI583534B (zh) 2008-12-04 2009-10-23 壓印微影裝置及方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
TW98136091A TWI393627B (zh) 2008-12-04 2009-10-23 壓印微影裝置及方法
TW104121209A TWI583534B (zh) 2008-12-04 2009-10-23 壓印微影裝置及方法

Country Status (7)

Country Link
EP (1) EP2364462B1 (zh)
JP (3) JP5539380B2 (zh)
KR (3) KR101678040B1 (zh)
CN (2) CN102216851B (zh)
NL (1) NL2003600A (zh)
TW (3) TWI494209B (zh)
WO (1) WO2010063504A2 (zh)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2532508A4 (en) * 2010-02-03 2014-01-08 Asahi Glass Co Ltd METHOD FOR PRODUCING AN ARTICLE WITH A FINISHED SURFACE STRUCTURE
JP5618588B2 (ja) 2010-03-24 2014-11-05 キヤノン株式会社 インプリント方法
US8541053B2 (en) 2010-07-08 2013-09-24 Molecular Imprints, Inc. Enhanced densification of silicon oxide layers
JP5679850B2 (ja) * 2011-02-07 2015-03-04 キヤノン株式会社 インプリント装置、および、物品の製造方法
US8586408B2 (en) * 2011-11-08 2013-11-19 Taiwan Semiconductor Manufacturing Company, Ltd. Contact and method of formation
US20130143002A1 (en) * 2011-12-05 2013-06-06 Seagate Technology Llc Method and system for optical callibration discs
JP5882922B2 (ja) * 2012-01-19 2016-03-09 キヤノン株式会社 インプリント方法、およびインプリント装置
CN102751378A (zh) * 2012-06-20 2012-10-24 常州天合光能有限公司 一种选择性扩散的实现方式
EP2747132B1 (en) * 2012-12-18 2018-11-21 IMEC vzw A method for transferring a graphene sheet to metal contact bumps of a substrate for use in semiconductor device package
WO2014172660A1 (en) * 2013-04-18 2014-10-23 Brigham Young University Porous material for thermal and/or electrical isolation and methods of manufacture
JP2015005760A (ja) * 2014-07-31 2015-01-08 キヤノン株式会社 インプリント装置、および物品の製造方法
WO2016102185A1 (en) * 2014-12-22 2016-06-30 Koninklijke Philips N.V. Patterned stamp manufacturing method, patterned stamp and imprinting method
JP5989177B2 (ja) * 2015-04-20 2016-09-07 キヤノン株式会社 インプリント装置、および物品の製造方法
WO2019203926A1 (en) 2018-04-16 2019-10-24 Applied Materials, Inc. Multi stack optical elements using temporary and permanent bonding
CN114953540B (zh) * 2022-05-25 2023-09-26 夜视丽新材料股份有限公司 一种具有微棱镜结构的光学膜的连续加工方法

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2762097B1 (fr) 1997-04-10 1999-07-02 Corning Sa Dispositif optique a revetement antireflechissant, materiau de revetement et procede de revetement correspondants
JP3780700B2 (ja) * 1998-05-26 2006-05-31 セイコーエプソン株式会社 パターン形成方法、パターン形成装置、パターン形成用版、パターン形成用版の製造方法、カラーフィルタの製造方法、導電膜の製造方法及び液晶パネルの製造方法
US6334960B1 (en) * 1999-03-11 2002-01-01 Board Of Regents, The University Of Texas System Step and flash imprint lithography
JP3827056B2 (ja) 1999-03-17 2006-09-27 キヤノンマーケティングジャパン株式会社 層間絶縁膜の形成方法及び半導体装置
KR100335070B1 (ko) * 1999-04-21 2002-05-03 백승준 압축 성형 기법을 이용한 미세 패턴 형성 방법
US6589889B2 (en) 1999-09-09 2003-07-08 Alliedsignal Inc. Contact planarization using nanoporous silica materials
DE10034507C1 (de) * 2000-07-15 2002-02-21 Schott Glas Verfahren zum Erzeugen von Mikrostrukturen auf Glas- oder Kunststoffsubstraten nach der Heißformtechnologie und zugehöriges Formgebungswerkzeug
US6824378B2 (en) * 2002-05-31 2004-11-30 3M Innovative Properties Company Microreplication tool with gas release features
KR20050025139A (ko) * 2002-07-11 2005-03-11 스미토모덴키고교가부시키가이샤 다공질 반도체 및 그의 제조 방법
US6693050B1 (en) 2003-05-06 2004-02-17 Applied Materials Inc. Gapfill process using a combination of spin-on-glass deposition and chemical vapor deposition techniques
US7090716B2 (en) * 2003-10-02 2006-08-15 Molecular Imprints, Inc. Single phase fluid imprint lithography method
WO2006060757A2 (en) * 2004-12-01 2006-06-08 Molecular Imprints, Inc. Eliminating printability of sub-resolution defects in imprint lithography
US7217629B2 (en) * 2005-07-15 2007-05-15 International Business Machines Corporation Epitaxial imprinting
JP4898820B2 (ja) * 2005-10-20 2012-03-21 エージェンシー フォー サイエンス,テクノロジー アンド リサーチ ナノインプリントリソグラフィーにより作製される階層ナノパターン
JP2009087959A (ja) * 2006-01-23 2009-04-23 Pioneer Electronic Corp インプリント用転写型、インプリント転写方法、インプリント装置、インプリント用転写型の製造方法およびインプリント転写物
JP4872052B2 (ja) * 2006-04-12 2012-02-08 独立行政法人産業技術総合研究所 微細金型コア部材
TW200819546A (en) * 2006-10-30 2008-05-01 Jinn P Chu In-air micro and nanoimprint of bulk metallic glasses and a method for making the same
KR101348184B1 (ko) * 2007-01-19 2014-01-07 삼성디스플레이 주식회사 임프린트 장치 및 방법
KR101610180B1 (ko) * 2007-11-21 2016-04-07 캐논 나노테크놀로지즈 인코퍼레이티드 나노-임프린트 리소그래피용 다공성 주형 및 임프린팅 스택
JP5268524B2 (ja) * 2008-09-26 2013-08-21 キヤノン株式会社 加工装置
JP5208646B2 (ja) * 2008-09-26 2013-06-12 旭化成イーマテリアルズ株式会社 成形体、並びに成形体の製造方法、及び成形体を転写した転写体の製造方法
US20100104852A1 (en) * 2008-10-23 2010-04-29 Molecular Imprints, Inc. Fabrication of High-Throughput Nano-Imprint Lithography Templates

Also Published As

Publication number Publication date
JP5727056B2 (ja) 2015-06-03
KR20130028804A (ko) 2013-03-19
KR101293133B1 (ko) 2013-08-12
CN102216851A (zh) 2011-10-12
EP2364462B1 (en) 2015-07-22
TW201029825A (en) 2010-08-16
EP2364462A2 (en) 2011-09-14
JP5873944B2 (ja) 2016-03-01
JP2015146445A (ja) 2015-08-13
NL2003600A (en) 2010-06-07
TW201538302A (zh) 2015-10-16
KR101596375B1 (ko) 2016-02-26
JP2012513101A (ja) 2012-06-07
JP2014090208A (ja) 2014-05-15
WO2010063504A3 (en) 2010-09-16
TWI494209B (zh) 2015-08-01
TWI393627B (zh) 2013-04-21
CN103454855B (zh) 2015-08-19
KR101678040B1 (ko) 2016-11-21
CN102216851B (zh) 2013-08-28
JP5539380B2 (ja) 2014-07-02
WO2010063504A2 (en) 2010-06-10
CN103454855A (zh) 2013-12-18
KR20160022945A (ko) 2016-03-02
KR20110094071A (ko) 2011-08-19
TWI583534B (zh) 2017-05-21

Similar Documents

Publication Publication Date Title
TWI494209B (zh) 壓印微影裝置及方法
US7997890B2 (en) Device and method for lithography
US7363854B2 (en) System and method for patterning both sides of a substrate utilizing imprint lithography
KR100855724B1 (ko) 임프린트 리소그래피
US9645489B2 (en) Imprint lithography
JP2008068611A (ja) インプリントリソグラフィ
JP2006191087A (ja) インプリント・リソグラフィ
JP2007036246A (ja) 印写リソグラフィ
JP5731648B2 (ja) インプリントリソグラフィ方法
JP2011073444A (ja) インプリントリソグラフィ
Cui et al. Nanofabrication by Replication
Cui et al. Nanofabrication by Replication