TW201312751A - 半導體元件及其形成方法 - Google Patents

半導體元件及其形成方法 Download PDF

Info

Publication number
TW201312751A
TW201312751A TW101114866A TW101114866A TW201312751A TW 201312751 A TW201312751 A TW 201312751A TW 101114866 A TW101114866 A TW 101114866A TW 101114866 A TW101114866 A TW 101114866A TW 201312751 A TW201312751 A TW 201312751A
Authority
TW
Taiwan
Prior art keywords
fin structure
layer
semiconductor device
band gap
semiconductor
Prior art date
Application number
TW101114866A
Other languages
English (en)
Other versions
TWI496291B (zh
Inventor
Zhiqiang Wu
Ken-Ichi Goto
Wen-Hsing Hsieh
Jon-Hsu Ho
Chih-Ching Wang
Ching-Fang Huang
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Publication of TW201312751A publication Critical patent/TW201312751A/zh
Application granted granted Critical
Publication of TWI496291B publication Critical patent/TWI496291B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02293Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process formation of epitaxial layers by a deposition process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7853Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the body having a non-rectangular crossection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7857Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET of the accumulation type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

本發明之實施例提供控制通道厚度的系統與方法,並避免因為形成較小的特徵而變異。本發明之實施例包含升高於基底之上的鰭片結構,以及形成在鰭片結構之上的覆蓋層,通道載子被重摻雜的鰭片結構排斥,並局限在覆蓋層內,其形成薄的通道,使得閘極具有較大的靜電控制。

Description

半導體元件及其形成方法
本發明係有關於半導體元件,特別有關於鰭式場效電晶體的結構與形成方法。
電晶體為近代積體電路關鍵的元件,為了滿足越來越快的速度需求,電晶體的驅動電流也必須越來越大。因為電晶體的驅動電流與電晶體的閘極寬度成比例,電晶體較佳為具有較大的寬度。
然而,增加閘極寬度與降低半導體元件尺寸的需求互相抵觸,因此發展出鰭式場效電晶體(fin field effect transistor;FinFET)。
採用鰭式場效電晶體(FinFET)具有增加驅動電流,但不需付出佔用更多晶片面積的代價之優異特徵,然而,即使鰭式場效電晶體相較於佔用相同晶片面積的平面式電晶體具有改善的短通道效應(short-channel effects;SCE),鰭式場效電晶體仍然受到短通道效應之苦,為了幫助在鰭式場效電晶體內控制短通道效應,鰭式場效電晶體的鰭片結構(fin)寬度通常很窄,要形成如此小的特徵之製程有其困難度,並且在狹窄的鰭片結構設計中,鰭片結構會完全地或大部分地被耗盡,並且會減少經由基底偏壓(bias)對臨界電壓(threshold voltage)的控制。
因此,業界亟需一種半導體元件,其可以合併鰭式場效電晶體,以擷取其在克服習知缺點的同時可以增加驅動電流的優勢,但不會增加使用的晶片面積。
依據本發明之一實施例,半導體元件包括:基底,鰭片結構升高於基底之上,鰭片結構包括:內側部分,具有第一能帶間隙和第一結晶方向;以及外側部分,設置在內側部分的頂部表面與側面上,外側部分具有第二能帶間隙和第二結晶方向,第二能帶間隙小於或等於第一能帶間隙。
依據本發明之一實施例,半導體元件包括:基底,鰭片結構升高於基底之上,鰭片結構包括:內側部分,具有第一結晶方向和第一濃度的摻雜物;以及外側部分,設置在內側部分的頂部表面與側面上,外側部分具有第二結晶方向和第二濃度的摻雜物。
依據本發明之一實施例,半導體元件包括:基底,非平面電晶體設置於基底上,非平面電晶體包括:鰭片結構,具有第一結晶方向,鰭片結構含有第一導電型的雜質;源極與汲極區設置在鰭片結構內,源極含有第二導電型的雜質,第二導電型與第一導電型不同;以及覆蓋層設置在鰭片結構的頂部表面與側面上,覆蓋層具有第二結晶方向和小於或等於該鰭片結構的能帶間隙。
依據本發明之一實施例,半導體元件的製造方法包括:提供基底;在基底的頂部表面上形成半導體鰭片結構;以及在半導體鰭片結構的頂部表面與側面上形成覆蓋層,覆蓋層具有小於或等於半導體鰭片結構的能帶間隙。
依據本發明之一實施例,半導體元件包括:基底,鰭片結構升高於基底之上,鰭片結構包括:內側部分,具有第一能帶間隙和第一結晶方向;中間部分,設置在內側部分的頂部表面與側面上,中間部分具有第二能帶間隙和第二結晶方向,第二能帶間隙大於第一能帶間隙;以及外側部分,設置在中間部分的頂部表面與側面上,外側部分具有第三能帶間隙和第三結晶方向。
為了讓本發明之上述目的、特徵、及優點能更明顯易懂,以下配合所附圖式,作詳細說明如下:
以下詳述各實施例的製造與使用,然而,可以理解的是,這些實施例提供許多可應用的發明概念,其可以在各種不同的特定領域中實施,在此所討論的特定實施例僅用於說明在此揭示的實施例之製造與使用的特定方式,並非用於限定不同實施例的範圍。
在此揭示新的鰭式場效電晶體(FinFET)以及其形成方法,以下說明製造本發明之實施例的中間階段,並討論實施例的各種變化。在本發明的各種圖式與實施例中,相似的元件以相似的參考數字標示。
第1圖顯示鰭式場效電晶體(FinFET)50的透視圖,其包含鰭片結構(fin)150、第一覆蓋層151、閘極180、源極區230以及汲極區231。鰭片結構150以垂直的矽鰭片形式在基底(未繪出)上方延伸形成,並用於形成源極區230、汲極區231以及介於源極與汲極區之間的通道區(未繪出)。第一覆蓋層151圍繞鰭片結構150形成,在通道區內形成閘極介電層(未繪出),然後形成閘極180圍繞鰭片結構,並且包圍通道區內的鰭片結構。對源極區230和汲極區231進行摻雜,使得鰭片結構150的這些部分具導電性。在另一實施例中,可藉由形成的第一凹陷(詳細討論請參閱第9A-9C圖),然後利用選擇性的磊晶成長(selective epitaxial growth;SEG)(詳細討論請參閱第10A-10C圖)磊晶地生成源極與汲極區,以形成源極區230和汲極區231。在另一實施例中,可使用非選擇性的磊晶成長。可經由以下討論的佈植方法對這些區域進行摻雜,或者當材料成長時藉由原位(in-situ)進行摻雜。
使用第2圖的流程圖說明形成鰭片型多閘極電晶體(fin type multiple-gate transistor)的方法20,在第2圖中所描述的各製程步驟期間的多閘極電晶體的剖面圖在第3A-10C圖中顯示。
步驟22為在基底上形成半導體層,如第3A、3B和3C圖所示。第3A圖為沿著第1圖的線Y-Y的Z平面,第3B圖為沿著第1圖的線X-X的Z平面,第3C圖為沿著第1圖的線X-X的Y平面。
參閱第3A、3B和3C圖,其顯示在基底110上的半導體層130,半導體層130可包括巨塊矽或絕緣層上的矽(silicon-on-insulator;SOI)基底的主動層。一般而言,絕緣層上的矽(SOI)基底包括一層半導體材料,例如矽、鍺、矽鍺、絕緣層上的矽(SOI)、絕緣層上的矽鍺(silicon germanium on insulator;SGOI)或前述之組合。半導體層130可由元素半導體例如矽,合金半導體例如矽鍺,或化合物半導體例如砷化鎵(gallium arsenide)或磷化銦(indium phosphide)形成。在一實施例中,半導體層130為矽。經由佈植製程140對半導體層130進行摻雜,將p型或n型雜質導入半導體層130內。依據一實施例,可使用硼或二氟化硼(boron difluoride)離子導入p型雜質,並且可使用砷或磷離子導入n型雜質,其摻雜程度從1e17離子數/立方公分(ions/cm3)至5e19離子數/立方公分(ions/cm3)。
步驟24為將半導體層圖案化成鰭片結構,如第4A、4B和4C圖所示。第4A圖為沿著第1圖的線Y-Y的Z平面,第4B圖為沿著第1圖的線X-X的Z平面,第4C圖為沿著第1圖的線X-X的Y平面。
參閱第4A、4B和4C圖,將半導體層130圖案化形成鰭片結構150。鰭片結構的圖案化製程可藉由在半導體層130之上沈積常用的遮罩材料(未繪出),例如光阻或氧化矽,然後將遮罩材料圖案化,並且依據此圖案將半導體層蝕刻而達成。在此方法中,可在基底上方形成半導體鰭片狀的半導體結構。如第4B和4C圖所示,鰭片結構150沿著第1圖的線X-X延伸。在另一實施例中,鰭片結構150可從基底110的頂部表面磊晶地成長在基底110頂上的圖案化層中的溝槽或開口內。此製程為習知技術,在此不再詳述。在一實施例中,如第4A和4C圖所示,鰭片結構150的寬度160可介於約2nm至20nm之間,並且如第4A和4B圖所示,鰭片結構150的高度161可介於約7nm至50nm之間。
步驟26為在鰭片結構的頂部表面與側面上形成覆蓋層,如第5A、5B和5C圖所示。第5A圖為沿著第1圖的線Y-Y的Z平面,第5B圖為沿著第1圖的線X-X的Z平面,第5C圖為沿著第1圖的線X-X的Y平面。
可使用第一覆蓋層151來幫助在閘極底下的薄層內保留通道載子,使得閘極的靜電控制獲得改善。在NMOS空乏型(depletion-mode)鰭式場效電晶體(FinFET)的實施例中,此薄的通道可藉由具有重摻雜的p型摻雜的鰭片結構150與未摻雜、輕摻雜的p型摻雜或輕摻雜的n型摻雜的覆蓋層151,以及重摻雜的n型摻雜的源極與汲極區的結合而完成。反之,在PMOS空乏型鰭式場效電晶體(FinFET)的實施例中,此薄的通道可藉由具有重摻雜的n型摻雜的鰭片50與未摻雜、輕摻雜的n型摻雜或輕摻雜的p型摻雜的覆蓋層151,以及重摻雜的p型摻雜的源極與汲極區的結合而完成。在這些實施例中,形成第一覆蓋層151的材料之能帶間隙(band gap)應該小於形成鰭片結構150的材料之能帶間隙,其可以讓通道載子停留在被第一覆蓋層151定義的閘極底下的薄層內。此外,可使用第一覆蓋層151來幫助奈米尺寸級的鰭片結構150的穩定度。
參閱第5A、5B和5C圖,第一覆蓋層151利用選擇性的磊晶成長(SEG)而磊晶地成長在鰭片結構150暴露出來的部分上,第一覆蓋層151可由與鰭片結構150相同或不同的半導體材料形成。在一實施例中,第一覆蓋層151由大抵上純的矽形成,在其他實施例中,第一覆蓋層151可包括矽鍺(SiGe)、碳化矽(SiC)或類似的材料。形成第一覆蓋層151的方法可包含原子層沈積(atomic layer deposition;ALD)、化學氣相沈積(chemical vapor deposition;CVD),例如降壓的化學氣相沈積(reduced pressure CVD;RPCVD)、有機金屬化學氣相沈積(metalorganic chemical vapor deposition;MOCVD)或其他可應用的方法。取決於第一覆蓋層151需要的組成,作為磊晶的前驅物可包含含有矽的氣體以及含有鍺的氣體,例如SiH4和GeH4,以及/或類似的材料,並且調整含矽氣體以及含鍺氣體的分壓,可以修改鍺對矽的原子比。在需要SiGe形成第一覆蓋層151的實施例中,其形成的第一覆蓋層151中包含大於20原子百分比的鍺。在第一覆蓋層151中,鍺的百分比也可介於約20原子百分比至約50原子百分比之間。第一覆蓋層151可經由前述討論的佈植方法進行摻雜,或者當材料成長時藉由原位(in-situ)摻雜方式進行摻雜。
在磊晶製程期間,可在製程氣體中加入蝕刻氣體,例如HCl氣體,作為蝕刻氣體,使得第一覆蓋層151選擇性地成長在鰭片結構150上,但不會成長在基底110上,如第5A、和5C圖所示。在其他實施例中,不添加蝕刻氣體,或蝕刻氣體的量很小,使得基底110上形成一層薄的第一覆蓋層151。在另一實施例中,基底110可以被犧牲層(未繪出)覆蓋,以避免磊晶在其上生長。
步驟28為在鰭片結構之上形成閘極介電層和閘極電極層,如第6A、6B和6C圖所示。第6A圖為沿著第1圖的線Y-Y的Z平面,第6B圖為沿著第1圖的線X-X的Z平面,第6C圖為沿著第1圖的線X-X的Y平面。
參閱第6A、6B和6C圖,可藉由熱氧化、CVD、濺鍍或任何其他習知用於形成閘極介電層的方法來形成閘極介電層170。在其他實施例中,閘極介電層170包含的介電材料具有高介電常數(k值),例如為大於3.9。此材料可包含氮化矽、氮氧化物(oxynitrides)、金屬氧化物如HfO2、HfZrOx、HfSiOx、HfTiOx、HfAlOx和類似的材料、前述之組合,以及前述之多層結構。在另一實施例中,閘極介電層170可具有由金屬氮化物材料形成的覆蓋層,例如氮化鈦(titanium nitride)、氮化鉭(tantalum nitride)或氮化鉬(molybdenum nitride),其厚度從1nm至20nm。
在閘極介電層170形成之後,可形成閘極電極層180。閘極電極層180包括導電材料,且可選自於包括多晶矽(poly-Si)、多晶矽鍺(poly-SiGe)、金屬氮化物(metallic nitrides)、金屬矽化物(metallic silicides)、金屬氧化物(metallic oxides)以及金屬的群組。金屬氮化物的例子包含氮化鎢、氮化鉬、氮化鈦、氮化鉭或前述之組合;金屬矽化物的例子包含矽化鎢、矽化鈦、矽化鈷、矽化鎳、矽化鉑、矽化鉺(erbium silicide)或前述之組合;金屬氧化物的例子包含氧化釕(ruthenium oxide)、氧化銦錫或前述之組合;金屬的例子包含鎢、鈦、鋁、銅、鉬、鎳、鉑等。
可藉由CVD、濺鍍沈積或其他習知用於沈積導電材料的技術沈積閘極電極層180,閘極電極層180的厚度範圍可在約200至約400之間。閘極電極層180的頂部表面通常為非平面的頂部表面,並且在閘極電極層180圖案化或閘極蝕刻之前可以是平面的。在閘極電極層180內可導入或不導入離子,例如可藉由離子佈植技術將離子導入。
步驟30為形成閘極結構,如第7A、7B和7C圖所示。第7A圖為沿著第1圖的線Y-Y的Z平面,第7B圖為沿著第1圖的線X-X的Z平面,第7C圖為沿著第1圖的線X-X的Y平面。
參閱第7A、7B和7C圖,將閘極介電層170和閘極電極層180圖案化形成閘極結構200,並且定義鰭片結構的第一部份230(參閱第7C圖)、鰭片結構的第二部份231(參閱第7C圖),以及位於鰭片結構150內,在閘極介電層170底下的通道區205(參閱第7B圖)。可藉由在閘極電極層180上沈積與圖案化閘極遮罩(未繪出)而形成閘極結構200,例如使用習知的沈積與微影技術。閘極遮罩可使用常用的遮罩材料,例如但不限定為光阻材料、氧化矽、氮氧化矽以及/或氮化矽。可使用電漿蝕刻方式蝕刻閘極介電層170和閘極電極層180,以形成如第7B和7C圖所示之圖案化的閘極結構200。
可藉由佈植製程220對鰭片結構的第一部份230和鰭片結構的第二部份231進行摻雜,以植入適當的摻雜物,藉此在鰭片結構150內補充相配的摻雜物,例如,可植入p型摻雜物,如硼、鎵、銦或類似的元素,另外,可植入n型摻雜物,如磷、砷、銻或類似的元素。可使用閘極堆疊作為遮罩,對第一部份230和第二部份231進行離子植入,在一實施例中,被植入的摻雜離子的程度從1e18離子數/立方公分(ions/cm3)至1e20離子數/立方公分(ions/cm3)。
步驟32為形成閘極間隙壁,如第8A、8B和8C圖所示。第8A圖為沿著第1圖的線Y-Y的Z平面,第8B圖為沿著第1圖的線X-X的Z平面,第8C圖為沿著第1圖的線X-X的Y平面。
參閱第8A、8B和8C圖,可在閘極結構200的相反側面上形成閘極間隙壁240和241,閘極間隙壁240和241通常藉由在先前形成的結構上全面性地沈積(blanket depositing)間隙壁層(未繪出)而形成,間隙壁層可包括SiN、氮氧化物、SiC、SiON、氧化物以及類似的材料,並且可藉由形成這些材料層的方法形成,例如化學氣相沈積(CVD)、電漿輔助化學氣相沈積(plasma enhanced CVD)、濺鍍以及其他習知的方法。然後將閘極間隙壁240和241圖案化,較佳為藉由非等向性蝕刻從結構的水平表面移除間隙壁層。閘極間隙壁240和241可具有相同的厚度250(參閱第8B和8C圖),其範圍可從1.5nm至40nm。
步驟34為在鰭片結構內形成凹陷作為源極與汲極結構,如第9A、9B和9C圖所示。第9A圖為沿著第1圖的線Y-Y的Z平面,第9B圖為沿著第1圖的線X-X的Z平面,第9C圖為沿著第1圖的線X-X的Y平面。
參閱第9A、9B和9C圖,鰭片結構150的第一部份230和第二部份231被移除或形成凹陷,形成源極凹陷270和汲極凹陷271。在一實施例中,藉由等向性的定向蝕刻(isotropic orientation dependent etching)製程260形成源極凹陷270和汲極凹陷271,可使用氫氧化四甲基銨(tetramethylammonium hydroxide;TMAH)作為蝕刻劑,所形成的源極凹陷270和汲極凹陷271的深度280(參閱第9B圖)範圍可從0nm至150nm。
步驟36為形成源極與汲極結構,如第10A、10B和10C圖所示。第10A圖為沿著第1圖的線Y-Y的Z平面,第10B圖為沿著第1圖的線X-X的Z平面,第10C圖為沿著第1圖的線X-X的Y平面。
參閱第10A、10B和10C圖,未摻雜的磊晶層290和291可分別在源極凹陷270和汲極凹陷271內形成,未摻雜的磊晶層290和291可避免重摻雜的磊晶層300/301與鰭片結構150之間的漏電流,可藉由選擇性的磊晶成長(SEG)形成未摻雜的磊晶層290和291,並且可參考上述討論的第5A、5B和5C圖的第一覆蓋層151的形成方法及材料。
在未摻雜的磊晶層290和291形成之後,形成重摻雜的磊晶層300和301,完成源極結構400與汲極結構401。可藉由選擇性的磊晶成長(SEG)形成重摻雜的磊晶層300和301,並且可參考上述討論的第5A、5B和5C圖的第一覆蓋層151的形成方法及材料。可用p型摻雜物或n型摻雜物對重摻雜的磊晶層300和301進行摻雜,其取決於鰭式場效電晶體(FinFET)元件所需的型態。在NMOS的實施例中,n型離子植入的程度從3e18離子數/立方公分(ions/cm3)至5e20離子數/立方公分(ions/cm3)。在PMOS的實施例中,p型離子植入的程度從3e18離子數/立方公分(ions/cm3)至5e20離子數/立方公分(ions/cm3)。
參閱第10A、10B和10C圖,在另一實施例中,形成源極結構400與汲極結構401,並藉由第一覆蓋層151在形成的通道層上給予張力(strain)。在此實施例中,可生成源極結構400與汲極結構401以形成應力器(stressor),在位於閘極結構200底下藉由第一覆蓋層151形成的通道層上給予應力。在一實施例中,鰭片結構150包括矽,然後可經由選擇性的磊晶成長(SEG)製程形成源極結構400與汲極結構401,其材料例如為矽鍺、碳化矽或類似的材料,具有與矽不同的晶格常數。在源極結構400與汲極結構401內的應力器的材料與藉由第一覆蓋層151形成的通道層的材料之間的晶格不匹配可在通道層內給予應力,其會增加載子移動率以及元件的整體效能。源極結構400與汲極結構401可經由上述討論的佈植方法進行摻雜,或者當材料成長時藉由原位摻雜進行摻雜。
第11A、11B和11C圖顯示另一實施例的剖面圖,其中源極與汲極結構包括輕摻雜區和重摻雜區,其中第11A圖為沿著第1圖的線Y-Y的Z平面,第11B圖為沿著第1圖的線X-X的Z平面,第11C圖為沿著第1圖的線X-X的Y平面。
在此實施例中,藉由鰭片結構150的凹陷部分以及在凹陷內的磊晶成長材料(參閱第9A至10C圖)取代源極結構400與汲極結構401的形成,源極結構400包括第一輕摻雜區350和第一重摻雜區360,汲極結構401包括第二輕摻雜區351和第二重摻雜區361。回到第7A、7B和7C圖,在閘極結構200形成之後,鰭片的第一部份230和鰭片的第二部份231藉由佈植製程220進行輕摻雜,以植入適當的摻雜物,藉此在鰭片結構150內補入相配的摻雜物,摻雜物離子植入的程度從1e13離子數/立方公分(ions/cm3)至2e18離子數/立方公分(ions/cm3)。在佈植製程220進行之後,形成閘極間隙壁240和241(參閱第8A、8B和8C圖)。在閘極間隙壁形成之後,鰭片的第一部份230和鰭片的第二部份231藉由植入摻雜離子的程度從5e19至2e21離子數/立方公分(ions/cm3)而成為重摻雜,以形成輕摻雜區350和351以及重摻雜區360和361。輕摻雜區主要在閘極間隙壁底下,而重摻雜區則沿著鰭片結構150在閘極間隙壁的外面。
第12A圖顯示NMOS空乏型(depletion-mode)鰭式場效電晶體(FinFET)實施例的剖面圖,其中第12A圖為沿著線Y-Y的Z平面。為了形成此實施例,鰭片結構150為重摻雜的p型(參閱上述的第3A至3C圖),並且源極與汲極結構400和401為重摻雜的n型(參閱上述的第10A至10C圖),第一覆蓋層151可以是輕摻雜的n型或p型,此型態具有n型功函數閘極金屬閘極,將作為NMOS空乏型FinFET。通道載子會被重p型摻雜的鰭片結構150排斥,並且會待在被第一覆蓋層151定義的閘極底下的薄層內。另外,為了形成PMOS空乏型鰭式場效電晶體(FinFET)的實施例,鰭片結構150為重摻雜的n型,並且源極與汲極結構400和401為重摻雜的p型,第一覆蓋層151可以與NMOS型態內的摻雜相同,此型態具有p型功函數閘極金屬閘極,將作為PMOS空乏型FinFET。通道載子會被重n型摻雜的鰭片結構150排斥,並且會待在被第一覆蓋層151定義的閘極底下的薄層內。在上述兩個實施例中,覆蓋層被植入n型離子或p型離子的程度從1e15離子數/立方公分(ions/cm3)至2e18離子數/立方公分(ions/cm3),或者覆蓋層內未植入任何離子。
第12B圖顯示NMOS空乏型鰭式場效電晶體(FinFET)的另一實施例的剖面圖,其中第12B圖為沿著線Y-Y的Z平面。此實施例與第12A圖的實施例相似,除了取代只有一層的覆蓋層(參閱第12A圖)之外。此實施例具有第二覆蓋層152,其介於鰭片結構150與第一覆蓋層151之間,第二覆蓋層152在鰭片結構150與第一覆蓋層151之間提供擴散阻障。在一實施例中,形成第一覆蓋層151的材料之能帶間隙可小於、等於或大於形成鰭片結構150的材料之能帶間隙,此擴散阻障可以讓鰭片結構150是正向偏壓(forward biased),以調整FinFET的臨界電壓。沒有擴散阻障,鰭片結構150只能是反向偏壓(reverse biased)。
如前述第5A至5C圖所討論,第二覆蓋層152在磊晶製程中於鰭片結構150的頂部表面與側面上形成,在第二覆蓋層152形成之後,第一覆蓋層151磊晶地成長在第二覆蓋層152之上。第二覆蓋層152不是用碳進行重摻雜,就是由載子阻障材料,例如用於n-Si的SiGe、用於n-GaAs的AlGaAs以及類似的材料製成。如果第二覆蓋層152用碳進行摻雜,其可以植入的碳離子的程度從1e20離子數/立方公分(ions/cm3)至1e21離子數/立方公分(ions/cm3)。另外,為了形成PMOS空乏型鰭式場效電晶體(FinFET)的實施例,鰭片結構150為重摻雜的n型,並且源極與汲極結構400和401為重摻雜的p型,第一覆蓋層151和第二覆蓋層152都可以與他們在上述NMOS型態內的摻雜相同,並且當搭配p型功函數閘極金屬閘極時,將作為PMOS空乏型FinFET。通道載子會被重n型摻雜的鰭片結構150排斥,並且會待在被第一覆蓋層151定義的閘極底下的薄層內。在上述兩個實施例中,覆蓋層被植入n型離子或p型離子的程度從1e15離子數/立方公分(ions/cm3)至2e18離子數/立方公分(ions/cm3),或者覆蓋層未植入任何離子。
第12A和12B圖的實施例都可以在閘極底下達到薄的通道,此薄的通道讓閘極具有改善的靜電控制,鰭片結構150也可以經由基底110施加偏壓,其可以調整FinFET的臨界電壓,調整臨界電壓的能力讓此FinFET可以應用在超低、低以及標準的電壓設計中。
第13A圖顯示NMOS累積型(accumulation-mode)鰭式場效電晶體(FinFET)實施例的剖面圖,其中第13A圖為沿著線Y-Y的Z平面。在此實施例中,鰭片結構150可植入p型離子的程度從3e18離子數/立方公分(ions/cm3)至5e18離子數/立方公分(ions/cm3),第一覆蓋層151可參閱第5A至5C圖以上述討論的方式形成。在此實施例中,覆蓋層可植入n型離子的程度從3e18離子數/立方公分(ions/cm3)至5e20離子數/立方公分(ions/cm3),此型態具有p型功函數閘極金屬閘極,將作為NMOS累積型FinFET。
第13B圖顯示NMOS累積型鰭式場效電晶體(FinFET)的另一實施例的剖面圖,其中第13B圖為沿著線Y-Y的Z平面。取代了只有一層覆蓋層(參閱第13A圖)的實施例,此實施例具有第二覆蓋層152,第二覆蓋層152在鰭片結構150與第一覆蓋層151之間形成,以作為擴散阻障,第二覆蓋層152的形成如前述所討論,參閱第12B圖。第二覆蓋層152不是用碳進行重摻雜,就是由載子阻障材料,例如用於n-Si的SiGe、用於n-GaAs的AlGaAs以及類似的材料製成。如果第二覆蓋層152摻雜碳,其可以植入的碳離子的程度從1e20離子數/立方公分(ions/cm3)至1e21離子數/立方公分(ions/cm3)進行佈植。第一覆蓋層151可參閱第5A至5C圖,以如上述討論的方式形成。在此實施例中,第一覆蓋層151可植入的n型離子的程度從3e18離子數/立方公分(ions/cm3)至5e20離子數/立方公分(ions/cm3),此型態具有p型功函數閘極金屬閘極,將作為NMOS累積型FinFET。
第13C圖顯示NMOS累積型鰭式場效電晶體(FinFET)的又另一實施例的剖面圖,其中第13C圖為沿著線Y-Y的Z平面。取代了具有均勻摻雜的鰭片結構(參閱第13B圖)的實施例,在此實施例中,鰭片結構150具有內側部分157和外側部分158。最初形成鰭片結構150,並且參閱第3A至4C圖,以如前述討論的相同方式進行摻雜,為了對外側部分158進行摻雜,鰭片結構150經過電漿浸潤離子佈植(plasma immersion ion implantation;PIII)製程形成鰭片結構的薄層,其包括外側部分158。外側部分158可輕摻雜n型或p型的程度從1e13離子數/立方公分(ions/cm3)至5e17離子數/立方公分(ions/cm3)。第二覆蓋層152在鰭片結構150與第一覆蓋層151之間形成,以作為擴散阻障,第二覆蓋層152的形成如前述所討論,參閱第12B圖。第二覆蓋層152不是用碳進行重摻雜,就是由載子阻障材料,例如用於n-Si的SiGe、用於n-GaAs的AlGaAs以及類似的材料製成。如果第二覆蓋層152摻雜碳,其可以植入的碳離子的程度從1e20離子數/立方公分(ions/cm3)至1e21離子數/立方公分(ions/cm3)。第一覆蓋層151以如上述討論的方式形成,參閱第5A至5C圖。在此實施例中,第一覆蓋層151可植入的n型離子的程度從3e18離子數/立方公分(ions/cm3)至5e20離子數/立方公分(ions/cm3)。輕摻雜的外側部分158與第二覆蓋層152結合而作為擴散阻障,其有助於將通道載子局限在第一覆蓋層151內,此型態具有p型功函數閘極金屬閘極,將作為NMOS累積型FinFET。
第13D圖顯示NMOS累積型鰭式場效電晶體(FinFET)的另一實施例的剖面圖,其中第13D圖為沿著線Y-Y的Z平面。取代了內側與外側部分被第一與第二覆蓋層圍繞的鰭片結構(參閱第13C圖)之實施例,在此實施例中,外側部分158以碳進行重摻雜,並且只被第一覆蓋層151圍繞,以碳重摻雜的外側部分158提供擴散阻障(參閱第12B圖的描述),因此不需要第二覆蓋層。第一覆蓋層151參閱第5A至5C圖,以如上述討論的方式形成,在此實施例中,第一覆蓋層151可植入的n型離子的程度從3e18離子數/立方公分(ions/cm3)至5e20離子數/立方公分(ions/cm3)。此型態具有p型功函數閘極金屬閘極,將作為NMOS累積型FinFET。
雖然本發明已揭露較佳實施例如上,然其並非用以限定本發明,在此技術領域中具有通常知識者當可瞭解,在不脫離本發明之精神和範圍內,當可做些許更動與潤飾。例如,有多種方法可用於沈積材料而形成上述結構,可依據本揭示,使用任何可達到與此所述之相對應的實施例大抵上相同結果的沈積方法。因此,本發明之保護範圍當視後附之申請專利範圍所界定為準。
20...形成鰭式場效電晶體的方法
22、24、26、28、30、32、34、36...方法20的各步驟
50...鰭式場效電晶體
110...基底
130...半導體層
140、220...佈植製程
150...鰭片結構
151...第一覆蓋層
152...第二覆蓋層
157...鰭片結構的內側部分
158...鰭片結構的外側部分
160...鰭片結構的寬度
161...鰭片結構的高度
170...閘極介電層
180...閘極電極層
200...閘極結構
205...通道區
230...鰭片結構的第一部份(源極區)
231...鰭片結構的第二部份(汲極區)
240、241...閘極間隙壁
250...閘極間隙壁的厚度
260...等向性定向蝕刻製程
270...源極凹陷
271...汲極凹陷
280...源極凹陷和汲極凹陷的深度
290、291...未摻雜的磊晶層
300、301...重摻雜的磊晶層
350...第一輕摻雜區
351...第二輕摻雜區
360...第一重摻雜區
361...第二重摻雜區
400...源極結構
401...汲極結構
第1圖係顯示依據一實施例,鰭式場效電晶體的透視圖;
第2圖係顯示依據一實施例,形成鰭式場效電晶體的方法之流程圖;
第3A-11C圖係顯示依據一實施例,製造鰭式場效電晶體的中間階段之剖面示意圖;
第12A和12B圖係顯示依據一實施例,空乏型鰭式場效電晶體的剖面示意圖;以及
第13A-13D圖係顯示依據一實施例,累積型鰭式場效電晶體的剖面示意圖。
110...基底
151...第一覆蓋層
157...鰭片結構的內側部分
158...鰭片結構的內側部分
170...閘極介電層
180...閘極電極層

Claims (13)

  1. 一種半導體元件,包括:一基底;一鰭片結構,升高於該基底之上,該鰭片結構包括:一內側部分,具有一第一能帶間隙和一第一結晶方向;以及一外側部分,設置在該內側部分的一頂部表面與複數個側面上,該外側部分具有一第二能帶間隙和一第二結晶方向,該第二能帶間隙小於或等於該第一能帶間隙。
  2. 如申請專利範圍第1項所述之半導體元件,其中該第二結晶方向與該第一結晶方向相同。
  3. 如申請專利範圍第1項所述之半導體元件,其中該鰭片結構更包括一中間部分介於該內側部分與該外側部分之間,該中間部分具有一第三能帶間隙和一第三結晶方向,該第三能帶間隙大於該第一能帶間隙,且該第三結晶方向與該第二結晶方向相同。
  4. 一種半導體元件,包括:一基底;一非平面電晶體,設置於該基底上,該非平面電晶體包括:一鰭片結構,具有一第一結晶方向,該鰭片結構含有一第一導電型的一雜質;一源極與汲極區,設置在該鰭片結構內,該源極含有一第二導電型的一雜質,該第二導電型與該第一導電型不同;以及一覆蓋層,設置在該鰭片結構的一頂部表面與複數個側面上,該覆蓋層具有一第二結晶方向和小於或等於該鰭片結構的一能帶間隙。
  5. 如申請專利範圍第4項所述之半導體元件,其中該半導體元件更包括一擴散阻障層,該擴散阻障層介於該鰭片結構與該覆蓋層之間,且具有一第三結晶方向。
  6. 如申請專利範圍第5項所述之半導體元件,其中該第二結晶方向與該第一結晶方向相同,且該第三結晶方向與該第二結晶方向相同。
  7. 如申請專利範圍第5項所述之半導體元件,其中該鰭片結構更包括:一內側部分,含有該第一導電型的一雜質;以及一外側部分,介於該內側部分與該擴散阻障層之間,該外側部分具有低於該內側部分和該擴散阻障層的一較低濃度的一雜質。
  8. 如申請專利範圍第4項所述之半導體元件,其中該覆蓋層含有該第二導電型的一雜質,該雜質的一濃度低於該源極與汲極區內的該第二導電型的該雜質的該濃度。
  9. 如申請專利範圍第4項所述之半導體元件,其中該覆蓋層不含有該第一導電型的雜質。
  10. 如申請專利範圍第4項所述之半導體元件,其中該鰭片結構更包括:一內側部分,含有一第一濃度的該第一導電型的一雜質;以及一外側部分,介於該內側部分與該擴散阻障層之間,該外側部分具有大於該覆蓋層的一能帶間隙;其中該覆蓋層含有一第二濃度的一雜質,該第二濃度低於該第一濃度。
  11. 一種半導體元件的形成方法,包括:提供一基底;在該基底的一頂部表面上形成一半導體鰭片結構;以及在該半導體鰭片結構的一頂部表面與複數個側面上形成一覆蓋層,該覆蓋層具有小於或等於該半導體鰭片結構的一能帶間隙。
  12. 如申請專利範圍第11項所述之半導體元件的形成方法,更包括在該半導體鰭片結構上磊晶地成長一擴散阻障層,其中形成該覆蓋層的步驟包括在該擴散阻障層上磊晶地成長該覆蓋層。
  13. 如申請專利範圍第11項所述之半導體元件的形成方法,其中形成該半導體鰭片結構的步驟更包括在該半導體鰭片結構的一內側部分內電漿佈植摻雜物,達到一高於該半導體鰭片結構的一外側部分的較高濃度,其中該內側部分具有一小於該外側部分的能帶間隙。
TW101114866A 2011-09-06 2012-04-26 半導體元件及其形成方法 TWI496291B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201161531488P 2011-09-06 2011-09-06
US13/335,689 US8890207B2 (en) 2011-09-06 2011-12-22 FinFET design controlling channel thickness

Publications (2)

Publication Number Publication Date
TW201312751A true TW201312751A (zh) 2013-03-16
TWI496291B TWI496291B (zh) 2015-08-11

Family

ID=47752445

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101114866A TWI496291B (zh) 2011-09-06 2012-04-26 半導體元件及其形成方法

Country Status (4)

Country Link
US (2) US8890207B2 (zh)
KR (1) KR101371841B1 (zh)
CN (1) CN102983165B (zh)
TW (1) TWI496291B (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI552206B (zh) * 2014-03-07 2016-10-01 格羅方德半導體公司 使用通道區域下之共植入改善鰭式場效電晶體半導體元件性能之方法
US9490365B2 (en) 2014-06-12 2016-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
US9490346B2 (en) 2014-06-12 2016-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
US9502538B2 (en) 2014-06-12 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd Structure and formation method of fin-like field effect transistor
TWI565056B (zh) * 2013-09-27 2017-01-01 英特爾股份有限公司 具有可變覆層/核心尺寸作應力及帶隙調節的電晶體結構
TWI578524B (zh) * 2014-07-03 2017-04-11 格羅方德半導體公司 藉由執行三重塗覆程序形成用於半導體裝置之通道區的方法
TWI624061B (zh) * 2013-04-10 2018-05-11 三星電子股份有限公司 半導體裝置及其製造方法
US10163657B1 (en) 2017-08-25 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture

Families Citing this family (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9537004B2 (en) 2011-05-24 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain formation and structure
US8890207B2 (en) * 2011-09-06 2014-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET design controlling channel thickness
US9006069B2 (en) * 2011-12-19 2015-04-14 Intel Corporation Pulsed laser anneal process for transistors with partial melt of a raised source-drain
KR101894221B1 (ko) 2012-03-21 2018-10-04 삼성전자주식회사 전계 효과 트랜지스터 및 이를 포함하는 반도체 장치
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
CN103779226B (zh) * 2012-10-23 2016-08-10 中国科学院微电子研究所 准纳米线晶体管及其制造方法
US8900958B2 (en) 2012-12-19 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial formation mechanisms of source and drain regions
US9252008B2 (en) 2013-01-11 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial formation mechanisms of source and drain regions
US8853039B2 (en) 2013-01-17 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction for formation of epitaxial layer in source and drain regions
US9385234B2 (en) 2013-02-27 2016-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
US9159824B2 (en) * 2013-02-27 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
US9087902B2 (en) 2013-02-27 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
US9093468B2 (en) 2013-03-13 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Asymmetric cyclic depositon and etch process for epitaxial formation mechanisms of source and drain regions
US9029226B2 (en) 2013-03-13 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for doping lightly-doped-drain (LDD) regions of finFET devices
US8877592B2 (en) * 2013-03-14 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial growth of doped film for source and drain regions
CN104218082B (zh) * 2013-06-04 2017-08-25 中芯国际集成电路制造(上海)有限公司 高迁移率鳍型场效应晶体管及其制造方法
US9293534B2 (en) 2014-03-21 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of dislocations in source and drain regions of FinFET devices
US20140374807A1 (en) * 2013-06-19 2014-12-25 International Business Machines Corporation METHOD OF DEVICE ISOLATION IN CLADDING Si THROUGH IN SITU DOPING
CN104282565B (zh) * 2013-07-03 2017-08-25 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其形成方法
KR102072410B1 (ko) 2013-08-07 2020-02-03 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US8999779B2 (en) 2013-09-06 2015-04-07 International Business Machines Corporation Locally raised epitaxy for improved contact by local silicon capping during trench silicide processings
US10090304B2 (en) 2013-09-25 2018-10-02 Intel Corporation Isolation well doping with solid-state diffusion sources for FinFET architectures
US20160190319A1 (en) * 2013-09-27 2016-06-30 Intel Corporation Non-Planar Semiconductor Devices having Multi-Layered Compliant Substrates
CN105531801A (zh) * 2013-09-27 2016-04-27 英特尔公司 通过组合选择性外延和共形外延的用于cmos的图案化硅衬底上的非硅器件异质层
CN105793967B (zh) * 2013-09-27 2019-03-12 英特尔公司 具有最大顺从性和自由表面弛豫的Ge和III-V族沟道半导体器件
US20150097217A1 (en) * 2013-10-03 2015-04-09 International Business Machines Corporation Semiconductor attenuated fins
US9299784B2 (en) * 2013-10-06 2016-03-29 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device with non-linear surface
US9520502B2 (en) 2013-10-15 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs having epitaxial capping layer on fin and methods for forming the same
KR20150058597A (ko) 2013-11-18 2015-05-29 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9716176B2 (en) 2013-11-26 2017-07-25 Samsung Electronics Co., Ltd. FinFET semiconductor devices including recessed source-drain regions on a bottom semiconductor layer and methods of fabricating the same
US9263522B2 (en) * 2013-12-09 2016-02-16 Qualcomm Incorporated Transistor with a diffusion barrier
US9236483B2 (en) * 2014-02-12 2016-01-12 Qualcomm Incorporated FinFET with backgate, without punchthrough, and with reduced fin height variation
WO2015127697A1 (en) * 2014-02-25 2015-09-03 Tsinghua University Method for forming fin field effect transistor
US9773869B2 (en) 2014-03-12 2017-09-26 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
US9299587B2 (en) 2014-04-10 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Microwave anneal (MWA) for defect recovery
US9559191B2 (en) * 2014-04-16 2017-01-31 International Business Machines Corporation Punch through stopper in bulk finFET device
US10468528B2 (en) 2014-04-16 2019-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device with high-k metal gate stack
US9178067B1 (en) 2014-04-25 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device
US9721955B2 (en) 2014-04-25 2017-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for SRAM FinFET device having an oxide feature
US9390976B2 (en) * 2014-05-01 2016-07-12 International Business Machines Corporation Method of forming epitaxial buffer layer for finFET source and drain junction leakage reduction
US9391200B2 (en) * 2014-06-18 2016-07-12 Stmicroelectronics, Inc. FinFETs having strained channels, and methods of fabricating finFETs having strained channels
KR102200345B1 (ko) 2014-06-26 2021-01-11 삼성전자주식회사 반도체 소자 및 그 제조방법
US9224736B1 (en) 2014-06-27 2015-12-29 Taiwan Semicondcutor Manufacturing Company, Ltd. Structure and method for SRAM FinFET device
KR102171023B1 (ko) 2014-07-21 2020-10-29 삼성전자주식회사 반도체 소자 제조방법
WO2016016984A1 (ja) 2014-07-31 2016-02-04 日立マクセル株式会社 撮像装置およびその被写体追尾方法
CN106716644B (zh) 2014-09-26 2022-03-01 英特尔公司 用于半导体器件的选择性栅极间隔体
US9653605B2 (en) 2014-10-17 2017-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device and method for forming the same
US9647090B2 (en) * 2014-12-30 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Surface passivation for germanium-based semiconductor structure
US10833175B2 (en) * 2015-06-04 2020-11-10 International Business Machines Corporation Formation of dislocation-free SiGe finFET using porous silicon
US10211208B2 (en) * 2015-06-26 2019-02-19 Intel Corporation High-mobility semiconductor source/drain spacer
US9837416B2 (en) 2015-07-31 2017-12-05 Taiwan Semiconductor Manufacturing Company Ltd. Multi-threshold voltage field effect transistor and manufacturing method thereof
CN106449404B (zh) * 2015-08-12 2019-05-28 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
DE112015006945T5 (de) 2015-09-25 2018-06-21 Intel Corporation Transistoren mit hoher Elektronenbeweglichkeit mit Heteroübergang-Dotierstoffdiffusionsbarriere
US10388764B2 (en) 2015-09-25 2019-08-20 Intel Corporation High-electron-mobility transistors with counter-doped dopant diffusion barrier
DE112015006971T5 (de) 2015-09-25 2018-07-05 Intel Corporation Hochbeweglichkeits-Feldeffekttransistoren mit einer/einem retrogradierten Halbleiter-Source/Drain
US11222947B2 (en) 2015-09-25 2022-01-11 Intel Corporation Methods of doping fin structures of non-planar transistor devices
CN108028281B (zh) 2015-09-25 2022-04-15 英特尔公司 具有带偏移半导体源极/漏极衬垫的高迁移率场效应晶体管
US10658487B2 (en) * 2015-12-09 2020-05-19 Intel Corporation Semiconductor devices having ruthenium phosphorus thin films
US9941363B2 (en) * 2015-12-18 2018-04-10 International Business Machines Corporation III-V transistor device with self-aligned doped bottom barrier
US10062689B2 (en) * 2016-05-13 2018-08-28 Globalfoundries Inc. Method to fabricate vertical fin field-effect-transistors
US10957769B2 (en) 2016-06-17 2021-03-23 Intel Corporation High-mobility field effect transistors with wide bandgap fin cladding
CN107546127B (zh) * 2016-06-28 2022-06-21 联华电子股份有限公司 半导体元件及其制作方法
KR101846991B1 (ko) 2016-08-11 2018-04-09 가천대학교 산학협력단 벌크 실리콘 기반의 실리콘 게르마늄 p-채널 삼중 게이트 트랜지스터 및 그 제조방법
US10164066B2 (en) * 2016-11-29 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices and methods of forming
KR102582670B1 (ko) 2018-07-13 2023-09-25 삼성전자주식회사 반도체 장치
US11296077B2 (en) * 2018-11-19 2022-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Transistors with recessed silicon cap and method forming same
KR20200138540A (ko) 2019-05-31 2020-12-10 삼성전자주식회사 반도체 소자 및 그 제조 방법
US11664378B2 (en) * 2021-04-08 2023-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US20230034875A1 (en) * 2021-07-29 2023-02-02 Invention And Collaboration Laboratory Pte. Ltd. Transistor structure
KR20230032984A (ko) * 2021-08-31 2023-03-07 인벤션 앤드 콜라보레이션 라보라토리 피티이. 엘티디. 표준 셀 구조
US20230143986A1 (en) * 2021-11-09 2023-05-11 Invention And Collaboration Laboratory Pte. Ltd. Transistor structure
US20230170421A1 (en) * 2021-11-26 2023-06-01 Invention And Collaboration Laboratory Pte. Ltd. Transistor structure

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1519421A1 (en) * 2003-09-25 2005-03-30 Interuniversitair Microelektronica Centrum Vzw Multiple gate semiconductor device and method for forming same
US20070069302A1 (en) * 2005-09-28 2007-03-29 Been-Yih Jin Method of fabricating CMOS devices having a single work function gate electrode by band gap engineering and article made thereby
US7928426B2 (en) * 2007-03-27 2011-04-19 Intel Corporation Forming a non-planar transistor having a quantum well channel
EP2257974A1 (en) * 2008-02-26 2010-12-08 Nxp B.V. Method for manufacturing semiconductor device and semiconductor device
CN101853882B (zh) * 2009-04-01 2016-03-23 台湾积体电路制造股份有限公司 具有改进的开关电流比的高迁移率多面栅晶体管
US9768305B2 (en) 2009-05-29 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Gradient ternary or quaternary multiple-gate transistor
US9245805B2 (en) * 2009-09-24 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium FinFETs with metal gates and stressors
KR101159952B1 (ko) 2009-12-31 2012-06-25 경북대학교 산학협력단 3차원 화합물 반도체 소자 및 그 제조방법
US8575653B2 (en) * 2010-09-24 2013-11-05 Intel Corporation Non-planar quantum well device having interfacial layer and method of forming same
US8890207B2 (en) * 2011-09-06 2014-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET design controlling channel thickness
KR101805634B1 (ko) * 2011-11-15 2017-12-08 삼성전자 주식회사 Ⅲ-ⅴ족 배리어를 포함하는 반도체 소자 및 그 제조방법
CN104218082B (zh) * 2013-06-04 2017-08-25 中芯国际集成电路制造(上海)有限公司 高迁移率鳍型场效应晶体管及其制造方法

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI624061B (zh) * 2013-04-10 2018-05-11 三星電子股份有限公司 半導體裝置及其製造方法
TWI565056B (zh) * 2013-09-27 2017-01-01 英特爾股份有限公司 具有可變覆層/核心尺寸作應力及帶隙調節的電晶體結構
US9818870B2 (en) 2013-09-27 2017-11-14 Intel Corporation Transistor structure with variable clad/core dimension for stress and bandgap
TWI552206B (zh) * 2014-03-07 2016-10-01 格羅方德半導體公司 使用通道區域下之共植入改善鰭式場效電晶體半導體元件性能之方法
US10014224B2 (en) 2014-06-12 2018-07-03 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
US9502538B2 (en) 2014-06-12 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd Structure and formation method of fin-like field effect transistor
US9490346B2 (en) 2014-06-12 2016-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
US9490365B2 (en) 2014-06-12 2016-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
US10037921B2 (en) 2014-06-12 2018-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
US10727137B2 (en) 2014-06-12 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
US11393727B2 (en) 2014-06-12 2022-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
TWI578524B (zh) * 2014-07-03 2017-04-11 格羅方德半導體公司 藉由執行三重塗覆程序形成用於半導體裝置之通道區的方法
US10163657B1 (en) 2017-08-25 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
TWI646584B (zh) * 2017-08-25 2019-01-01 台灣積體電路製造股份有限公司 半導體裝置及其形成方法
US10741412B2 (en) 2017-08-25 2020-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure of semiconductor device
US11361977B2 (en) 2017-08-25 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure of semiconductor device and method of manufacture

Also Published As

Publication number Publication date
CN102983165A (zh) 2013-03-20
KR101371841B1 (ko) 2014-03-07
CN102983165B (zh) 2015-06-10
US8890207B2 (en) 2014-11-18
US20150079752A1 (en) 2015-03-19
US20130056795A1 (en) 2013-03-07
TWI496291B (zh) 2015-08-11
US9318322B2 (en) 2016-04-19
KR20130026974A (ko) 2013-03-14

Similar Documents

Publication Publication Date Title
TWI496291B (zh) 半導體元件及其形成方法
US9312384B2 (en) FinFET body contact and method of making same
US9614085B2 (en) Semiconductor structure having enlarged regrowth regions and manufacturing method of the same
US10032915B2 (en) Non-planar transistors and methods of fabrication thereof
KR101879042B1 (ko) 반도체 디바이스 및 이의 형성 방법
US10002926B2 (en) Method and structure for forming dielectric isolated FinFET with improved source/drain epitaxy
US8652894B2 (en) Method for fabricating a FinFET device
US10510889B2 (en) P-type strained channel in a fin field effect transistor (FinFET) device
US8865560B2 (en) FinFET design with LDD extensions
US8871584B2 (en) Replacement source/drain finFET fabrication
US20120012932A1 (en) Fin-like field effect transistor (finfet) device and method of manufacturing same
US20090001415A1 (en) Multi-gate transistor with strained body
US20160087062A1 (en) Semiconductor devices and methods for manufacturing the same
KR20140029094A (ko) FinFET 디바이스의 제조 방법
US11776998B2 (en) Gate-all-around device
US20240096882A1 (en) Nanostructure with various widths
US8759168B2 (en) MOSFET with thin semiconductor channel and embedded stressor with enhanced junction isolation and method of fabrication

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees