TW201133127A - Phase-shift photomask and patterning method - Google Patents

Phase-shift photomask and patterning method Download PDF

Info

Publication number
TW201133127A
TW201133127A TW099143224A TW99143224A TW201133127A TW 201133127 A TW201133127 A TW 201133127A TW 099143224 A TW099143224 A TW 099143224A TW 99143224 A TW99143224 A TW 99143224A TW 201133127 A TW201133127 A TW 201133127A
Authority
TW
Taiwan
Prior art keywords
region
hard mask
substrate
mask region
absorption
Prior art date
Application number
TW099143224A
Other languages
English (en)
Other versions
TWI432890B (zh
Inventor
Bennett Olson
Max Lau
Cheng-Hsin Ma
Jian Ma
Andrew T Jamieson
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of TW201133127A publication Critical patent/TW201133127A/zh
Application granted granted Critical
Publication of TWI432890B publication Critical patent/TWI432890B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/29Rim PSM or outrigger PSM; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/20Masks or mask blanks for imaging by charged particle beam [CPB] radiation, e.g. by electron beam; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/30Alternating PSM, e.g. Levenson-Shibuya PSM; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • G03F1/58Absorbers, e.g. of opaque materials having two or more different absorber layers, e.g. stacked multilayer absorbers

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Description

201133127 六、發明說明: 【發明所屬之技術領域】 本發明係有關於一種相移光罩胚料其具有一石英基材 ,一下鉻層,一吸收光線的Μ 〇 S i層,及一上鉻層。 【先前技術】 近年來,在半導體積體電路內的積體密度( integration density)的提高已相應地對於用來製備此電路 之光罩在精細度提高方面產生了更高的需求。傳統的微影 術(lithography)系統在提供更高精細度的能力方面已達 到其極限。相移光罩可提高從標線板(reticle )被轉移的 裝置圖案的解析度。 【發明內容】 一種光罩,包含:一與一基材在一起的相移區域及在 該基材上的溝渠;及一與該基材在一起的二兀區域,一在 該基材上的第一硬罩區,一在該第一硬罩區上的吸收區, 及其中該二元區沒有在該基材上的溝渠。該光罩亦可具有 一在該二元區域內的該吸收區上的第二硬罩區。該第一及 第二硬罩區可包含鉻。該吸收區可包含鉬及矽。該基材可 包含石英。該第二硬罩區是該第一硬罩區的至少兩倍厚。 一種圖案化一光罩胚料的方法,包含沉積一第一層光 阻於一光罩胚料上,該光罩胚料包含一基材,一在該基材 上的第一硬罩區,一在該第一硬罩區上的吸收區,及一在 -5- 201133127 該吸收區上的第二硬罩區;圖案化該第一層光阻以露 第二硬罩區的一些部分;用能夠以大於該吸收區的蝕 選擇性地去除該第二硬罩區的第一蝕刻劑來去除該第 罩區之外露的部分以露出該吸收區在該第二硬罩區之 除掉的部分底下的部分;用能夠以大於第一硬罩區的 率選擇性地去除該吸收區的第二蝕刻劑來去除該吸收 外露的部分以露出該第一硬罩區在該吸收區之被去除 部分底下的部分;沉積一第二層光阻於該第一硬罩區 露的部分上;圖案化該第二層光阻以露出該第一硬罩 —些部分,在該吸收區底下之第一硬罩區的其它部分 未被露出;在圖案化該第二層光阻之後,用能夠以大 基材的蝕刻率選擇性地去除該第一硬罩區的第三蝕刻 去除該第一硬罩區之外露的部分以露出該基材在該第 罩區之被去除掉的部分底下的部分;及去除該基材之 的部分以形成溝渠於該基材上。該第一硬罩區及該第 罩區兩者可包含鉻及該第二硬罩區可具有一厚度其是 一硬罩區的厚度的至少兩倍。該吸收區可包含MoSi且 一厚度其大到足以讓該吸收區具有至少2.0的光學密 該基材可包含石英其直接與該第一硬罩區的鉻接觸。 二硬罩區可具有40奈米或更小的厚度及該第—硬罩區 有20奈米或更小的厚度。該吸收區可包含MoSi且具有 度其比該第一及第二硬罩區的合起來的厚度還要厚。 化該第二層光阻可包含用電子束來圖案化該第二層光 且該第二硬罩區可包含鉻且在該電子束圖案化期間如 出該 刻率 二硬 被去 蝕刻 區之 掉的 之外 區的 保持 於該 劑來 一硬 外露 二硬 該第 具有 度。 該第 可具 -厚 圖案 阻, 一電 -6- 201133127 荷消散層般作用。至少一些溝渠可具有一側壁其與該第一 層光阻的一圖案化的邊緣對準。 【實施方式】 在各式實施例中’ 一種新穎的相移光罩胚料及將該相 移光罩胚料圖案化的方法被描述。在下面的描述中,各式 的實施例將被說明。然而’熟習此技藝者將可瞭解的是, 該等各式實施例可在沒有一或多個特定的細節下,或用其 它的取代物及/或額外的方法、材料或構件,予以實施。 在其它例子中’習知的結構、材料或操作並未被詳細地予 以顯示及描述,以避免模糊了本發明的各式實施例的態樣 。相同地’對於說明的目的’特定的數量、材料及構造被 提出以提供對本發明的徹底瞭解。然而,本發明可在沒有 特定的細節下被實施。又,應被理解的是,示於圖中的各 式實施例爲示範性的代表,其並不一定是按比例繪製。 在本說明書中’“ 一個實施例”或“一實施例”係指 配合該實施例予以描述的一特定的特徵、結構、材料或特 性係被包括在落在本發明的範圍內的至少一實施例內,但 並不表示它們必定出現在每一實施例中。因此,在本說明 書中的各處出現之“在一個實施例中”或“在一實施例中 ”的描述並不一定是指在本發明的同一實施例中。又,特 殊的特徵、結構、材料或特性可以任何適合的方式被結合 於一或多個實施例中。在其它的實施例中,各式額外的層 及/或結構可被包括及/或被描述的特徵可被省略。 201133127 各式操作將以最有助於瞭解本發明的方式依序被描述 爲多個分開的操作。然而,描述的順序不應被解讀爲是暗 示這些操作的必要順序有關。詳言之,這些操作不一定要 依照提出的順序來货施。被描述的操作可用與被描述的實 施例不同的順序來串聯地或並聯地實施。在其它的實施例 中,各式額外的操作可被實施及/或被描述的操作可被省 略。 圖1爲剖面側視圖其例示一依據本發明的實施例之具 有多個薄的硬罩區104,108的相移光罩胚料100。此一具 有多個薄的硬罩區104,108的光罩胚料100的一些實施例 在其它的好處之外還可讓光罩胚料1〇〇具有比使用一個厚 的硬罩區更細的解析度,及/或提供更好的蝕刻選擇性於 基材102及與基材102緊鄰的區域104之間。 該光罩胚料1〇〇包括一基材1〇2。該基材102在各式實 施例中包含石英、二氧化矽、融合的二氧化矽、經改質之 融合的二氧化矽或任何其它適合用作爲光罩的材料。 —下硬罩區104在該基材102上。在一實施例中,該下 硬罩區104包含鉻。在下硬罩區104包含鉻的各種實施例中 ,該下硬罩區104可以是一金屬鉻區,或鉻加上另一元素 或諸元素,®如像是氧化鉻區、氮化鉻區、或氮氧化鉻區 。在一些實施例中,該下硬罩區104包含一鉻子區域其被 一階化的(graded )或未階化的氧化鉻子區域及/或一階 化的或未階化的氮氧化鉻子區域覆蓋。除了鉻之外的其它 適合的材料,If如像是鎢(金屬形式或帶有其它元素)、 -8- 201133127 鉅(金屬形式或帶有其它元素)、其它耐火金屬、或其它 材料亦可在其它實施例中被使用。 在一實施例中,該硬罩區104包含一對比於該基材1〇2 的材料,在選定的蝕刻劑中具有良好的蝕刻選擇性的材料 。該硬罩區104在一些實施例中可直接接觸基材102,而在 其它實施例中在該下硬罩區104與該基材102之間可以有其 它區域或層。例如,在一實施例中,基材102包含石英, 該下硬罩區1 04包含鉻,及一氯基的蝕刻劑被選用,用以 容許該鉻下硬罩區104在不顯著地影響該石英基材102下被 蝕刻。 該下硬罩區1〇4具有一厚度110。在一些實施例中,該 厚度110被選定以將該下硬罩區104引發的應力保持在該基 材102上,而在一些實施例中,該被選定的厚度110可能不 是由應力條件來決定。在一實施例中,該厚度1 1 0爲小於 200埃。在一實施例中,該厚度110約爲100埃或更小。在 另一實施例中,該厚度1 1 〇小於5 0埃。在其它實施例中可 使用不同的厚度1 1 〇。 —吸收區106在該下硬罩區104上。在一實施例中,該 吸收區106包含鉬及矽,或MoSi,其在一些實施例中可以 是鉬矽化物的形式。在其它實施例中,該吸收區1 0 6可包 含其它材料。在一些實施例中,該吸收區1 06的材料被加 以選擇,使得在該吸收區106與硬罩區1〇4,108的一者或 兩者之間有蝕刻選擇性。 當使用該光罩胚料1〇〇時,該吸收區106的一些部分可 201133127 作爲吸收入射光線之用。在一實施例中,該吸收區1 06包 含—材料其具有一大到足以讓該吸收區106具有3.0或更大 的光學密度的厚度111。在一 Η施例中,該吸收區106包含 一材料其具有一大到足以讓該吸收區106具有2.8或更大的 光學密度的厚度111。在一實施例中,該吸收區106包含一 材料其具有一大到足以讓該吸收區106具有2.7或更大的光 學密度的厚度111。在一實施例中,該吸收區106及該下硬 罩區104包含材料且具有厚度1 1〇,1 1 1其結合起來提供3.0 或更大的光學密度。在一實施例中,該吸收區106及該下 硬罩區104包含材料且具有厚度110,111其結合起來提供 2.8或更大的光學密度》在一贲施例中,該吸收區1〇6及該 下硬罩區1〇4包含材料且具有厚度110,111其結合起來提 供2.7或更大的光學密度。應指出的是,本文中討論的光 學密度是與被稱爲“曝光波長”之特定的光線波長有關的 光學密度。此曝光波長爲當使用該被圖案化的光罩100於 一微影系統中來將半導體晶圓圖案化時與該被圖案化的光 罩100—起被使用的光線的波長。在一實施例中,此曝光 波長爲193奈米》在一苡施例中,此曝光波長約爲193奈米 。該曝光波長並不侷限於約193奈米,而是包含任何被選 來與該光罩1〇〇 —起使用於一微影系統中的適當波長,且 可以是248奈米、157奈米、較長的波長、或較短的波長( 替如在極紫外線微影系統中)。在其它實施例中,該吸收 區106及該下硬罩區104可具有適合該光罩胚料1〇〇之不同 的光學密度。在一货施例中,該吸收區106具有一厚度1 1 1 -10- 201133127 ,其比硬罩區104’ 108的厚度110’ 112合起來的厚度還厚 ,但在其它實施例中可以不是此種情況。 在一實施例中,該吸收區106與該下硬罩區1〇4直接接 觸且包含一材料其對比與該下硬罩區的材料而言在一 被選定的蝕刻劑中具有良好的蝕刻選擇性。例如,在一實 施例中,該下硬罩區104包含鉻,該吸收區106包含MoSi, 且一氟基的蝕刻劑被選用,這可讓該吸收區106在沒有顯 著地影響到該下硬罩區104之下被蝕刻,該下硬罩區104如 蝕刻停止層般地作用。此蝕刻選擇性並不是在所有實施例 中都需要,且在一些實施例中,該吸收區106可不與該下 硬罩區104直接接觸。 —上硬罩區108在該吸收區106上》在一實施例中,該 上硬罩區108包含鉻。在該上硬罩區108包含鉻的各式實施 例中,該上硬罩區108可以是一金屬鉻區,或鉻加上另一 元素或諸元素,譬如像是氧化鉻區、氮化鉻區、或氮氧化 鉻區。在一些實施例中,該上硬罩區108包含一鉻子區域 其被一階化的(graded )或未階化的氧化鉻子區域及/或 一階化的或未階化的氮氧化鉻子區域覆蓋。除了鉻之外的 其它適合的材料,譬如像是鎢(金屬形式或帶有其它元素 ) '鉬(金屬形式或帶有其它元素)、其它耐火金屬、或 其它材料亦可被使用在其它實施例中。在一些實施例中, 該上及下硬罩區1〇8,1〇4可由實質相同的材料組成。在一 些實施例中,該上及下硬罩區1〇8,104可包含相同的材料 。在一些實施例中,該上及下硬罩區108,104可包含不同 -11 - 201133127 的材料。 在一贲施例中,該上硬罩區108與該吸收區106直接接 觸且包含一材料其,對比於該吸收區106的材料,在一被 選用的蝕刻劑中具有良好的蝕刻選擇性。例如,在一實施 例中,該吸收區106包含MoSi,該上硬罩區1〇8包含鉻,且 一氯基的蝕刻劑被選用,道可讓該上硬罩區108在不顯著 地影響到該MoSi吸收區106之下被蝕刻。此蝕刻選擇性並 不是在所有實施例中都需要,且在一些實施例中,該上硬 罩區108可不與該吸收區106直接接觸。 該上硬罩區108具有一厚度112。在一些實施例中,該 厚度112是下硬罩區104的厚度110的至少兩倍。在一些實 施例中,該厚度1 1 2是下硬罩區1 04的厚度1 1 0的至少1 . 5倍 。在一些實施例中,該厚度112是下硬罩區104的厚度110 的至少三倍。在一些實施例中,上及下硬罩區1〇8,104的 厚度112,110之間可以有不同的關係。在一實施例中,該 厚度112係介於40奈米至20奈米之間》在一實施例中,該 厚度112係介於1〇奈米至20奈米之間。在另一實施例中, 該厚度1 12係係小於20奈米。在其它實施例中,厚度1 12可 以有不同的厚度。 在一些贲施例中’厚度112及上硬罩區108的材料被加 以選擇使得在一選定的蝕刻劑中蝕刻穿透該上硬罩區1 所花的時間是蝕刻穿透該下硬罩區1 04所花的時間的至少 1.5倍。在一些實施例中’厚度112及上硬罩區108的材料 被加以選擇使得在一選定的蝕刻劑中蝕刻穿透該上硬罩區 -12- 201133127 108所花的時間是蝕刻穿透該下硬罩區104所花的時間的至 少兩倍。在一些實施例中,厚度112及上硬罩區108的材料 被加以選擇使得在一選定的蝕刻劑中蝕刻穿透該上硬罩區 108所花的時間是蝕刻穿透該下硬罩區1〇4所花的時間的至 少三倍。在一些其它的實施例中,該上及下硬罩區108, 1 04的相對蝕刻時間可不同或無關緊要。 在一些其它的實施例中(例如,該上硬罩區108在一 給定的蝕刻劑中的蝕刻率小於下硬罩區1 0 4在同一蝕刻劑 中的蝕刻率的蝕刻例),厚度1 1 2可等於或小於下硬罩區 1 0 4的厚度1 1 〇。 該等各式區域-該上及下硬罩區108,104、該吸收區 106、及該基材102 -每一者由在整個區域上都是均質的單 一材料所構成,或可以是一包含多層的非均質區域,各式 材料的一階化的濃度,或一材料組合。例如,該上硬罩區 108可包含均質的氮氧化鉻,或可用在一位置存在的氧比 在另一位置存在的氧多來加以階化(graded)。而且,除 了本文中描述的區域及層之外,亦可存在各式額外的區域 及/或層》 在一些實施例中,該被描述的光罩胚料100可具有許 多好處(應指出的是,並不是所有實施例都具有所有好處 或這些好處的一部分)。在一些實施例中,.該多硬罩區 104,108容許該吸收區106及該基材102的分開圖案化。該 多硬罩區104,108可圖案化的吸收區106及基材102的特徵 結構尺寸(feature sizes)比使用一厚的硬罩區108或一厚 -13- 201133127 的鉻區且沒有使用厚的光阻曆可圖案化的特徵結構尺寸小 。該吸收區106可吸收一被選定的入射光數量且即使是該 光罩的其它區域如一相移光罩般地作用其亦可被用來提供 所想要的二元光罩(binary photomask)於該光罩的某些 區域內。被選取的該上硬罩區108、該吸收區106、該下硬 罩區1 04及該基材1 02的材料可容許每一區域之間的高蝕刻 選擇性以提供最終光罩之更佳的特徵結構清晰度及相位控 制,以及在不影響該基材1 0 2下輕易地全面移除該吸收區 106。因爲使用了兩個硬罩區104,108,所以它們可以相 對薄,這可提供數項優點,其包括:(1)可使用較薄的光 阻來將薄的硬罩區1 04,1 08圖案化,這可以獲得比使用較 厚的光阻更高的解析度;(2)在圖案化硬罩區104,108 時,圖案化較薄的區可以獲得比圖案化厚的硬罩區小的偏 移(bias);及(3)在圖案化該光罩1〇〇時較薄的區可獲 得更佳的均勻性,而較厚的硬罩區在圖案化期間會獲得不 佳的均勻性。並不是本發明的所有實施例都必定包括所有 這些優點或甚至任一優點。 圖2至1 1爲側剖面圖其例示一種方法,圖1的光罩胚料 1 〇〇可藉由此方法被圖案化以形成相移光罩(或標線板) 〇 在圖2中,一層光阻120已被沉積在該上硬罩區1〇8上 。因爲該上硬罩區108不像在一沒有該吸收區1〇6的光罩 1 00中的上硬罩區那樣地厚,所以光阻1 20不必和在圖案化 —具有較厚的單一區域(其實施該上硬罩區1〇8與該吸收 -14- 201133127 區106兩者的作用)的光罩ι00時用的光阻一樣厚。在圖3 中,該光阻120已被圖案化以露出部分的上硬罩區1〇8。任 何適合的光阻120及圖案方法都可被使用。而且,本文中 使用了 光阻(photoresist ) ” 一詞,但任何用於圖案化 之適當的方法或材料都可被使用,其包括電子束圖案化、 奈米壓印及標準的微影蝕刻,這可包括如此技藝所習知的 —或多個底層(underlayer)或其它區域。用來描述此製 程的該光阻一詞可用任何適當的可圖案化的材料及將此材 料圖案化的方法來取代。該材料然後可被用來將該圖案藉 由任何適當的方法轉印至底層。在一實施例中,該層光阻 120係用電子束來予以圖案化。在—些實施例中,該上硬 罩區108可包含一導電材料,譬如鉻,因而可在無需使用 額外的電荷消散層下用電子束來圖案化該光阻120。 在圖4中’該上硬罩區108之該等外露的部分已被去除 掉以獲得一圖案化的上硬罩區108並露出一部分的吸收區 106。在一實施例中,去除該上硬罩區108是用—可選擇性 地去除該上硬罩區108的材料同時讓該吸收區106相對未受 影響的蝕刻劑以濕式蝕刻來實施。在一實施例中,該上硬 罩區108包含鉻’該吸收區1〇6包含MoSi,及該蝕刻劑爲氯 基的蝕刻劑其可去除該等外露的上硬罩區108部分,同時 讓該吸收區1 0 6相對不受影響。在其它實施例中,可使用 不同的材料去除方法,譬如不同的濕式蝕刻或乾式蝕刻, 譬如電漿蝕刻。 圖5 a及5 b顯示可在此點被使用的兩種不同的方法。 -15- 201133127 在圖5a中,該吸收區106之外露的部分已被去除掉以 獲得一圖案化的吸收區106並露出一部分的下硬罩區104。 在一實施例中,去除該吸收區106是用一可選擇性地去除 該吸收區106的材料同時讓該下硬罩區104相對未受影響的 蝕刻劑以濕式蝕刻來實施。在一實施例中,該吸收區1 06 包含包含Mo Si,該下硬罩區104包含鉻,及該蝕刻劑爲氟 基的蝕刻劑其可去除該等外露的吸收區106部分,同時讓 該下硬罩區104相對不受影_。在其它實施例中,可使用 不同的材料去除方法,譬如不同的濕式蝕刻或乾式蝕刻。 該光阻1 20之剩餘部分然後被去除掉。 在示於圖5b的另一實施例中,該光阻120之剩餘部分 是在去除吸收區106的外露部分之前被去除掉。在此一實 施例中,圖案化的上硬罩區1〇8係用作爲一硬罩,用以在 沒有來自該光阻120的幫助之下將該吸收區106圖案化。 圖6例示在該光阻120之剩餘的部分及該吸收區106之 外露的部分兩者已被去除(不論是以什麼順序)之後’得 到有外露的下硬罩區1〇4部分的裝置1〇〇。 在圖7中,一第二層的光阻126已被沉積且被圖案化。 此第二層光阻126在不同的實施例中用多種方式予以圖案 化。在一實施例中,該第二層光阻1 2 6係用電子束予以圖 案化。在—些實施例中,該下硬罩區104可包含一導電材 料,譬如鉻,因而可在無需使用額外的電荷消散層下用電 子束來將該光阻126圖案化’該電荷消散層是在圖案化不 具有該下硬罩區104的光罩胚料100時必需使用到的。此圖 -16- 201133127 案化的第二光阻126覆蓋一些下硬罩區i〇4並讓一些下硬罩 區104露出來且進一步覆蓋一些剩餘的上硬罩區108。在此 製程例子中,該第二層圖案化的光阻126並沒有需要與已 經存在的吸收區1 〇 6及上硬罩區1 〇 8的側壁對齊的邊緣。 在圖8中,該下硬罩區104沒有被該第二光阻126覆蓋 的外露部分已被去除掉以獲得一圖案化的下硬罩區104並 露出部分的基材102。在一實施例中,去除該下硬罩區1〇4 是用一可選擇性地去除該下硬罩區104的材料同時讓該基 材1 02及該吸收區1 06相對未受影響的蝕刻劑以濕式蝕刻來 實施。在一實施例中,該下硬罩區104包含鉻,該基材1〇2 包含石英,及該蝕刻劑爲氯基的蝕刻劑其可去除該等外露 的下硬罩區104部分,同時讓該基材102及該吸收區1〇6相 對不受影響。在其它實施例中,可使用不同的材料去除方 法,譬如不同的濕式蝕刻或乾式蝕刻,譬如電漿蝕刻。 如圖所示,相同的蝕刻劑或可去除下硬罩區1 〇4的外 露部分之其它去除方法亦會去除至少一些沒有被該第二光 阻126覆蓋的上硬罩區108。如之前提到的,在一些實施例 中,上及下硬罩區108,104兩者可不易受相同的蝕刻劑或 其它去除處理影響。因此’在一些實施例中’被顯示爲已 被去除的一些上硬罩區1〇8部分可以仍留在原處。 圖9 a及9b顯示兩種可在此點被使用的其它方法。 在圖9a中,至少一些外露的基材1〇2的部分已被去除 掉以形成溝渠124於該基材1〇2上’同時該第二光阻126被 保留在原處。這些溝渠124係用來將入射光相移用以讓該 -17- 201133127 最終的光罩成爲一相移光罩。在一實施例中,去除該基材 102是用一適合基材102材料的濕式蝕刻來實施。在一實施 例中,該基材102包含石英且該蝕刻劑爲可去除基材102的 外露部分的氟基蝕刻劑。在其它實施例中,可使用不同的 材料去除方法,譬如不同的濕式蝕刻或乾式蝕刻。在該等 溝渠被形成之後,該第二光阻1 26的剩餘部分被去除。 在圖9b所示的另一實施例中,該第二光阻126的剩餘 部分是在形成溝渠124於該基材102上之前被去除掉的。在 此一實施例中,在沒有來自該圖案化的該第二光阻126的 幫助下,該圖案化的上及/或下硬罩區108,104係作爲用 來將基材102圖案化的硬罩。 圖10例示該第二光阻126的剩餘部分被去除及溝渠124 被形成於基材1 02上(不論是以什麼順序發生)之後的裝 置100,且該上硬罩區108的剩餘部分及該下硬罩區104的 剩餘的外露部分被去除,得到具有特徵結構1 30,1 40, 150的光罩。(應指出的是,在一些實施例中,去除該下 硬罩區1 04的剩餘的外露部分有可能去除掉該上硬罩區1 08 的剩餘部分。在其它實施例中,該等上硬罩區1 0 8的部分 可留在該吸收區106上的原處。此等上硬罩區108之剩餘部 分之後可被或可不被去除。)每一特徵結構130,140, 150從左到右具有不同的轉變(transition)。在不同的實 施例中,所有這三種類型的特徵結構,或該等類型的特徵 結構的子組(subset )都可存在於該圖案化的相移光罩中 -18- 201133127 應指出的是’雖然0(零)及pi( κ )的相移値在本 文中被用作爲相移特徵結構的例子,但它們只是固定地被 使用以避免混淆’並不代表它們是唯一可被使用的相移値 。描述於本文中的方法可被用來將光罩胚料1〇〇圖案化成 具有任何適當的相移値的光罩。例如,5度及1 8 5度的相移 値可用一短的最終基材102蝕刻來產生。其它的相移値亦 可被使用。 特徵結構130在位置132處具有〇(零)的相移,在位 置134處有π的相移,及在位置136處再次具有零的相移。 介於零與π相移之間的轉變可被用作爲一相移光罩的所有 特徵結構。在其它實施例中,除了零/ π轉變之外及/或 取代該零/ π轉變的其它類型的轉變亦可被使用。應指出 的是,該第二光阻126的圖案化界定該零相移位置132與該 光線阻擋位置160之間的轉移位置,同時該第二光阻126的 圖案化界定特徵結構130的7Γ相移溝渠124的寬度。 特徵結構1 40在位置1 42處具有一阻擋入射光線的吸收 物,在位置144處具有7Γ的相移,及在位置146處具有零的 相移。因此,此特徵結構1 40爲光線阻擋位置與相移位置 之間的一混合物(hybrid)。應指出的是,第一光阻120界 定介於光線阻擋位置142與π相移位置144之間轉移的位置 ,而第二光阻126則界定介於該π相移位置144與零相移位 置1 4 6之間的位置。 特徵結構1 5 〇在位置1 5 2處具有一阻擋入射光線的吸收 物,在位置154處具有π的相移,及在位置156處具有一阻 -19- 201133127 擋入射光線的吸收物。因此,此特徵結構1 5 0不僅僅是將 光線相移,還具有一藉由阻擋光線而被兩側包夾的( flanked )的相移。 圖1 1類似圖1 〇,且例示該被圖案化的光罩除了描述於 上文中的相移區180之外還具有一或多個二元區170。該等 相移區1 80可具有一或多個將入射光線相移的特徵結構1 30 ,140,150。在一 0施例中,該二元區170沒有在基材102 上的溝渠1 24,且不將光線相移。在該二元區1 70內的光線 不是被擋住就是沒有被擋住。例如,位置1 72,1 74,1 76 可以是一特徵結構的一部分。位置1 72沒有吸收區1 06,所 以它不會擋住光線。位置174具有該吸收區1〇6的一部分, 所以它會擋住光線。位置1 76沒有吸收區1 06,所以它不會 擋住光線。此二元區1 70可以是,例如,在該光罩的周邊 且被用來圖案化特徵結構(譬如像是在半導體晶圓上的對 準記號),雖然在其它實施例中該二元區170可在其它位 置且可被用於其它目的上。在一些贲施例中,該光罩可以 沒有此一兀區170’而只有該相移區180。 圖1 2至1 7爲剖面側視圖其例示另一種方法,圖1的光 罩胚料1 00可被此方法圖案化以形成一相移光罩(或標線 板)。在一實施例中,該方法開始的方式與參考圖2至5描 述的方式相同。 圖12例示該光阻120的剩餘部分及吸收層106的外露部 分兩者已被去除之後(不論是以何種順序),得到下硬罩 區104的外露部分之後的該裝置1〇〇 ^ -20- 201133127 在圖13中,一第二層的光阻126已被沉積且已圖案化 。該第二層的光阻126在不同的實施例中可用許多方式來 予以圖案化。在一實施例中,該第二層的光阻1 26係用電 子束來予以圖案化。在一些實施例中,該下硬罩區104可 包含一導電材料譬如像是鉻且因而可在無需使用額外的電 荷消散層下用電子束來將該光阻1 26圖案化,該電荷消散 層是在圖案化不具有該下硬罩區104的光罩胚料1〇〇時必需 使用到的。此第二光阻126覆蓋一些下硬罩區104並讓一些 下硬罩區104露出來且進一步覆蓋一些剩餘的上硬罩區108 。如圖1 3的實施例所例示的,該第二層的圖案化的光阻 126具有邊緣“A”其與該圖案化的上硬罩108及吸收區106 之前出現的邊緣對齊,但該圖案化的光阻126的其它邊緣 則沒有此對齊,且此對準在一些實施例中是完全不存在的 〇 在圖14中,該下硬罩區104之沒有被該第二光阻126覆 蓋的外露部分已被去除以產生經圖案化之該下硬罩區104 並露出基材102的一些部分。在一實施例中,去除該下硬 罩區104是用一可選擇性地去除該下硬罩區104的材料同時 讓該吸收區1 06及基材1 02相對未受影響的蝕刻劑以濕式蝕 刻來實施。在一實施例中,該下硬罩區1〇4包含鉻,該基 材102包含石英,及該蝕刻劑爲去除該下硬罩區104的露出 部分同時讓該吸收區106及基材102相對未受影響的氯基蝕 刻劑。在其它實施例中,不同的去除材料的方法可被使用 ,譬如不同的濕式蝕刻或乾式蝕刻,譬如電漿蝕刻。 -21 - 201133127 如圖所例示的,相同的蝕刻劑或可去除下硬罩區1 04 外露的部分之其它去除方法亦會去除至少一些沒有被該第 二光阻126覆蓋的上硬罩區108。如之前提到的,在一些實 施例中,上及下硬罩區1 08,1 04兩者可不易受相同的蝕刻 劑或其它去除處理影響。因此,在一些實施例中,被顯示 爲已被去除的一些上硬罩區108部分可以仍留在原處。 在圖15a中,至少一些外露的基材102的部分已被去除 掉以形成溝渠124於該基材102上,同時該第二光阻126被 保留在原處。這些溝渠124係用來將入射光相移用以讓該 最終的光罩成爲一相移光罩。在一實施例中,去除基材 102是用一適合基材102材料的濕式蝕刻來實施。在一實施 例中1該基材102包含石英且該蝕刻劑爲可去除基材102的 外露部分的氟基蝕刻劑。在其它實施例中,可使用不同的 材料去除方法,替如不同的濕式蝕刻或乾式蝕刻,譬如電 漿蝕刻。在例示的贲施例中,該吸收區1 06是用與形成溝 渠1 24於基材上相同的去除方法來予以去除,所以吸收區 1 〇6之外露的部分亦被去除掉。在其它實施例中,吸收區 1 06可不易受到與(例如)基材1 〇2相同的蝕刻劑的影響且 該吸收區106的外露部分可在溝渠124被形成之前或之後的 一分開的步驟中被去除。在溝渠1 24被形成之後,該第二 光阻1 26之剩餘的部分即被去除掉。 在示於圖15b的另一實施例中,該第二光阻126之剩餘 的部分是在溝渠124形成於該基材102上之前被去除掉。在 此一實施例中,該圖案化的上及/或下硬罩區108,104係 -22- 201133127 用作爲一硬罩’用以在沒有來自該圖案化的第二光阻126 的幫助下將基材丨〇2圖案化。如上文中參考圖15 3時提到的 ,該吸收區106的外露部分可在溝渠124被形成於該基材 102上的同時被去除掉。 圖16例示該第二光阻126的剩餘部分被去除及溝渠124 被形成於基材1 〇2上(不論是以什麼順序發生)之後的裝 置 1 0 0。 圖17例示該上硬罩區108的剩餘部分及該下硬罩區104 的剩餘的外露部分被去除之後,得到具有特徵結構230, 240,250之光罩的裝置100。(應指出的是,在一些實施 例中,去除該下硬罩區104的剩餘的外露部分有可能去除 掉該上硬罩區1 08的剩餘部分,如圖1 7中所例示者。在其 它實施例中,該等上硬罩區1〇8的部分在去除該下硬罩區 104的剩餘的外露部分之後可留在該吸收區106上的原處。 )此等上硬罩區108的剩餘部分可被去除或不被去除。每 —特徵結構23 0,24〇,2 5 0從左到右沿著該特徵具有不同 的轉變(transition )。在不同的實施例中,所有這三種類 型的特徵結構,或該等特徵結構類型的子組(subset )都 可存在於該圖案化的相移光罩中。. 特徵結構230在位置232處具有0(零)的相移,在位 置234處有π的相移,及在位置236處再次具有零的相移。 介於零與;r相移之間的此等轉變可被用作爲一相移光罩的 所有特徵結構。在其它實施例中,除了零/ π轉變之外及 /或取代該零/ π轉變的其它類型的轉變亦可被使用。應 -23- 201133127 指出的是,該第一光阻120的圖案化界定該零相移位置232 ,23 6與7Γ相移位置234之間的轉移的位置。 特徵結構240在位置242處具有一 0的相移,在位置244 處具有7Γ的相移,及在位置246處具有一吸收物其可阻擋 入射光線。因此,此特徵結構240爲光線阻擋位置與相移 位置之間的一混合物(hybrid )。 特徵結構2 5 0在位置2 5 2處具有一阻擋入射光線的吸收 物,在位置2 54處具有7Γ的相移,及在位置25 6處具有一阻 擋入射光線的吸收物。因此,此特徵結構2 5 0不僅僅是將 光線相移,還具有一藉由阻擋光線而被兩側包夾的( flanked )的相移。 與例示於圖1 1中的區域1 7 〇,1 8 0相類似地,在該光罩 中會有二元罩,而不是相移罩之區域。 圖18至25爲側剖面圖其例示另一種方法,圖1的光罩 胚料1 00可藉由此方法被圖案化以形成相移光罩(或標線 板)。在一實施例中,該方法可用與參考圖2至5a所描述 之方式相同的方式來開始。 圖1 8例示該下硬罩區1 04的外露部分已被去除,得到 基材102的外露部分之後的裝置100。在一實施例中,去除 該下硬罩區1 04是用一可選擇性地去除該下硬罩區1 04的材 料同時讓該吸收區1 06及基材1 02相對未受影響的蝕刻劑以 濕式蝕刻來實施。在一實施例中,該下硬罩區104包含鉻 ,該基材102包含石英,及該蝕刻劑爲氯基的蝕刻劑其可 去除該等外露的下硬罩區104部分,同時讓該基材102及該 -24- 201133127 吸收區1 06相對不受影響。在其它實施例中,可使用不同 的材料去除方法,譬如不同的濕式蝕刻或乾式蝕刻,譬如 電漿蝕刻。 圖1 9例示至少一些基材1 02的外露部分已被去除以形 成溝渠124於該基材1〇2上之後的裝置。這些溝渠124用來 將入射光線相移用以將該最終的光罩形成爲一相移光罩。 在一實施例中,去除該基材102藉由適合基材102材料的濕 式蝕刻達成。在一實施例中,該基材102包含石英且該蝕 刻劑爲可去除基材1 02的外露部分的氟基蝕刻劑。在其它 實施例中,可使用不同的材料去除方法,譬如不同的濕式 蝕刻或乾式蝕刻。 圖20例不該光阻120已被去除之後的該裝置。任何適 當的方法都可被用來去除光阻120之剩餘的部分。 在圖21中,一第二層的光阻126已被沉積且圖案化。 在一實施例中,該層光阻120係用電子束予以圖案化。在 —些實施例中,該上硬罩區108可包含一導電材料,譬如 鉻,因而可在無需使用額外的電荷消散層下用電子束來圖 案化該光阻120。在其它實施例中,可使用不同的圖案化 處理。此第二光阻126覆蓋一些上硬罩區108並讓一些上硬 罩區108露出來且更覆蓋一些基材124。如示於圖21的實施 例中例子,該第二層的圖案化的光阻126具有邊緣“B”其 與該圖案化的上硬罩108及吸收區106之前出現的邊緣對齊 ,而該光阻1 2 6的其它邊緣則沒有被如此對齊。在圖2 1中 ,這些被對齊的邊緣B出現在該圖案化的第二光阻126的中 -25- 201133127 間部分,但在該圖案化的第二光阻1 2 6的左及右部分則沒 有。一些實施例可完全沒有此等對齊的邊緣B。 在圖22中,該上硬罩區108之沒有被該第二光阻126覆 蓋的外露部分已被去除以產生該上硬罩區1〇8之額外的圖 案化並露出額外的吸收區106的部分。在一實施例中’去 除該上硬罩區108是用一可選擇性地去除該上硬罩區1〇8的 材料同時讓該吸收區1 06相對未受影轡的蝕刻劑以濕式蝕 刻來實施。在一實施例中,該上硬罩區1 〇8包含鉻’該吸 收區106包含Mo Si,及該蝕刻劑爲氯基的蝕刻劑其可去除 該等外露的上硬罩區108部分,同時讓該吸收區1〇6相對不 受影響。在其它實施例中,可使用不同的材料去除方法, 譬如不同的濕式蝕刻或乾式蝕刻。 在圖23中,該吸收區106之額外的外露部分已被去除 掉以獲得一圖案化的吸收區106並露出額外的下硬罩區104 的部分。在一 If施例中,去除該吸收區106是用一可選擇 性地去除該吸收區106的材料同時讓該下硬罩區1 〇4相對未 受影響的蝕刻劑以濕式蝕刻來實施。在一實施例中,該吸 收區106包含MoSi,該下硬罩區104包含鉻,及該蝕刻劑爲 氟基的蝕刻劑其可去除該等外露的吸收區1 06部分,同時 讓該下硬罩區1 04相對不受影響。在其它Η施例中,可使 用不同的材料去除方法,譬如不同的濕式蝕刻或乾式蝕刻 〇 在圖24中,剩餘的第二光阻126部分已被去除,留下 額外的上硬罩區108的外露部分及溝渠124。任何適當的方 -26- 201133127 法都可被用來去除剩餘的第二光阻126部分。 圖25例示例示該上硬罩區1〇8的剩餘部分及該下硬罩 區1 〇 4的剩餘的外露部分被去除之後,得到具有特徵結構 330,340,350之光罩的裝置1〇〇。(應指出的是,在一些 實施例中,去除該下硬罩區1〇4的剩餘的外露部分有可能 去除掉該上硬罩區108的剩餘部分,如圖25中所例示者》 在其它實施例中,該等上硬罩區1〇8的部分在去除該下硬 罩區104的剩餘的外露部分之後可留在該吸收區106上的原 處。這些剩餘的上硬罩部分108然後可被去除或可不被去 除。)每一特徵結構3 3 0,340,3 5 0從左到右沿著該特徵 具有不同的轉變(transition)。在不同的實施例中,所有 這三種類型的特徵結構,或該等特徵結構類型的子組( subset)都可存在於該圖案化的相移光罩中。 特徵結構3 30在位置3 3 2處具有0 (零)的相移,在位 置334處有π的相移,及在位置336處再次具有零的相移。 介於零與相移之間的此等轉變可被用作爲一相移光罩的 所有特徵結構。在其它實施例中,除了零/ 7Γ轉變之外及 /或取代該零/π轉變的其它類型的轉變亦可被使用。應 指出的是,該第一光阻120的圖案化界定該零相移位置332 ’ 3 36與π相移位置334之間的轉移的位置。 特徵結構340在位置342處具有一0的相移,在位置344 處具有π的相移,及在位置3 46處具有一吸收物其可阻擋 入射光線。因此,此特徵結構340爲光線阻擋位置與相移 仏置之間的一混合物(h y b r i d )。 -27- 201133127 特徵結構3 50在位置3 52處具有一阻擋入射光線的吸收 物,在位置3 5 4處具有π的相移,及在位置3 56處具有一阻 擋入射光線的吸收物。因此,此特徵結構3 50不僅僅是將 光線相移,還具有一藉由阻擋光線而被兩側包夾的( flanked)的相移。 與例示於圖1 1中的區域1 70,1 80相類似地,在該光罩 中會有二元罩,而不是相移罩之區域。 三種可將圖1的光罩胚料圖案化以形成不同種類的特 徵結構的方法已被描述。其它的方法及變化亦可在其它的 實施例中被用來將該光罩胚料圖案化。例如,雖然該光罩 胚料100已被描述爲被圖案化用以在基材102上具有具相移 功能的特徵結構且無論有或沒有吸收區1 06,亦可圖案化 其它的特徵結構。一此種特徵結構具有一位置,該位置具 有一在該吸收區106上的溝渠其與一個在該吸收區106上沒 有溝渠的位置鄰接。此特徵結構可使用該吸收區106上的 該溝渠/無溝渠來產生相移,其類似於溝渠124在基材上所 產生的功效。在此一特徵結構中,一與該下硬罩區104結 合的吸收區1 〇6可具有約6%的曝光波長的光線透射率,但 不同的光線透射率係可被使用。 本發明的實施例的上述描述已爲了例示及描述的目的 被提出。這些描述並不是耗盡性的或是要將本發明限制於 所揭示之特定的形式。這些描述及下面的申請專利範圍包 含像是左、右、頂、底、之上、底下、上、下、第一、第 二等等用詞,它們只是爲了描述的目的而被使用,不應被 -28- 201133127 解讀爲限制。例如’用來標示出相對垂直位置的用詞係指 一基材或積體電路的一裝置側(或作用表面)爲該基材的 “頂”面的情況;該基材實際上可以是在任何方位( orientation ),使得一基材的“頂”側可以比標準地表參 考框架(standard terrestrial frame of reference)中的“ 底”側低且仍落在該用詞“頂”的意義內。用於本文中( 包括申請專利範圍在內)之“上(on) ” 一詞並不一定表 示在第二層上的第一層係直接在該第二層上且與該第二層 直接接觸’除非有作這樣的特別表示;也許有第三層或其 它結構在該第一層與在該第一層上的該第二層之間。一描 述於本文中實施例的裝置或物件可以許多位置及方位來予 以製造、使用或運送。熟習相關技藝者可按照前述之教導 察知許多可能之變型和變化。熟習相關技藝者將可看出用 於圖式中示出之各式構件的各式等效物組合及替代物。因 此,本發明的範圍並不受限於此詳細的描述,而是由下面 的申請專利範圍來界定。 【圖式簡單說明】 圖1爲一剖面側視圖,其例示一相移光罩胚料。 圖2至1 1爲剖面側視圖,其例示一種方法,圖1的光罩 胚料可藉由此方法被圖案化以形成相移光罩。 圖1 2至1 7爲剖面側視圖,其例示另一種方法,圖1的 光罩胚料可藉由此方法被圖案化以形成相移光罩。 圖1 8至2 5爲剖面側視圖,其例示再另一種方法,圖1 -29- 201133127 的光罩胚料可藉由此方法被圖案化以形成相移光罩。 【主要元件符號說明】 100 : 光 罩 胚 料 (裝置: ) 102 : 基 材 104 : 下 硬 罩 區 106 : 吸 收 108 : 上 硬 罩 ί品- 111: 厚 度 110: 厚 度 112: 厚 度 120 : 光 阻 126 : 第 二 光 阻 124 : 溝 渠 130 : 特 徵 結 挫 稱 140 : 特 徵 結 構 150: 特 徵 結 構 132 : 零 相 移 位 置 134 : π 相 移 位 置 136: 位 置 142 : 光 線 阻 擋 位 置 144 : π 相 移 位 置 146 : 零 相 移 位 置 152: 位 置 154: 位 置 156 : 位 置 170: 二 元 區 172 : 位 置 174: 位 置 176 : 位 置 180 : 相 移 區 域 23 0 : 特 徵 結 構 240 : 特 徵 結 構 250 : 特 徵 結 構 232 : 零 相 移 位 置 23 4 : π 相 移 位 置 236 : 零 相 移 位 置 242 : 零 相 移 位 置 244 : π 相 移 位 置 246 : 光 線 阻 擋 位置 2 5 2 : 光 線 阻 擋 位 置 2 54 : π 相 移 位 置 2 5 6 : 光 線 阻 擋 位 置 3 3 0 : 特 徵 結 構 3 40 : 特 徵 結 構 -30- 201133127 3 5 0 :特徵結構 3 3 2 :零相移位置 3 3 4 : π相移位置 3 3 6 :零相移位置 3 42 :零相移位置 3 4 4 : π相移位置 3 46 :光線阻擋位置 3 5 2 :位置 3 5 4 :位置 3 5 6 :位置

Claims (1)

  1. 201133127 七、申請專利範圍: 1· 一種光罩胚料,包含: 一基材; 一在該基材上的下硬罩區: 一在該下硬罩區上的吸收區;及 一在該吸收區上的上硬罩區。 2- 如申請專利範圍第1項之光罩胚料,其中該下硬罩 區與該吸收區對於具有約193奈米的曝光波長的光線而言 具有一至少2 · 8之結合的光學密度。 3- 如申請專利範圍第2項之光罩胚料,其中該曝光波 長約爲1 9 3奈米。 4- 如申請專利範圍第1項之光罩胚料,其中該下硬罩 區與該吸收區對於具有約193奈米的波長的光線而言具有 —至少3.0之結合的光學密度,及其中該吸收區自身對於 具有約193奈米的波長的光線而言具有一小於3.0的光學密 度。 5 ·如申請專利範圍第1項之光罩胚料,其中該下硬罩 區與該上硬罩區兩者包含鉻。 6. 如申請專利範圍第1項之光罩胚料,其中該下硬罩 區與該上硬罩區兩者係由實質相同的材料構成。 7. 如申請專利範圍第6項之光罩胚料,其中該上硬罩 區具有一厚度其爲該下硬罩區的厚度的至少1.5倍。 8 ·如申請專利範圍第7項之光罩胚料,其中該吸收區 包含MoSi且具有一厚度其大到足以讓該吸收區與該下硬罩 -32- 201133127 區對於具有約193奈米的波長的光線而言具有一至少2.8之 結合的光學密度。 9. 如申請專利範圍第1項之光罩胚料,其中該下硬罩 區包含耐火金屬且具有一小於200埃(A)的厚度。 10. 如申請專利範圍第9項之光罩胚料,其中該下硬 罩區包含一選自於由氧化鉻及氮氧化鉻構成的組群中的材 料。 11. 如申請專利範圍第9項之光罩胚料,其中該基材 包含石英且該下硬罩區與該石英基材直接接觸。 1 2 .如申請專利範圍第1項之光罩胚料,其中: 該下硬罩區包含耐火金屬,與該基材直接接觸,且具 有一1 5 0埃或更小的厚度; 該上硬罩區由與該下硬罩區實質相同的材料構成且具 有一厚度其爲該下硬罩區的厚度的至少兩倍。 13. 如申請專利範圍第12項之光罩胚料,其中: 該基材包含石英; 該耐火金屬是鉻; 該吸收區包含鉬及矽;及 該下硬罩區與該吸收區對於具有約193奈米的波長的 光線而言具有一至少2.8的結合的光學密度。 14. 一種圖案化一光罩胚料的方法,該光罩胚料包含 一基材,一在該基材上的第一硬罩區,一在該第一硬罩區 上的吸收區,及一在該吸收區上的第二硬罩區’該方法包 含: -33- 201133127 圖案化一圖案化層使得該第二硬罩區的一些部分被該 圖案化層覆蓋及該第二硬罩層的一些部分是外露的部分; 用能夠以大於該吸收區的速率選擇性地去除該第二硬 罩區的第一處理來去除該第二硬罩區之外露的部分’以露 出該吸收區在該第二硬罩區之被去除掉的部分底下的部分 1 用能夠以大於該第一硬罩區的速率選擇性地去除該吸 收區的第二處理來去除該吸收區之外露的部分’以露出該 第一硬罩區在該吸收區之被去除掉的部分底下的部分; 用能夠以大於該基材的速率選擇性地去除該第一硬罩 區的第三處理來去除該第一硬罩區之外露的部分’以露出 該基材在該第一硬罩區之被去除掉的部分底下的部分;及 去除該基材之外露的部分以形成溝渠於該基材中。 1 5 .如申請專利範圍第1 4項之方法,其中該第一、第 二、及第三處理全都是電漿蝕刻。 1 6.如申請專利範圍第1 5項之方法,其中該第一及第 三處理使用實質相同的電漿蝕刻。 17.如申請專利範圍第16項之方法,其中該第一硬罩 區與該第二硬罩區兩者由實質相同的材料構成,在該光罩 胚料的圖案化之前,該第二硬罩區具有一厚度其爲該第一 硬罩區的厚度的至少1 . 5倍。 1 8 .如申請專利範圍第1 4項之方法,其更包含: 在去除該吸收區的外露部分之後,沉積一第二圖案化 層於該第一硬罩區之外露的部分及該第二硬罩區之剩餘的 -34- 201133127 部分上:及 圖案化該第二圖案化層以露出該第一硬罩區的一些部 分’同時仍覆蓋該第一硬罩區的其它部分,該第三處理藉 以去除該第一硬罩區之因該第二圖案化層的圖案化而被外 露的部分。 19. 如申請專利範圍第1 4項之方法,其中該吸收區包 含Mo Si且具有一厚度其大到足以讓該吸收區對於具有一曝 光波長的光線具有一至少2.8的光學密度,該第一、第二 、及第三處理是濕式蝕刻’其中使用於第一及第三處理中 的蝕刻劑包含氯’使用在第二處理中的蝕刻劑包含氟,且 去除該基材的外露部分包含用一包含氟的第四蝕刻劑來蝕 刻該基材之外露的部分。 20. 如申諝專利範圍第14項之方法,其中該第一硬罩 區與該基材直接接觸。 -35-
TW099143224A 2009-12-30 2010-12-10 相移光罩及圖案化方法 TWI432890B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/655,460 US20110159411A1 (en) 2009-12-30 2009-12-30 Phase-shift photomask and patterning method

Publications (2)

Publication Number Publication Date
TW201133127A true TW201133127A (en) 2011-10-01
TWI432890B TWI432890B (zh) 2014-04-01

Family

ID=44187971

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099143224A TWI432890B (zh) 2009-12-30 2010-12-10 相移光罩及圖案化方法

Country Status (6)

Country Link
US (1) US20110159411A1 (zh)
EP (1) EP2519963A4 (zh)
KR (1) KR20120087186A (zh)
CN (1) CN102822741A (zh)
TW (1) TWI432890B (zh)
WO (1) WO2011090579A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106468854A (zh) * 2015-08-21 2017-03-01 台湾积体电路制造股份有限公司 掩膜及其制造方法
TWI610126B (zh) * 2012-11-08 2018-01-01 Hoya Corp 光罩基底之製造方法及轉印用光罩之製造方法

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8906583B2 (en) * 2012-12-20 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked mask
JP6292581B2 (ja) * 2014-03-30 2018-03-14 Hoya株式会社 マスクブランク、転写用マスクの製造方法及び半導体装置の製造方法
KR102305092B1 (ko) * 2014-07-16 2021-09-24 삼성전자주식회사 포토리소그래피용 마스크와 그 제조 방법
US10541250B2 (en) * 2015-12-29 2020-01-21 Toshiba Memory Corporation Method for manufacturing semiconductor device
KR102624985B1 (ko) 2016-07-26 2024-01-16 삼성전자주식회사 마스크 블랭크, 위상 시프트 마스크 및 그 제조방법
CN108073032B (zh) * 2016-11-18 2021-06-08 台湾积体电路制造股份有限公司 相位移光掩模的形成方法
JP6659855B2 (ja) * 2017-06-28 2020-03-04 アルバック成膜株式会社 マスクブランクス、位相シフトマスク、ハーフトーンマスク、マスクブランクスの製造方法、及び位相シフトマスクの製造方法
CN109597276A (zh) * 2017-10-01 2019-04-09 思而施技术株式会社 用于防止静电破坏的空白掩模和光掩模
US10739671B2 (en) * 2017-11-10 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing phase shift photo masks
CN109164675A (zh) * 2018-10-16 2019-01-08 上海华力微电子有限公司 一种改善敏感光刻胶形貌的复合型掩模版及其制作方法
CN111965933A (zh) * 2020-08-12 2020-11-20 Tcl华星光电技术有限公司 掩膜板以及制备方法、显示面板的制备方法
CN113517188B (zh) * 2021-06-29 2024-04-26 上海华力集成电路制造有限公司 采用多层掩模板的图形化工艺方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002072445A (ja) * 2000-09-04 2002-03-12 Dainippon Printing Co Ltd ハーフトーン位相シフトフォトマスク及びハーフトーン位相シフトフォトマスク用ブランクス
AU2002236520A1 (en) * 2000-12-01 2002-06-11 Unaxis Usa Inc. Embedded attenuated phase shift mask and method of making embedded attenuated phase shift mask
US6673498B1 (en) * 2001-11-02 2004-01-06 Lsi Logic Corporation Method for reticle formation utilizing metal vaporization
US7022436B2 (en) * 2003-01-14 2006-04-04 Asml Netherlands B.V. Embedded etch stop for phase shift masks and planar phase shift masks to reduce topography induced and wave guide effects
US6933084B2 (en) * 2003-03-18 2005-08-23 Photronics, Inc. Alternating aperture phase shift photomask having light absorption layer
KR100546365B1 (ko) * 2003-08-18 2006-01-26 삼성전자주식회사 블랭크 포토마스크 및 이를 사용한 포토마스크의 제조방법
KR100906026B1 (ko) * 2004-12-10 2009-07-02 도판 인사츠 가부시키가이샤 반사형 포토마스크 블랭크, 반사형 포토마스크, 및 이것을이용한 반도체 장치의 제조 방법
JP4509050B2 (ja) 2006-03-10 2010-07-21 信越化学工業株式会社 フォトマスクブランク及びフォトマスク
JP4737426B2 (ja) * 2006-04-21 2011-08-03 信越化学工業株式会社 フォトマスクブランク
DE102007028800B4 (de) * 2007-06-22 2016-11-03 Advanced Mask Technology Center Gmbh & Co. Kg Maskensubstrat, Photomaske und Verfahren zur Herstellung einer Photomaske

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI610126B (zh) * 2012-11-08 2018-01-01 Hoya Corp 光罩基底之製造方法及轉印用光罩之製造方法
CN106468854A (zh) * 2015-08-21 2017-03-01 台湾积体电路制造股份有限公司 掩膜及其制造方法
TWI607278B (zh) * 2015-08-21 2017-12-01 台灣積體電路製造股份有限公司 光罩及其製造方法
US9857679B2 (en) 2015-08-21 2018-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography mask and fabricating the same

Also Published As

Publication number Publication date
KR20120087186A (ko) 2012-08-06
TWI432890B (zh) 2014-04-01
WO2011090579A2 (en) 2011-07-28
EP2519963A4 (en) 2015-04-22
CN102822741A (zh) 2012-12-12
EP2519963A2 (en) 2012-11-07
US20110159411A1 (en) 2011-06-30
WO2011090579A3 (en) 2011-09-15

Similar Documents

Publication Publication Date Title
TW201133127A (en) Phase-shift photomask and patterning method
US6599666B2 (en) Multi-layer, attenuated phase-shifting mask
US6475891B2 (en) Method of forming a pattern for a semiconductor device
US7811720B2 (en) Utilizing compensation features in photolithography for semiconductor device fabrication
US6509137B1 (en) Multilayer photoresist process in photolithography
CN108572510A (zh) 半色调相移光掩模坯料
US11531263B2 (en) Photomask having a plurality of shielding layers
JP2002261005A (ja) 極紫外線マスクの処理方法
US5888678A (en) Mask and simplified method of forming a mask integrating attenuating phase shifting mask patterns and binary mask patterns on the same mask substrate
KR101096270B1 (ko) 스페이서 패터닝을 이용한 반도체소자의 미세패턴 형성방법
US8592105B2 (en) Photomasks and methods of fabricating the same
TWI501024B (zh) 微影術遮罩及其製造方法
US6582856B1 (en) Simplified method of fabricating a rim phase shift mask
WO2003015135A2 (en) Method for fabricating a thin-membrane stencil mask and method for making a semiconductor device using the same
US9075317B2 (en) Photomasks, methods of forming a photomask, and methods of photolithographically patterning a substrate
US7268080B2 (en) Method for printing contacts on a substrate
EP1361478A1 (en) Method of manufacturing phase shift mask and phase shift mask
US7754398B2 (en) Photo mask having assist pattern and method of fabricating the same
JP2007048925A (ja) パターン形成方法および半導体装置の製造方法
US6933085B1 (en) Transparent phase shift mask for fabrication of small feature sizes
WO2007030495A1 (en) Methods for fabricating sub-resolution line space patterns
KR101791052B1 (ko) 크롬 나노갭 제조방법 및 이를 이용한 크롬 나노갭 및 포토마스크
US7662521B2 (en) Method of mask making to prevent phase edge and overlay shift for chrome-less phase shifting mask
US8734660B2 (en) Advanced mask patterning with patterning layer
US7632611B2 (en) Method of manufacturing rim type of photomask and photomask made by such method