TW201011805A - Chamber plasma-cleaning process scheme - Google Patents

Chamber plasma-cleaning process scheme Download PDF

Info

Publication number
TW201011805A
TW201011805A TW098124611A TW98124611A TW201011805A TW 201011805 A TW201011805 A TW 201011805A TW 098124611 A TW098124611 A TW 098124611A TW 98124611 A TW98124611 A TW 98124611A TW 201011805 A TW201011805 A TW 201011805A
Authority
TW
Taiwan
Prior art keywords
substrate
plasma
chamber
process chamber
group
Prior art date
Application number
TW098124611A
Other languages
English (en)
Inventor
Chang-Lin Hsieh
Chi-Hong Ching
Hidehiro Kojiri
Hoshua Tsui
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201011805A publication Critical patent/TW201011805A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Optics & Photonics (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Description

201011805 六、發明說明: 【發明所屬之技術領域】 本發明之實施例屬於半導體製程領域,且特別是半導 體製程設備之潔淨方案。 【先前技術】 ❿
在過去數十年間,積體電路中的特徵大小變化已經成 為逐漸成長之半導體業的驅動力;改變為越來越小的特 徵可在半導體晶片的有限實體資源(realestat〇上增加 功能單70的密度。舉例而言,縮減電晶體尺寸可在晶片 上併入較多數量的記憶艎或邏輯元件,使產品製造具有 較高產量》然而’逐增之產量並非沒有問題的,對於元 件之間臨界維度變化的容限度已變為非常鋒因此, 再用於製造it件之製程步驟中的任何不完美處都會影響 元件的效能。 低製程變化之迫切需求 已對設備製造商帶來實質負 擔。除了要解決高產率的需灰冰 At ^ _ 展干叼尚求外,製程工具也必須要呈 現晶圓間南度均句性^ IV a .1 n 岡』门又』j I·玍u及生產晶圓批次之逐次運轉一 致性;因此’設備製造商通t需要顧客執行非常詳細且 耗時的預防性維護(PM)方案,以確保晶圓間與逐次運 轉間的均句」生及-致性。然而,在需要長卫具閒置時間 時,這種PM方案會實質上衝擊製程工具的產率,其會 導致半導體製造生產線上無法容許的延遲1 201011805 【發明内容】 本發明之實施例包括用於對一製程工具中之一腔室進 行電聚潔淨的方法。在一實施例中,一基板(例如一晶 圓)係放置於-製程腔室中之—吸盤上,該製程腔室内 具有一污染物組;》著在該製程腔室中進行一電漿製 程’以將該污染物組轉移至該基板之上表面;接著將具
有該污染物組於其上之基板自該製程腔室中移除。在二 特定實施例中,該污染物組包括顆粒,例如、但不限於 金屬顆粒與介電質顆粒。在另―特定實施例中,電浆製 程係-低壓電漿製程,其實施於約5·5〇 mT〇rr範圍内之 壓力》 在另一實施例中,係放置一基板以覆蓋一製程腔室中 一吸盤之一上表面,其中該製程腔室内具有一污染物 組。於該製程搶室内進行一第一電漿製程以將污染物組 轉移至基板的上表面。接著將具有該污染物組之基板自 製程腔室中移除;當基板位於製程腔室中時於該製程 腔室令進行一第二電漿製程以乾燥(seas〇n)該製程腔 室。當該吸盤的上表面暴露時,於製程腔室内進行一第 三製程腔室。 另實施例包括種用於操作一钱刻製程工具的方 法。一第一基板係提供於一製程腔室中之一吸盤上,該 第一基板係於製程腔室中以一第一電漿製程予以蝕刻, 201011805 钱刻會在製程腔室中提供一污染物組;接著自該製程腔 室中移除第一基板。然後,放置一第二基板以覆蓋該製 程腔室中該吸盤的上表面;於該製程腔室中進行一第二 電漿製程以將污染物組轉移至該第二基板。接著自該製 程腔室中移除具有污染物組之該第二基板。當吸盤的上 表面暴露時’於製程腔室中進行一第三電.漿製程。 【實施方式】 本發明提出了一種用於對一製程工具中之腔室進行電 漿潔淨的方法;在下述說明中,提出了各種特定細節(例 如電漿條件與材料配比)以提供對本發明之通盤瞭解。 該領域技術人士應知’本發明亦可實施於這些特定細節 以外。在其他例子中,並不詳細說明習知特徵(例如半 導體基板製造技術),以避免不必要地混淆本發明。此 外’應瞭解圖式中所繪示的各種實施例係僅作為描述性 Φ 示例之用,且其並未依比例繪製。 本文揭示的是一種用於對一製程工具中之腔室進行電 漿潔淨的方法,該方法包括放置一基板(例如一晶圓) 於製程腔室之吸盤上,該製程腔室中具有一污染物 組。在一實施例中,接著於該製程腔室中進行一電漿製 程以將/亏木物組轉移至基板的上表面。接著,從製程 腔室移除具有該污染物組之基板。在-特定實施例中污 染物組包括顆粒,例如、但不限於金屬顆粒與介電質顆 201011805 粒。在另一特定實施例中,電漿製程係一低壓電漿製程, 其實施於約5-50 mTorr的之壓力範圍。 當基板位於吸盤的上表面時進行腔室電漿潔淨製程可 使腔室的運轉週期間臨界維度(critical dimension; CD ) - 的變化降低;舉例而言,根據本發明之一實施例,係於 基板停留於、且有效阻擋製程腔室中的吸盤上表面時, 於製程腔室中實施電漿潔淨製程;由於沒有基板覆蓋吸 盤’黏著至腔室壁或淋灑頭的污染·物反而會在電漿潔淨 製程中落在吸盤的上表面上。當後續於腔室中處理生產 基板(例如:蝕刻)時,這種污染物存在於吸盤上可於 停留在吸盤上的生產基板中產生熱點(hot spots ),這些 熱點會影響蝕刻特性,且會導致無用之CD變化蝕刻至 生產基板内。相反的,在一實施例中,係於電漿潔淨製 程中使用一假基板或乾燥基板(dummy or seasoning substrate )來覆蓋吸盤;在此實施例中,在電漿潔淨製 _ 程期間,位於製程腔室中的污染物係轉移至假基板或乾 燥基板、而非吸盤上方。因此,在一實施例中,當自製 程腔室中移除假基板或乾燥基板時,即可從製程腔室中 移除污染物。 在本發明之一構想中,製程工具中的製程腔室(例如 触刻腔室)會在處理製程腔室中的生產基板時受到污 染。第1圖說明了根據本發明一實施例之電漿製程腔室 的截面圖。 參照第1圖,製程腔室100包括一吸盤102與一淋麗 201011805 頭104。在一般製程條件下’係放置—樣品(例如一生 產基板或一生產晶圓)於吸盤102的上表面1〇3上,接 著電漿源氣體經由淋灑頭1 04流入並均勻分佈於製程腔 室中;接著電漿106被引入淋灑投104與吸盤ι〇2間, . 電漿1〇6係用於蝕刻生產基板中的特徵。 在以電漿106蝕刻生產基板期間,污染物會自生產基 板產生,並黏著至淋灑頭104、甚至黏著至製程腔室i 〇〇 的腔室壁108。在一生產基板批次中所形成之污染物的 累積會在姓刻之製程腔室間循環’其將隨時間影響姑刻 製程的重現性。舉例而言’在一實施例中,淋壤頭104 上污染物的累積會導致生產基板的一區域至同一生產基 板的另一區域間、或一生產基板至下一生產基板間蝕刻 率的變化,該變化係淋濃頭1 04被污染物阻擋的部分之 結果’其妨礙製程氣體流動通過淋灑頭1〇4 ^在另一實 施例中,污染物累積於腔室壁1 08最後會導致具污染物 參之吸盤剝落至生產基板上。可對製程腔室實施濕式潔淨 以移除污染物,但在生產線上無法每隔幾天就頻繁地進 行這種濕式潔淨程序。 因此,在已經於電漿製程腔室100中蝕刻特定數量之 生產基板後’需要實施一種無基板腔室之電漿潔淨製 程。一般的無基板電漿潔淨製程包含了在吸盤1 〇4上沒 有基板存在時,於腔室100中實施高壓電漿製程;這種 無基板電漿潔淨製程比腔室的濕式潔淨更頻繁地實施 (例如在姓刻每一個生產基板之間)而不影響生產線的 201011805 排程。然而’根據本發明一實施例,這種無基板電漿潔 淨製程可將污染物從淋灑頭104或腔室壁1 〇8轉移至吸 盤102的上表面103。此外’在特定實施例中,高壓無 基板電漿潔淨製程並不完全移除淋灑頭1〇4或腔室壁 • 10 8之污染物。 在無基板腔室電漿潔淨製程中,污染物係轉移至吸盤 102的上表面,其對於腔室電漿潔淨製程後、施加至生 瘳 產基板的蝕刻製程會有不利的影響。舉例而言,污染物 累積在吸盤102的上表面1〇3上會導致(:1)隨一生產基 板至下一生產基板間產生變化。第2圖繪示了根據本發 明一實施例之蝕刻製程的臨界維度(CD )囷200,其為 腔室運轉時間之函數。 參照第2圖,曲線202代表CD與腔室運轉時間之間 的關係。腔室達轉時間是指在製程腔室的濕式潔淨之後 累積的生產基板處理之時間。在例如一生產基板批次中 ® 每一個生產基板的蝕刻之間實施無基板電漿潔淨。在一 實施例中,隨著處理的生產基板越多,基板的CD便開 始增加,如第2圖所示。在一實施例中,CD的增加是因 巧染物轉移至吸盤1〇2之上表面1〇3所致;在腔室1〇〇 中蝕刻一生產基板時,這些污染物會導致吸盤1〇2上熱 點的形成。這些熱點會改變樣品表面處電漿的局部蝕刻 特性,導致生產基板上變化之臨界維度。 因此,本發明的一項構想包括一種對處理工具中一腔 室進行電漿潔淨的方法。第3圖係一流程圖3〇〇,其 201011805 明了根據本發明一實施例之對製程工具中一腔室進行電 漿潔淨的方法之操作流程。 參照流程圖300之步驟302,一基板(例如一晶圓) 係放置在製程腔室中之一吸盤上,該製程腔室中具有一 污染物組。在一實施例中,該基板係一假晶圓或一乾燥 晶圓’例如、但不限於一裸露矽晶圓或塗佈有熱成長氧 化物之晶圓。在一特定實施例中,晶圓係一 3〇〇 晶 圓,而製程腔室係包圍於適用於處理3〇〇 mm晶圓的工 具中。在一實施例中,該污染物組顆粒,其包括、但不 限於金屬顆粒或介電質顆粒。 參照流程圖300的步驟304 ’接著在製程腔室中進行 一電漿製程,以將該污染物組轉移至基板的上表面。根 據本發明一實施例,電漿製程係一低壓電漿製程,其係 實施於約5-50 mTorr之壓力;根據一特定實施例,電漿 製程係實施於約10 mTorr之壓力。在此步驟中使用低壓 電漿製程可比高壓電漿製程更完整潔淨製程腔室的部件 (例如淋灑頭與腔室壁)❶舉例而言,在一實施例中,潔 淨圖樣開始於製程腔室頂篷中心處,並整體遷移至製程 腔室的壁面。 步驟304之電漿潔淨製程中所使用的電漿係基於適合 轟擊位於製程腔室各部件上的污染物、並將污染物轉移 土板(了為一假基板或乾燥基板,如前述者)上表面 之氣體舉例而言,在一實施例中,電漿潔淨製程之電 漿係基於一種氣體,例如、但不限於氧氣或氬氣。在一 201011805
實施例中’電漿製程係基於流量為每分鐘5〇〇_2〇〇〇標準 立方公分(seem )之氧氣,且實施時間約6〇_2〇〇秒。在 一特定實施例中’電漿製程係基於流量約1500 sccm< 氧氣,且實施時間約1 80秒。在一實施例中,製程腔室 具有一上電極與一下電極;在電漿製程期間,上電極具 有約500-2000瓦之功率源,而下電極具有約〇瓦(無偏 壓)之功率源。在一特定實施例中,在電漿製程期間, 上電極具有約1000瓦之功率源,而下電極具有約〇瓦之 功率源。 參照流程圊300之步驟306,具有污染物組於其上之 基板係自製程腔室中移除,因此,污染物組係自製程腔 室移除,而不再位於吸盤的表面上。舉例而言,根據本 發明之一實施例,在執行電漿潔淨製程以前,污染物魬 係位於該製程腔室所包圍之淋麗頭上;使用低壓電漿潔 淨製程,污染物組係自工具移除’因為污染物組係轉移 至基板的表面、而非吸盤的上表面。 在本發明之另一構想中,係於流程圖3〇〇之步驟 304與则之電聚潔淨製程後進行―第二電裝潔淨、 步驟。參照流程圖300之步驟3〇8,當吸盤的上 露時,於製程腔室中進行一第二電裝製程。 黍 第二電漿製程係用以移除未依步驟3〇2、3〇4與3 之低塵電聚潔淨製程方案而自製程腔室直接轉移出去I6 其他污染物和雜質。舉例而言,在-實施例中,第二: 衆製程消耗位於製程腔室中的有機污染物。根據本^ 10 201011805 潔淨製程係仰賴高壓電漿而將污染 〜 機杂物或雜質)轉化為可泵送出製 程腔至的揮發物,因此 跡 如曰圓、α 此在此步驟中,不需使用基板(例 ::圓)來覆蓋吸盤’這是因為第二電聚使剩餘的污染 、#f揮發’而㈣擊與轉移》甚至較佳為暴露吸盤 上方使吸盤的上表面可藉由第二電漿製程而予以潔淨。
在步驟308之第二電漿潔淨製程中所使用之電裂係基 於^使製程腔室各部件上之污染物揮發的氣體。舉例 °根據本發明一實施例,第二電漿潔淨製程係實施 於本質上高於第-電漿潔淨製程之壓力。在-實施例 中第一電漿潔淨製程係一低壓電漿製程,其實施於約 5·50 mTorr之壓力;而第二電漿潔淨製程係一高壓電漿 製程其實施於約200-600 mTorr之壓力。在一特定實施 例中,第一電漿潔淨製程係一低壓電漿製程,其實施於 約10 mTorr之壓力;而第二電漿潔淨製程係一高壓電漿 製程’其實施於約300 mTorr之壓力。在一實施例中, 第二電漿製程係基於流量約500-4000 seem之氡氣,其 實施時間約10-60秒。在一特定實施例中,第二電漿潔 淨製程係實施約3 0秒。在一.實施例中,製程腔室具有一 上電極與一下電極;在電漿製程期間,上電極具有約 0-100瓦之功率源’而下電極具有約〇瓦(無偏壓)之功 率源。 在本發明之一構想中,腔室電漿潔淨製程是在污染物 組污染製程腔室後進行《第4A圖至第4C圖係根據本發 11 201011805 明一實施例,說明了電漿製程腔室之截面圖,其中電漿 潔淨製程方案係實施於該電槳製程腔室中。 第4A圖係根據本發明一實施例,說明一電漿製程腔 室400之截面圖’該電漿製程腔室4〇〇内具有一生產基 板408 (在一實施例中為一生產晶圓),其係藉由一第一 電漿製程406予以蝕刻’其中該蝕刻提供一污染物組於 該製程腔室中。生產基板408座落於吸盤402之上表面 魯 的一部分上方並覆蓋該處,且座落於電漿製程腔室400 中之淋灑頭404的下方。生產基板408係包括一般用於 半導體業中的各種覆蓋層或圖樣化堆疊材料;舉例而 言’在一實施例中’生產基板408包括一基板41〇、一 圖樣化介電層412與一金屬特徵414,如第4A圖中放大 部分所示。根據本發明一實施例,在對生產基板4〇8進 行蝕刻製程時,污染物組係產生並分散於電漿製程腔室 400中,如箭頭47〇所示。在一實施何中,污染物組係 • 分散在部分淋灑頭404上,並阻擋該處。在一實施例中, 生產基板408包括一金屬廣與一介電層,而污染物組包 括顆粒,例如、但不限於金屬顆粒或介電質顆粒。在另 ―實施例中,其他污染物(例如有機殘餘物)係分散於 電ϋ製程腔室彻中。在―特定實施例中,有機殘餘物 係產生自生產基板408上之一光阻層416。在以第一電 漿製程蝕刻生產基板4〇8後,生產基板4〇8係自電裝製 程腔室400移除。 第4Β圖係根據本發明一實施例,說明一電漿製程腔 12 201011805 室400之截面圖’該電漿製程腔室中具有暴露至一第二 電衆製程之一假基板或乾燥基板420 (在一實施例中為 一假晶圓或乾燥晶圓),其中該電漿製程將該污染物組轉 移至假基板的上表面。參照第4B圖,放置假基板或乾燥 基板420以覆蓋電漿製程腔室400中吸盤402的上表面 之一部分,第二電漿製程係執行於電漿製程腔室4〇〇 中’以將污染物組轉移至假基板或乾燥基板42〇的上表 面如箭頭480所示。在一實施例中,該污染物組包括在 ® 生產基板408蝕刻期間所產生的金屬顆粒或介電質顆 粒。根據本發明一實施例,第二電漿製程係一低壓電漿 製程’例如為流程圖300之步驟304所述之低壓電漿製 程。在一實施例中’當假基板或乾燥基板420位於電漿 製程腔室400中時,係於電漿製程腔室4〇〇中進行一第 三電漿製程以乾燥(season)電漿製程腔室400。在進行第 二或第三電漿製程後,係自電漿製程腔室4〇〇移除具有 • 污染物組之假基板或乾燥基板420。 第4C圖係根據本發明一實施例,說明一電漿製程腔 室400之截面圖’該電漿製程腔室4〇〇中不具基板,其 中一無基板或無晶圓電漿製程係於該電聚製程腔室4〇〇 中實施。參照第4C圖,當吸盤402的上表面暴露時,於 電漿製程腔室400中進行無基板電漿製程。在一實施例 中,無基板電漿製程係一高壓電毁製程,例如為流程圖 300中步驟308所述之高壓電漿製程。在一實施例中, 無基板電漿製程係用以使殘餘在電漿製程腔室4〇〇中的 13 201011805 有機殘留物揮發,如弩曲箭頭490所示。 在本發明之一構想中,腔室電漿潔淨製程係整合於生 產線整合方案中。舉例而言,第5圖係_流程圖5〇〇, 其說明了根據本發明一實施例之運作一蝕刻製程工具的 方法之操作流程。
參照流程圏500之步驟502,一乾燥基板係放置在具 有污染物組之製程腔室中的吸盤上。乾燥基板與污染物 組係流程圖300之步驟302中所述之一乾燥晶圓與一污 染物組。根據本發明之一實施例,乾燥基板係一晶圓, 在對一實際生產晶圓進行一生產蝕刻方法前,係先對該 晶圓(乾燥基板)進行該生產蝕刻方法。 參照流程圖500之步驟504,當乾燥基板或乾燥晶圓 位於吸盤上時,於製程腔室中進行一電漿製程,藉以進 行電漿潔淨製程。實施此㈣係為將刘物組從例如製 程腔室壁或製程腔室淋灑頭轉移至乾燥基板的上表面。 在一實施例中,電槳潔淨製程係一低壓電浆製程,例如 流程圖300之步驟304中所述之低壓電漿製程。 參照流程圖500之步驟506,當乾燥基板存在製程腔 室中之吸盤上時,於製程腔室中進行一乾燥方法 (seasoning recipe)以乾燥(seas〇n)該製程腔室。根據本發 明-實施例’乾燥方法係與後續用於蝕刻製程腔室中之 生產基板㈣之㈣方法m施财,在乾燥基 板仍位於製程腔室中之吸盤上眛,% > 次盟上時,進打一灰化方法。在 一實施例中’所使料灰化方法與後續進行於生產基板 14 201011805 之灰化方法類似。這種乾無(亦即麵刻)與灰化方法包 含了數種電漿氣體與各種製程條件的使用,如該領域中 所習知者。 參照流程500之步驟508 ’從該製程腔室移除具有污 染物組之乾燥基板。接著,參照流程圖5〇〇之步驟51〇, 於製程腔室中實施無基板或無晶圓電漿潔淨方法。在― 實施例中’無基板電漿潔淨製程係一高壓電襞製程如 流程圖300之步驟308中所述之高壓電漿製程。 此時,製程腔室電漿潔淨與乾燥步驟係已完成,且一 生產基板或一生產基板批次係於製程腔室中進行處理 參照流程圖500之步驟512,一生產基板係插置入製程 腔室中,並對該生產基板進行一生產方法。舉例而言, 根據本發明之一實施例’該生產基板係以與步驟5〇6所 述之乾燥方法類似的方法予以蝕刻。也可在蝕刻方法進 行之後,對該生產基板進行灰化方法,反映了步驟5〇6 參所說明之製程順序。 參照流程圖500之步驟514,自製程腔室移除生產基 板或生產晶圓,並於製程腔室中進行無基板或無晶圓電 漿潔淨方法》在一實施例中,無基板電漿潔淨製程係一 高壓電漿製程,例如流程圖300中步驟3〇8或上述步驟 508所述之高壓電讓製程。根據生產線的需求,可循環 執行步驟512與514數次,如箭頭516所示。舉例而言, 在一實施例中’步驟512與514係循環達25次以完^單 一批次之25個生產基板。 15 201011805 參照箭頭518’ 一旦完成所需次數的步驟512/514循 環’係於處理另一批次之生產基板或生產晶圓前進行電 漿潔淨步驟502至510 ;然後’重複516與518循環兩 次’直到需對製程腔室進行預防性維修(PM,例如濕式 潔淨)為止。根據本發明一實施例,藉由將低壓電聚潔 淨製程併入製程腔室的生產序列中,在需要.製程前 可處理之生產基板數約為不使用低壓電漿製程時可處理 參 之生產基板數的三倍。在一實施例中,藉由將低壓電漿 潔淨製程併入製程腔室的生產序列中,製程腔室在PM 製程之間可使用約達1 000小時。
如上所述之腔室電滎潔淨製程方案可使用於各種钕刻 或反應腔室。舉例而言,在一實施例中,一腔室電讓潔 淨製程係實施於一電漿蝕刻腔室中,其可以多種RF頻率 來激能一蝕刻劑氣體混合物’例如由美_應用材料公司 ( Applied Material of CA,U.S.A.)所製造之 EnablerTM • 蝕刻腔室。在另一實施例中,腔室電漿潔淨製程係實施 於一磁性增強反應性離子蝕刻器(MERm )之蝕刻腔室 中’例如同樣由美國應用材料公司.所製造之Mxp⑧、 MxP+™、 Super-E™或E-MAX®腔室。腔室電漿潔淨製 程亦可實施於該領域習知之其他類型的高性能飯刻腔室 中,舉例而言’如使用感應技術而形成電漿於其中之腔 室。 第6圖緣示了示例之多頻率飯刻系統6〇〇 (例如: EnablerTM蝕刻腔室)的截面圖’在該多頻率蝕刻系統6〇〇 16 201011805 中可進行一腔室電漿潔淨製程。系統600包括一接地腔 室605’ 一假基板或乾燥基板610(在一實施例中為一假 晶圓或一乾燥晶圓)係載入通過開口 6 15,並夾鉗至一 溫度控制陰極620。在特定實施例中,溫度控制陰極62〇 包括複數個區域,其中各區域都可獨立控制於一溫度設 定點,例如接近基板610中央之第一熱區622與接近基 板610週邊之第二熱區621。製程氣體係從氣體源石杉、 瘳 646、647與648供應通過流量控制器649而進入腔室6〇5 的内部。在特定實施例中NSTU 650提供了可控制之内 外徑氣體流量比,藉以在靠近基板61〇中央處或基板61〇 週邊處提供較高流量之製程氣體,以調整基板61〇直徑 上之中性物種濃度。腔室605係經排氣閥651加以排空 以降低壓力;排氣閥651係連接至具有一渦輪分子泵之 高量真空泵堆疊裝置655 » 當施加RF功率時,電漿係形成於基板61〇上的腔室 參 製程區域。偏壓功率RF產生器624係耦接至陰極62〇 ; 偏壓功率RF產生器624提供偏壓功率以進一步激能電 漿。偏壓功率RF產生器625 —般具有介於約2 MHz至 60 MHz間之低頻率,且在特定實施例中,係處於13 56 MHz之頻帶。在某些實施例中,電躁蝕刻系統6〇〇包括 另一偏壓功率RF產生器626,其頻率約2 MHz之頻帶且 連接至與偏壓功率RF產生器625相同之RF匹配器 627 »源功率RF產生器63〇係經由一匹配器(圖中未示) 而連接至淋灑頭635,其係相對於陰極62〇之陽極反應 17 201011805
性,以提供高頻功率原來激能電漿ι源功率RF產生器 630 —般具有高於偏壓RF產生器625之頻率,例如介於 100與180 MHz ’且在一特定實施例中係162 MHz之頻 帶。當功率源相對獨立地影響基板61〇上偏壓之電漿密 度時’偏壓功率係影響基板61〇上之偏壓電壓,而控制 基板610的離子轟擊。應知給定輸入氣體組(電漿係從 其產生)的蝕刻性能會隨電漿密度與基板偏壓而顯著改 變,因此激能電漿之功率的大小與頻率是重要的。因為 基板直徑會從150 mm、200 mm、300 mm而隨時間進展, 通常在此領域中會使一電漿蝕刻系統之源功率與偏壓功 率正規化至基板區域。 在特定實施例中’電漿蝕刻腔室包括一控制内外徑磁 場強度比之CSTU’以控制基板610的直徑間帶電物種的 密度。一示例CSTU包括基板610週邊鄰近處之磁 圈640以及基板61〇中央鄰近處之磁場線圈641,以於 腔至605内區或外區、或兩者中提供介於〇 g與約g 間之磁場。 在本發明之一實施例中,系統6〇〇係藉由控制器67 而受電腦控制,以控制低頻偏壓功率、高頻源功率、CM 内外磁場比、蝕刻劑氣體流量與NSTU内外流量比、身 程麗力與陰極溫度以及其他製程參數。控制器67〇係j 何形式之通用資料處理系統的其中一種,其可用於工^ 設定以控制各種副製程與副控制器。一般而言,控制】 670包括-中央處理單元(CPU) m,其於其他共同」 18 201011805 件間與記憶體673及輸入/輸出(I/O)電路674連接。 CPU 672所執行的軟艘指令可使系統600將一基板載入 腔室605中’引入電漿潔淨製程氣體(例如氧氣)至腔 室605内,並將污染物轉移至基板的上表面。根據本發 明之其他製程(例如蝕刻生產基板上一金屬層上方之一
無機介電質蓋層)也可由控制器670執行。本發明之構 想係可提供為電腦程式產品,其包括電腦可讀取媒鱧, 在電腦可讀取媒體上儲存有指令,根據本發明一實施 例,其用以編程一電腦(或其他電子裝置)以將一假基 板或乾燥基板載入腔室605中,並將一電漿潔淨氣體(例 如氧氣)導入至腔室605中。電腦可讀取媒體係包括、 但不限於軟碟機、光碟機、CD R〇Ms (壓縮碟唯讀記憶 鱧)、磁光光碟、ROMs (唯讀記憶體)、RAMs (隨機存 取記憶體)、EPROMs (可拭除式可編程唯讀記憶體)、 马EPROMs (電子可拭除式可編程唯讀記憶體)、磁卡或 光學卡、快閃記憶體、或其他習知類型、適用於儲存電 子指令之可讀取儲存媒體。此外,本發明也可以含有電 腦程式產品之程式播案方式下載,其中該程式播案係 一遠端電腦傳送至一請求電腦? 因此 種用於對一製程工具中之一腔室進行電漿 淨的方法係已揭露。根據本發明之—實施例,基板係 置在具有污染物組之—製程腔室中的吸盤上,接著在 程腔室中進行電_程以將污染物組轉移至基板的上 面;然後’自製程腔室中移除具有污染物組之基板。, 19 201011805 例如、但不限於金 中’電漿製程係一 一實施例中,該污染物組包括顆粒, 屬顆粒與介電質顆粒。在另一實施例 低壓電漿製程,其實施於約5_50mTorr之壓力範圍 【圖式簡單說明】 第1圖說明根據本發明一實施例之電漿製程腔室的截 面圖。 • 第2圖繪示了根據本發明一實施例之蝕刻製程的臨界 維度(CD)圖’其為腔室運轉時間之函數。 第3圖係-流程圖,其說明了根據本發明一實施例之 對製程工具中一腔室進行電漿潔淨的方法之操作流程。 第4A圖係根據本發明一實施例,說明一電漿製程腔室 之截面圖,該電漿製程腔室内具有由一第一電漿製程姓 刻之一第一基板(例如一晶圓),其中該蝕刻提供一污染 物組於該製程腔室中。 β 第4B圖係根據本發明一實施例,說明一電漿製程腔室 之截面圖,該電漿製轾腔室中具有暴露至一第二電漿製 程之一第二基板(例如一晶圓),其中該電漿製程將該污 染物組轉移至該第二基板的上表面。 第4C圖係根據本發明一實施例,說明一電漿製程腔室 之截面圖,該電漿製程腔室中不具基板,其中一第三電 漿製程係於該電漿製程腔室中實施。 第5圖係一流程圖,其根橡本發明一實施例說明運作 20 法之操作流程。 201011805 一餘刻製程工具的方 第6圖係根據本發明一實施例, 刻系統之截面圖’在該多頻率餞刻 電漿潔淨製程。 說明一示例多頻率姓 系统中可進行一腔室
【主要元件符號說明】 100 腔室 102 吸盤 103 上表面 104 淋灑頭 106 電漿 108 腔室壁 200 臨界維度圖 202 曲線 300 流程圖 302-308 步驟 400 電漿製程腔室 402 吸盤 404 淋灑頭 406 電襞製程 408 生產‘板 410 基板 412 圖樣化介電層 414 金屬特徵 416 光阻層 470 箭頭 480 箭頭 490 箭頭、 500 流程圖 502-518 步驟 600 電漿蝕刻系統 605 腔室 610 基板 615 開口 620 陰極 621 熱,區 622 熱區 625 偏壓功率RF產生 器 21 201011805 626 偏壓功率RF產生器 627 匹配器 630 源功率RF產生器 635 淋灑頭 640 磁場線圈 641 磁場線圈 645 氣體源 646 氣體源 647 氣體源 648 氣體源 649 流量控制器 650 NSTU 651 排氣閥 655 真空泵堆疊裝置 670 控制器 672 中央處理單元 673 記憶體 674 輸入/輸出電路
22

Claims (1)

  1. 201011805 七、申請專利範圍: 腔室進行電漿潔淨 的 1. 一種用於對一製程工具中之一 方法,其包括: 吸盤上,該製程腔室 放置一基板於一製程腔室中的— 内具有一污染物組; 於該製程腔室中热4 7} 费'將也丨 至中執仃-電漿製程’以將該 移至該基板的上表面;以及 卿組轉 ❹ 物組 自該製程腔室移除該基板’丨中該基板上具 污染 2. 如申請專利範圍第丨項之 — 乃/¾,其中該巧·染物組 選自由金屬顆粒與介雷蜇顆撫你& ’巴括 介電質顆粒所組成群組之顆粒。 3. 如申請專利範圍第1項 々在,其中該電漿製盘伤一 低壓電漿製程,其於壓Λs 水眾裎係 ❹ 壓力約1_5〇InTo-的範圍内實施。 4. 如申請專利範圍第3項 於流量約為每分鐘—桿準方立法方 而行,且實施時間約為.2=方么分(咖)之氧氣 23 1 如申請專利範圍第1 -上電極*… 項之方法,其中該製程腔室具有 有约500-测瓦之—功料^製程期間,該上電極具 &之功辜源,而該下電極具有約〇瓦之一 201011805 功率源。 6. 如申請專利範圍第1項之方法,其中,在進行該電漿 製程前,該污染物組係位於該製程腔室内之一淋灑頭上。 7. —種用於對一製程工具中之一腔室進行電漿潔淨的 方法,其包括: 魯放置一基板以覆蓋一製程腔室中的一吸盤之一上表 面,該製程腔室内具有一污染物組; 於該製程腔室中進行一第一電漿製程,以將該污染物 組轉移至該基板的上表面上; 當該基板位於談製程腔室中時,於該製程腔室中進行 一第一電漿製程以乾燥(season)該製程腔室; 從該製程腔室移除該基板,該基板上具有該污染物 組;以及 β 虽該吸盤之該上表面暴露時’於該製程腔室中進行一 第三電漿製程。 8. 如申請專利範圍第7項之方法,其中該污染物組包括 選自由金屬顆粒與介電質顆粒所組成群組之顆粒。 9. 如申請專利範圍第8項之方法,其中該第三電襞製程 消耗位於讓製程腔室中之有機污染物。 24 201011805 . V 10.如申請專利範圍第7項之方法 係一低壓電漿製程,其於壓力约55〇 Μ第一電漿製程 施,·且其令該第三電樂製程係―高壓^^的^園内實 約200-600 mT0rr的範圍内實施。 '、於壓力 η.如申請專利範圍第10項之方 程係基於流量约為每分鐘麻2_標準立Π第—電浆製 之氧氣而行’且其實施時間約為60-200秒;:二( SCC') :衆製錢基於流量約為每分鐘5。。_4_標準立 (―之氧氣而行,且其實施時間約為—秒。 △如申請專利範圍第7項之方法,其中該製程腔室具有 上電極肖下電極’且在該第一電漿製程期間,該上電 極具有約500·2000瓦之一功率源,而該下電極具有約〇瓦 ο 之功率源,且其中在該第三電衆製程期間,該上電極具 有約0-100瓦之一功率源,而該下電極具有約〇瓦之一功 率源。 13.如申請專利範圍第7項之方法其中,在進行該第一 電漿製程刖’邊污染物組係位於該製程腔室内之一淋灑頭 上 14. 一種用於操作一蝕刻製程工具的方法’包括 提供一第一基板於一製程腔室之一吸盤上; 25 201011805 於該製程腔室中,以一第一電漿製程蝕刻該第—基 板,其中所述姓刻提供一污染物組於該製程腔室中; 從該製程腔室移除該第一基板; 放置一第二基板以覆蓋該製程腔室中該吸盤之—上表 面; 於該製程腔室中進行一第二電漿製程,以將該污染物 組轉移至該第二基板的上表面上; 從該製程腔室移除該第二基板,該第二基板上具有該 φ 汚染物組;以及 當該吸盤的該上表面暴露時,於該製程腔室内進行一 第三電漿製程。 15.如申請專利範圍第14項之方法,其中該第一基板包 括一金屬層與一介電層,且其中該污染物組包括選自由金 屬顆粒與介電質顆粒所組成群組之顆粒1 Φ 16•如申請專利範圍第15項之方法,其中該第一基板更 乳括光阻層’且其巾該第三電默製㈣,耗位於該製程腔 家中之有機污染物。 π.如申請專利範圍第14項之方法,其中該第二電漿 释係-低_製程,其於壓力約5,^的範圍内 ‘且其中該第三電漿製程係一高壓電漿製程,其於壓 約200-600 mT〇rr的範圍内實施。 26 201011805 18.如申請專利範圍第i7 法甘 程係基於流量約為每分铲 、該第二電漿製 之葡…I 2_標準立方公分(一) 之氧虱而灯’且其實施時間約為6。销秒;而 電漿製程係基於流量約A 其中該第一 ^為每分们(^侧標準立方公分 Μ之氧氣而行’且其實施時間約為— ❿ :,如申請專利_ 14…法,其中該製程腔室具 有-上電極與-下電極’且在該第二電漿製程期間,該上 電極具有約500·2_瓦之__功率源,而該下電極具有約〇 瓦之-功率源;且其中在該第三電漿製程期間,該上電極 具有約0-100瓦之-功率源,而該下電極具有約Q瓦之__ 功率源。 上 20.如申請專利範圍第14項之方法,其中在進行該第二 參電漿製程前’該污染物組係位於該製程腔室内之一淋灑頭 27
TW098124611A 2008-07-29 2009-07-21 Chamber plasma-cleaning process scheme TW201011805A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/181,535 US20100024840A1 (en) 2008-07-29 2008-07-29 Chamber plasma-cleaning process scheme

Publications (1)

Publication Number Publication Date
TW201011805A true TW201011805A (en) 2010-03-16

Family

ID=41607078

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098124611A TW201011805A (en) 2008-07-29 2009-07-21 Chamber plasma-cleaning process scheme

Country Status (6)

Country Link
US (1) US20100024840A1 (zh)
JP (1) JP2011530170A (zh)
KR (1) KR20110040950A (zh)
CN (1) CN102113097A (zh)
TW (1) TW201011805A (zh)
WO (1) WO2010014399A2 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5448619B2 (ja) * 2009-07-21 2014-03-19 東京応化工業株式会社 サポートプレートの洗浄方法
JP5521561B2 (ja) * 2010-01-12 2014-06-18 信越半導体株式会社 貼り合わせウェーハの製造方法
US9017486B2 (en) 2010-09-09 2015-04-28 International Business Machines Corporation Deposition chamber cleaning method including stressed cleaning layer
US20170301567A9 (en) * 2012-11-20 2017-10-19 Tokyo Electron Limited System of controlling treatment liquid dispense for spinning substrates
CN107359113B (zh) * 2017-07-28 2021-04-13 武汉光谷量子技术有限公司 一种使用RIE设备刻蚀InP材料的方法及刻蚀InP材料
KR102647683B1 (ko) * 2021-11-25 2024-03-13 세메스 주식회사 기판 처리 장치 및 이를 이용한 기판 처리 방법

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5911833A (en) * 1997-01-15 1999-06-15 Lam Research Corporation Method of in-situ cleaning of a chuck within a plasma chamber
US6274500B1 (en) * 1999-10-12 2001-08-14 Chartered Semiconductor Manufacturing Ltd. Single wafer in-situ dry clean and seasoning for plasma etching process
US7959970B2 (en) * 2004-03-31 2011-06-14 Tokyo Electron Limited System and method of removing chamber residues from a plasma processing system in a dry cleaning process
US7655316B2 (en) * 2004-07-09 2010-02-02 Applied Materials, Inc. Cleaning of a substrate support
JP4764028B2 (ja) * 2005-02-28 2011-08-31 株式会社日立ハイテクノロジーズ プラズマ処理方法
KR20060125430A (ko) * 2005-06-02 2006-12-06 동부일렉트로닉스 주식회사 챔버의 폴리머 제거 방법
US7575007B2 (en) * 2006-08-23 2009-08-18 Applied Materials, Inc. Chamber recovery after opening barrier over copper

Also Published As

Publication number Publication date
KR20110040950A (ko) 2011-04-20
JP2011530170A (ja) 2011-12-15
WO2010014399A2 (en) 2010-02-04
WO2010014399A3 (en) 2010-03-18
CN102113097A (zh) 2011-06-29
US20100024840A1 (en) 2010-02-04

Similar Documents

Publication Publication Date Title
TWI815911B (zh) 電漿處理方法及電漿處理裝置
JP6071514B2 (ja) 静電チャックの改質方法及びプラズマ処理装置
KR101153330B1 (ko) 플라즈마 처리 장치의 클리닝 방법, 그 클리닝 방법을 실행하는 플라즈마 처리 장치 및 그 클리닝 방법을 실행하는 프로그램을 기억하는 기억 매체
US5780359A (en) Polymer removal from top surfaces and sidewalls of a semiconductor wafer
US20170032955A1 (en) Plasma processing apparatus and plasma processing method
US7344993B2 (en) Low-pressure removal of photoresist and etch residue
KR100891754B1 (ko) 기판 처리실의 세정 방법, 기억 매체 및 기판 처리실
US20050230351A1 (en) Plasma processing method and apparatus
JP2005340787A (ja) リモートプラズマ発生チューブの表面洗浄方法と、リモートプラズマ発生チューブを用いる基板処理方法と、基板処理装置
US8420547B2 (en) Plasma processing method
TW201011805A (en) Chamber plasma-cleaning process scheme
TW201635408A (zh) 真空吸引方法及真空處理裝置
KR101540816B1 (ko) 플라즈마 에칭 방법, 컴퓨터 기억 매체 및 플라즈마 에칭 장치
JP2010199475A (ja) プラズマ処理装置のクリーニング方法及び記憶媒体
JP6902941B2 (ja) プラズマ処理方法およびプラズマ処理装置
US7425510B2 (en) Methods of cleaning processing chamber in semiconductor device fabrication equipment
TW558738B (en) Plasma processing
JP4684924B2 (ja) プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
US5868853A (en) Integrated film etching/chamber cleaning process
JP2019009189A (ja) エッチング方法
JP2007059666A (ja) 半導体装置の製造方法、半導体装置の製造装置、制御プログラム及びコンピュータ記憶媒体
JP2004259819A (ja) 試料の表面処理装置及び表面処理方法
US6153849A (en) Method and apparatus for preventing etch rate drop after machine idle in plasma etch chamber
JP7292014B2 (ja) マイクロレンズの製造方法およびプラズマ処理装置
JP7254437B2 (ja) シリコン部品を調整するための方法