TW200836261A - Method for selective removal of damaged multi-stack bilayer films - Google Patents

Method for selective removal of damaged multi-stack bilayer films Download PDF

Info

Publication number
TW200836261A
TW200836261A TW096144644A TW96144644A TW200836261A TW 200836261 A TW200836261 A TW 200836261A TW 096144644 A TW096144644 A TW 096144644A TW 96144644 A TW96144644 A TW 96144644A TW 200836261 A TW200836261 A TW 200836261A
Authority
TW
Taiwan
Prior art keywords
layer
dielectric
film
dielectric film
substrate
Prior art date
Application number
TW096144644A
Other languages
English (en)
Other versions
TWI423330B (zh
Inventor
Sandra L Hyland
Ian J Brown
Yannick Feurprier
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200836261A publication Critical patent/TW200836261A/zh
Application granted granted Critical
Publication of TWI423330B publication Critical patent/TWI423330B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Description

200836261 九、發明說明: 交互參照之相關申請案: 本申睛案係關於2006年3月28曰坦φ / 申請案第11/390193號,其名稱為「 =寺^夫之美國專利 (胤024);及纖年3月28日^;^^才料之移除方法」 .巧H/390197號,其名稱為「圖案化膜之方之關專利申請 、加編)。在此以參考資料方抓其所揭^全及部^」。 【發明所屬之技術領域】 Γ 明係關於在介電膜上實施移除處理之方、去w 侧、灰化、歧濕式清潔處理方法’更有關於在 部中之損壞材料的移除方法。更9在基板上之雙層臈之特徵 【先前技術】 在半導體相關技術中,已知積體帝 增加的主要限制來自内部連接的延速度及效能的 ,之-是㈣在_ Ic日辕職介電t =連接延遲的方 部連接電容。低k材料亦有助於低數(低k)材料以減少内 材^已取代相對較高之介電常數的^料因此^近年來,低k ^ ,低k薄膜用於作為轉=。更 層或疋内部階層之介電層。此 屬層之間的中間階 常數,材料膜係與孔洞—起亦要J減少絕緣材料之介電 低k膜可以藉由類似於施加光組之旌务=低k介電膜。此種 或是藉由化學氣相沉積(CVD)法·電(SOD)法沉積, 可輕易適應現行的半導體製造處理=貝。因此,低k材料的使用 材料對於轉體ί路之製造大右m β w為此等薄膜亦有許多挑戰。首矣 ° 4有可為,但是發明人 所以在晶圓處理中,例如通常图膜比傳統介電層脆弱, 化處理中會損壞。更進-步,草此ff化介電層之侧及電漿灰 更進^ #些似膜被損壞時具有高度反應 5 200836261 陡二特別是在圖案化之後,藉此使低k材料吸收能夠改變介電層 f電特性的水、及/或是與其他蒸氣及/或是處理污物反應。在裝置 應用中’這些挑戰會阻礙低k膜的使用。 【發明内容】 =此丄_貫施例是用於移除電子裝置中之薄膜上之損壞層。 後在侧處理、灰化處理、或是献清潔處理之 後私除低介電常數(低k)膜上之損壞層。 化處ί另:ΐ施是使用乾式非電漿•刻處理以在侧處理、灰 :或疋濕式清潔處理之後移除低]<:膜上之損壞層。 之後處理、灰化處理、或是濕式清潔處理 此方賴之處理方法。 的基板,其中,為了要#屬〔:t電膜及覆1介電膜之頂層 圖案至頂層及介電膜,且t中案:j巧中形成特徵部,轉移 層於餘刻電漿中;並在頂層及3膜’暴露介電膜之表面 以移除介魏之総表面層非電漿移除處 或是其組合之處理及;:於包含HF或是簡3 學改質部分,以便脫附化學改質1面^表面層、及頂層之化 施乾式非電漿钱刻實質上可避dit學改質部分,其中實 μ本發明之另-實施驗包成突出部分。 U及覆蓋介電層的頂層;在,其中雙層膜包含介 ^部上實施乾:以:徵部之圖案特徵部;及在 除至少-部份損壞或是活化之 6 200836261 式非電漿鎌翻纽观雜斷爾出部分。 【實施方式】 在以下的說明中,為了要促谁妒 非限制的目的設定特定之細節二^發明並為了解釋而 其中所使關不m内容物及的特殊幾何參數及 本發明可以用脫離此等特殊細=應了解者為, 在材料處理方法論中,現。 如光阻)至後續將被圖案化之基板3】=溥層光感材料(例 置轉移此圖案至基板上之底下的每^上,以便在蝕刻中設 大致上包含暴露光感材料於使用例如徵统=,的圖案化 的幾何圖案,接著,利用顯影溶劑移除=2磁(EM)照射 二正光_情財)、或枝_‘:^=:二的: 例而ΐ外當徵部。舉 驟之前的分開的侧薄膜步驟轉移的 ”T處理矽的幾種材料中選出硬遮^中如 及石厌。再者’形成於薄膜之中的特徵部亦 =限於:_停止層、化學機械平坦化(CMPHm=
圖式,其中相似的參考標號指的是圖中相同 的。P刀’目1A到1G顯示根據一實施例之於介電 成I 合本發明之不同實施態樣,包含= η,,ί %腰上之抽壞層。然而,目1A4G的處理實 之貝%方法的流程圖200。 200836261 如圖1A、1B、及2所示,裝置loo包括在步驟21〇中形成於 了月b包含或者不包含額外層之基板14〇之上表面的介電膜夷 板140可為半導體、金屬導體、或是任何其他能使介電膜形成^ 二上的基板。介電膜具有少於大約4 (例如,熱的二氧化石夕的介恭 常數範圍是3.8到3.9)的Si〇2的介電常數。更明確言之,介電^ 130具有低於3·〇的介電常數,或是16到2·7的介電常數範圍。、 介電膜130可以使用化學氣相沉積(CVD)技術、或是旋 塗佈介電(SOD)技術形成,其係由Toky〇 Ele_nUmited(T 商業上獲得之Clean Track ACT 8 SOD及ACT 12 SOD塗布系統。 CjeanTrackACTS (200mm)及 ACT12 (3〇〇mm)塗布系統 提,用於SOD材料之塗布、烘烤、及硬化卫具。此軌道系統可用 ,麵、麵、麵或是更大尺寸之基板。在基板 ,成η電膜之其他系統及方法亦為熟知旋轉塗布介電技術及 CVD介電技術技藝者所已知。
^電膜130是以例如低介電常數(或是低k)為特徵的介電薄 '一二電膜13〇包括有機、無機、或是無機_有機混合材料或是其 的二^或更多之組合。此外,介電膜130可以是有孔的或是無孔' 其吕,介電膜可以包含利用CVD技術沉積的無機石夕酸鹽 1例如氧化有機魏(或是有機魏烧)。此等薄膜之範例 gJ^Applied Materials,Inc 商業上可獲得之 Β1— Di_ndTM 紫石夕酸鹽玻璃(0SG)薄膜、或是從NovdlusSystems商 薄膜。此外,舉例而言,有孔介電膜 ? ;斗,例如具有在固化處理會被破壞以形成空隙(或是 電鍵結之發氧化物基基質。此外,舉例而言,有孔介 材料,例如會在固化處理時被蒸發之具有孔洞之有 才,(起孔洞劑)的矽氧化物基基質。 料,電膜130包含利用S〇D技術沉積之無機石夕酸鹽基材 薄膜之二fit酸雜(HSQ)、或是甲基碰麵(msq)。此等 _之乾例包括可從Dow Coming商業上獲得之F〇xHSQ、可從 8 200836261
Microelectronics商業上獲得之XLK有孔HSQ、即從JSR Microelectronics商業上獲得之JSRLKD_5109。又或者是,介電膜 130包含利用SOD技術沉積之有機材料。此等薄膜包含從D〇w
Chemical,FLARE™ 商業上獲得之 sm、siLK小 SiLK-D、及有 孔SiLK半導體介電樹脂、及從Honeywell商業上獲得之 NANOGLASS ⑧。 。 又 在圖1A-1G的實施例中,只要製備介電膜13〇之後,馬上在 •步驟f20中在其介電膜之上表面形成一頂層120。頂層120包含例 如f氧化物(SiQx)、魏化物(SiyNj、及碳歧其組合。舉例 而言,頂層12〇可作為底下的介電膜130之覆蓋層。 之後,在步驟230巾’在頂層120之上表面幵^成圖案化遮罩 層no。圖案化遮罩層110包含利用光微影形成於光感材料層 如光阻)中之光微影圖案112。或者,圖案化遮罩11〇包含呈 反^塗布(ARC),例如埋入舰(BARC)層或是埋於其^中之可 =式蝕刻ARC (TERA)層之雙層遮罩或是多層遮罩。mc芦 有機ARC或是無機ARC。又或者,圖案化遮罩ιι〇可包^ 有Π⑽(0PL)之下的ARC層之下的薄層光阻之多 每遙罩光阻之厚度相對而言很薄,且ARC層之 波長與而。PL之厚歧取缺_處理㈣往意厚度暴路 f系統可用於處理248 nm光阻、193 nm光阻、157 η $ 光阻、(上/下)抗反射塗布(TARC/BARC )、及上 與 ‘’執道系統包含由TokyoEle血。nLimited咖 cieanTraekACT8、或是 ACTi2 光阻塗布 ^ ^ ^之 CVD介電技術技藝者所已知。 及 用任何合適的f知步財微料案可以使 崎小幾何尺寸(亦即45nm、32t^:i先進 200836261 大體上而吕是由以下需求所限制:最佳化光微影圖牵 案破摔之精確傳達、最小化遮罩層110之厚度以避: 於確二*=化遮罩層11Q之組成及厚度以把遮罩層11G之圖案 ί線緣最小化光微影圖案110轉移至底膜之側壁削 層。Ξίΐ將^用ί雜刻處理轉移光感層之遮罩圖案至底 用多層遮罩之習知處理中,首先使用圖案化 兹ii介ίί下3遮罩次層’接著同時使用多層遮罩以把圖案 光咸居;5/-\Λ /、邊’使關如剝除、灰化、或是濕式清潔處理 =感層移除處理中會損壞介電特徵部及/或是改git = 之特徵。此種損壞是除了一 ^ 乾所示,在步驟240中,利用倾刻處理,例如 私至頂層120。额外細郎詳見於2〇〇6年3月28日
C 5ί#!Ιί 〇2iv390193 5 利申請案第騰。197號,其名稱為「圖案 上^吴二專 碰1圖所不,一旦遮罩圖案122形成於頂層120中,可在牛 心細除 理遮罩層110。優點有例如··因為缺少由 ,,在侧介電㈣之前的遮罩層 早。此外,例如,當在濕式剝除處理中施 風 ^ 電裝以移除光阻及後峨餘之灰二以 式清潔處理的部分。先進的(有孔输孔)=== 200836261 蝕亥j、制除及/或是灰化處理中會被損壞,因此,先移 以取小化介電層之損壞。或者,在步驟25 舉,而言,遮罩層削可以在轉移瞧介 此外,例如,當遮罩層1K)包含光阻層、鞭阻 及arc層會在丁頁層120及介電層13〇的姓刻中消耗。一= 移至頂層120及介電層130,就可移除殘餘之〇pL。- 幻2Ϊίϊ 3中’如圖1E所示,利用乾式電浆钱刻使硬遮罩圖 石夕、二氧化料者,歧當勤】無機低= ?rcr?r組成大致上是氟化碳基的化學品,二以、 C5F8 c3f6、C4F6、cf4專者至少其中之一,式e a尸 品’例如CHF3、卿2等者至少其中之—,及基巧匕學 C0至少其中之一。此外,舉 陡軋體、钱、 賴氣體㈣舱⑽膜時’ =述之選擇性嶋電膜之技術為藝 在蝕刻或是移除任何殘餘物或是在 層⑽中之特徵部132的暴露表面,例如匕;=祕介電 會被損壞或是被活化。此等表面之指押土 124、124、及134 理中(亦即介電層之乾式終或會J致在钱刻處 化。大致上而言,有孔似媒乂或是活 (si-oH)團及/或是有機團。mu有^醇 耗盡有機成分*被活化或是損壞。h心因為祕刻處理中 無論在哪種情況中,额外的右其 收的水及或是/其他污物t。g此有露於會被輕易吸 處理並轉输侧_ k 4w ===別難以 200836261 知活化的或是損壞的低k膜麵現出丨或更多⑽值增加。 yϋ ’ i致ΐ是使用清潔處理移除損壞的材料。^習知的渴 浸入证中。然而’如圖3所示,用於移除損 取材料的€知_式清潔處理會切除硬遮罩層罩、 m的側壁m,,突出於介電層m中的凹陷側壁134下更由遮 的清潔處理造成的突A之硬遮罩層m下方 ,有=和r,使接下來的填充步驟或是金屬化^驟^二會 我。舉例而s,特徵部132,會使表體金屬化難以填充突 之=,造成頂層12G與介電層13〇相會⑷頁層m有留下) =角洛的空隙,或是金屬/介電介面_口(若以CMp移除頂展 早ϋ,,在ΐ屬化填充之前施加保角擴散障壁層及/或是i 角ί#層就不_適當地施加在頂層⑽與介電 —Ϊί:實,二在t驟270中’利用乾式非電聚侧處理(在 歧献統處歡後)移除難的暴絲面134, 1F及1G所示。應注意者為,乾式非電漿 控制之自身限制特徵部,其能最小化被移除之 徵,m,,之側壁量。此外,當乾式非電襞侧處理移曰除介電中芦之= ’壞^暴露表面’此處理亦藉由拉回實際上與 曰 ^使頂層m之突出乎不見,献減少任何可見的= 。⑺巧―步’既娜除損壞之材料會使特徵部132”之臨界尺寸 ^CD)增加,在原本_ (亦即,光微影圖案 = 可以在介電層m中選擇比設計的特徵部132,,之CD更】 CD,以補償之後的損壞表面區域之移除。 、 表面學處理’其中介電層130之暴露
緣分係以包含耶、氨氣⑽士或是HF ,了要移除化學改質之表面層,實施脫附』化 附包含祕理餘,射総福紐升高至足^ 12
i !_、圖4A及4B ’其描緣出渠溝通孔内連接構造900。 第一介電層_、第一頂層930、第二介電層·、 及弟一頂層910。其中,為了要製造通孔㈣及渠溝娜,實施一 ,^侧處理,在後續的步驟中金屬化通孔970及渠溝980時, 谷許電f生内連接將形成於渠溝980之第二金屬線、及第一介電 ^中之第一金屬線950。第一及第二介電層920、940包含低 ,系數(低k)材料。第一及第二頂層91〇、930包含si〇x。在 -系列的钱刻處理中,第二介電層92〇的損壞會造成損壞的次層 200836261 表面層揮發的高溫。利用乾式非雷將 徵部132”中的側壁134”之損揀材料水』處理可以實質上移除特 中形成突出部,咖歸要魏在特徵部⑽, 在化學處理製程中,處理氣夂 即,混合),或是分別引人(亦即分可以—起引入(亦 理氣體更包含惰性氣體,例如稀有& 及T外’處 以與HF或丽3-起引入,或(亦即’氬)°惰性氣體可 為了要控制化學改質之介電膜的表。 決之美__鮮ίο細錢, 系統在考資料方式併入其所揭露之土内容 , 子处J衣私中,可以選擇處理壓力以便影塑介恭 Ξΐί=t之化?^範圍。處理壓力範圍可以從大約1 到大約100 torr。更進一步,在化學處理 絲崎德料魏目。紐溫ίΐΐί ^面#之化風二。ΐ關設定基板溫度以便控制介電膜的 又k 子貝乾圍的細節見於待判決诶國專利申請案第 10/817417號,其名稱為「實施化學氧化物 方法 統」,在此以參考資料方式併入其所揭露之全部^容之方法及糸 於者Γ ’可以升高基板溫度至贼以上,或者,所 丨入惰性氣 13 200836261 990。 如圖4B所示,當利用習知的技術移除損壞的次層99〇以 實際上沒有損壞的通孔970,及渠溝980,時,第二頂層91〇被^^ 下部,因此形成突出部992。突出部992會造成金屬化渠溝通構 造900的困難。 ^
—參照圖5A到5C,其描繪出渠溝通孔内連接構造_,,。根據 -貫知例’如圖5B及5C所示,利用如上述之乾式非電漿處理從 渠溝通孔構造900移除損壞之次層990。第二介電層92〇及頂声 910之表面層994藉由包含HF、氨氣(顺3)、或是证及卿的 處理氣體化學處理。在化學處理製程之後,為了要移除化學改質 之表面層994,實施脫附處理。脫附包含熱處理製程,其中基板之 溫度被升高至足以容許化學改質表面層揮發的高溫。使用^式非 電漿蝕刻處理可以在介電層920中製成具有減少的侧壁損壞 孔970”及渠溝980”。 、《 < 根據-實施例,圖6A顯示實施基板上之乾式非電漿移除處理 之處理系 '统400。處理系、统400包含第一處理系統41〇及輕合於第 一處理系統410之第二處理系統420。舉例而言,第一處理系统 =包含化學處理系統,且第二處理系、統包含基板清 例如水洗系統。 、又,如圖6A所示,傳送系統430耦合於第一處理系統41q ,便把基板移進移出第一處理系統41〇及第二處理系統,並盥 夕重元件製造系統440交換基板。舉例而言,第一及第二處理系、 統=〇、_420、及傳送系統43〇可以包含多重元件製造系統44〇’中 件。例如’多重元件製造纽容許基板在包含钕刻 糸洗衣置、沉積系統裝置、塗布系統裝置、圖案化系統裝置、量 ,糸統裝置等者之處理元件之間傳送。為了要隔絕發生在第一及 系統中之處理,利用隔絕組件450耦合各個系統。例如,隔 ^件450可包含提供熱隔絕之熱隔絕組件、及提供真空隔絕之 閘閥門構件至少其中之-。當然,處理系統410、420、及傳送系 14 200836261 統430可以是以任何順序擺放。 從者’ ΐ另T實施例中’圖6B顯示在基板上實施乾式非料 移除處理之處理丨統_。處㈣統5〇〇包含第—處^ 糸、統520包含熱處理系統。或者,第二處理系 、、充520包3基板洗系統,例如水洗系統。 /、 便把i板中所示’f送系統530輕合於第一處理系統51〇以 便把基板私進移出弟—處理系統510,並可輕合於第 出第二處理系統520。此外,傳送系統別 兩個ϊ理㈣〜未顯不)交換基板。儘管圖6b只顯示 、、冗产㈣口 ’ 理系統仍可存取包含例如綱系統裝置、 寺f之傅私統53G。為了要隔絕發生在第—及第 ΐ描組件550輕合各個系統。例如,隔絕組件55〇可ΐ J中二?邑組:、及提供真空隔絕之閘閥門構件至少 ^一部份。,+列而言,傳送系、統530可作為隔絕組件550 ,者,在另一實施例中,圖6C顯示在基板上實 S S ;^6:0 ° 43-%^6〇° _而言’第—處理系統6 ί 620 〇^ 、、先0已3基板清洗系統,例如水洗系統。 ’、 便把i板t ,i#送系統63(^合料—處轉統_以 便才土扳私進矛夕出弟一處理系 , — 62〇 f ^ 62Τ Π “ ί ΐ其處減仍可存取包含例如働】系統裝置、 等&傳ί手統m充裝置、圖案化系統裝置、量測系統裝置 手得K統630。為了要隔絕發生在第一及第二系統中之處 15 200836261 理,利用隔絕組件650耦合各個系統。例如,隔絕組件65Q可包 含提供熱隔絕之熱隔絕組件、及提供真空隔絕閘閥門構件至少苴 此外,舉勤言,傳送系細可作為隔絕組件6狀 如圖7所示,化學處理系統71〇包含實際上與化學處理腔室 711熱隔絕並用以支撐基板742之溫度控制基板固持器74〇、輕合 - 於化學處理腔室71丨以排空化學處理腔室711之真空幫浦系統 • 750、及通過傳送開口 794引入處理氣體進入化學處理腔室711處 理空間762之氣體分布系統760。基板742可通過傳送開口 794 r 移進移出化學處理腔室711。 之二夕D匕ΐ處理系統710包含耦合於腔室溫度控制系統· 件766。腔室溫度控紙件766包含加熱單元或 或疋者。更進一步’化學處理系統71。包含輕合 二=體为布溫度控制系統769之氣體分布溫度 分布=控制元件767包含加熱單元或是冷卻單元或是此二 744之。理系統7ω更包含具有基板固持器組件 埶㈣7Γ ί板轉器組件744提供數個操作功用以 舉例而言,基板固持器,及基板固持哭 ,加在,板742及基板固持器74〇等者之間的熱量傳導。用乂 氣體Cl统S : 合於ί板固持器組件744、 ί ;f "J" I69 : ^ 壓二 ==。71。的控制電 此以參考資二方==2學露1=板之處理系統及方法」;在 16 200836261 如圖8所示,熱處理系統820更包含安裝於熱處理腔室821 之中並用於貫質熱隔絕熱處理系統821並固定基板842,之溫度控 制基板固持器820、用以排空熱處理腔室821之真空幫浦系統 880及|馬曰於熱處理腔至821之基板升高組件沾〇。升高組件890 可以在固定平面(實線)及基板固持器87〇 (虛線)、或是位於其 間的傳送平面之間垂直調動基板842”。熱處理腔室821更包含^ 熱處理基板842,時引入處理氣體,例如清洗氣體之上組件m。基 ,842’(或* 842”)可以通過傳送開口 _移進移出化學處理^ pH ’熱處理系統820包含輕合於腔室溫度控制系統881之 二〉Γ度,70件哪。腔室溫度控制元件哪包含加鮮元或是 此一者。更進一步,熱處理系統820包含耦合於上 =件^度㈣系統886之上組件溫度控制元件885。上組件温度於 制το件885包含加熱單元或是冷卻單元或是此二者。 又工
李二Π示,熱處理系統㈣包含具有基板固持器溫度控制 It ^=_^紐^件876°基板_器溫度控制I 機械挾持糸統)、額外的加熱系統 疋 仍然參照圖8,控制器875可耦合於上相s ± 統880、腔室溫度控制系统 件、西声^ 、真空幫浦系 固持器溫度控紙㈣8、及基缺彳錢,、基板 微處理器、記憶體、及能夠產生足傳私^制裔875包含 820的控制電壓、及監控熱處理系統8^;·出動的輸=處理系統 關於熱處理系統820之更多細節g出^^ I/O埠。 f 〇/购69號,其名稱為「熱處理基板專利申請案 此以麥考資料方式併人其所揭露之 1 處理錢及方法」;在 17 200836261 即使以上已描述本發明之例示性每A 蟲者當可知,在不脫離本發明之二,列之細節,但熟知本技 有其他許多種改型。 '、不及優點的範圍之内仍具 【圖式簡單說明】 在附圖中: 圖1A到1G緣出根據一电#叔、占 性順序; Λ 處理基板上之—雙層膜之例示 =二二 膜; 中間/:部連接椹、出根據另-實施例,在背端、線(BEOL) 序; 以形成渠溝或是通孔時,處理基板之例示性順 表示圖6A到6C _根據本發明之另—實施例之處_統之概略 Ϊ 2示f據本發明之另一實施例之化學處理系統;及 σ -、不根據本發明之另—實關之熱處理系統。 主要元件符號說明: 裴置 圖案化遮罩層 光微影圖案 侧壁 頂層 遮罩圖案 侧壁 100 — - 110 112 114 120 122 124 124,:侧壁 18 200836261 124” :侧壁 130 :介電膜 132 :特徵部 132’ :特徵部 132” :特徵部 134 :侧壁 134’ :侧壁 134” :侧壁 140 :基板 200 :流程圖 400 :處理系統 410 :第一處理系統 420 :第二處理系統 430 :傳送系統 440 ··多重元件製造系統 450 ··隔絕組件 500 :處理系統 510 :第一處理系統 520 ··第二處理系統 530 :傳送系統 550 :隔絕組件 600 ··處理系統 610 :第一處理系統 620 :第二處理系統 630 :傳送系統 650 :隔絕組件 710 :化學處理系統 711 ··化學處理腔室 735 :控制器 200836261 740 :基板固持器 742 :基板器組件 750 ··真空幫浦系統 760分布系統 762 ··處理空間 766 ··腔室溫度控制元件 767 ··氣體分布溫度控制元件 768 :腔室溫度控制系統 769 :氣體分布溫度控制系統 794 :傳送開口 820 :熱處理系統 821 ··熱處理腔室 842,:基板 842” :基板 870 :基板固持器 875 :控制器 876 ··基板固持器溫度控制元件 878 :基板固持器溫度控制系統 880 :真空幫浦系統 881 :腔室溫度控制系統 883 :腔室溫度控制元件 884 :上組件 885 :上組件溫度控制元件 886 :上組件溫度控制系統 890 :基板升高組件 898 :傳送開口 900 ··構造 900” :構造 910 :頂層 200836261 920 :第一介電層 930 :頂層 940 :第二介電層 950 :第一金屬線 970 :通孔 970’ :通孔 970” :通孔 980 ·•渠溝 980’ :渠溝 980” ··渠溝 990 :次層 992 :突出部 994 ··表面層

Claims (1)

  1. 200836261 十、申請專利範圍: h 一ΐΐίΐ之處理方法,用以處理一基板上之一介電膜,包含.· 介電i之,於—處理系統中設置具有該介電膜及覆蓋該 膜2該基板;其中,為了要通過該頂層並在該介電 其中,在$移^= ’而將一圖案轉移至該頂層及該介電膜;且 及 w夕圖案4 ’將該介電膜之一表面層暴露至钱刻電漿; ϋ非電_除處理實施步驟,於該 以頁^之曰=伤,該乾式非電漿移除處理包含·· 八異^步驟’將該介電膜之該暴露表面層及該頂層之該邱 =;;含®或是·組合之-處理氣體,二S 改貝該;丨電膜之該暴露表面層及該頂層之該部分;及 及該頂介電歡學改質暴露表面層 形成ίΐ部實施該乾式非職移除處理實質上避免在該特徵部中 2·如申請專利範圍第丨項之介電膜之 更包含暴露具有介電常數範圍在16至2/m恭露步驟 層。 < 私胰之一表面 3·如申請專利範圍第i項之介電膜之處理方法, ^含暴露-⑽冑織― 4·如申請專利範圍第丨項之介電膜之處理 刪 5·如申請專利範圍第丨項之介電膜之處理 更包含暴露包含一有機材料或是一無機材料 22 200836261 1表面層 6.如申請專利範圚第5 更包含暴露包含—無.有’射該暴露步驟 z如申請專利範圍第之-表面層。 更包含暴露包含氧化有機魏之」膜二其t該暴露步驟 8. 如申請專利範圍第5項之介電膜之户^面層。 更包j暴露包含氫養_或是·u ^暴露步驟 之一表面層。 ^312^員戍疋其組合之一膜 9. 如申請專利範圍第5項之介電膜之處理 更包含暴露包含-;ε績縣難之 ’U恭露步驟 Κ).如申請專利範圍第5項之介電膜之處理—表面t 更包含絲包切rn氣的—集合暴露步驟 11·如申請專利範圍第1〇項之介電膜之、表面層。 =更如包申含^更包氣氣之該集合膜之-表=4中該暴露步 12·如申明專利减弟丨項之介電膜之處 係實施於大約lmTorr至,】大約顧orr的處理| ^該恭露步驟 13.如申料纖圍第!項之介賴 ^ & 係於該基板之溫度在大約收到大約·。其中該恭露步驟 14·如申請專利範圍第工項之介電膜之處理方法只^曰兩土 更包含暴露該基板於更包含惰性氣體之處理氣中、轉路乂驟 15·如申請專利範圍第14項之介電膜之處 驟更包含暴_基板於更包含猶賴之處理氣體/巾巾該暴露步 16. 如申請專利範圍第!項之介電膜之處理方盆 驟更包含升高該基板之温度至大約机以上。〃巾該熱處理步 17. 如申請專利翻第丨項之介電膜之處理方法, 驟更包含升高該基板之溫度至大約则t以上。,、中該熱處理步 18. 如申請專利範圍第17項之介電膜之處理方法, 步驟係實施於引入惰性氣體時。 "中〜,、、、處理 19. 如申請專利範圍第18項之介電膜之處理方法,其中該引入更 23 200836261 包含引入氮氣。 20·如申晴專利範圍第!項之介電膜之處理方法’其中暴八 膜之該暴=面層及該丁頁層之該部分至處理氣體中之“G電 驟’包含恭露齡魏之該暴露表面層 HF及NH3的處理氣體。 p 忑口 P刀於包含 如申^專機US〗項之介魏 含-渠溝或是-通孔或是其組合。 -〒韻案更包 22.如申請專利範圍f丨項之介細之處理方法, f 正成小於該特徵部之一所欲臨界尺乂 圖案破修 表面層及該頂層之該部分的移除。 貝^ ;丨電膜之該暴露 2成3辦^徵^彡射f在形餅—基板上之—雙層膜中形 蓋該ίίΪΪΙί該雙層膜,其中該雙層膜包含—介電層及覆 於該ΐ層L該遮_案具有和即將形成 利用一乾式電部相對應之—圖案特徵部;战 及 *〜理綱該雙層膜中之該雙層特徵部; 在該雙層特徵部上實旆 生被該電漿蝕刻處理所指壞移除處理’以便將已發 徵部之_表面杆以移除化中至少-種情形_雙層特 徵部乾式非電漿移除處理實質上避免在該雙層特 i4.如申請專利範圍第23項之 ,電漿移除處理,將該頂層,方法’其中該實施該乾 電層中之該雙層特徵之Θ特徵部之臨界尺寸與該 25.如申請專利範圍第23ί之 維持成實質上相同。 部更包含-内連接通孔=成方法,其中該雙層特徵 —。 酉己線渠溝、或其組合,至少其十之 24 200836261 式非電漿移項之特徵部形成方法,其中該實施-乾 。 : 質的表面層,ϋ脫‘該經化學改質二表 2面26項之_眺方法,射料霖# g之步驟’更包含將該表面層暴露於包含耶及顺3ti各^ 28·如申請專利範圍第汜 部被修正成小於該雙層特^ 成方法’其中該圖案特徵 特徵部之該表面層之移除/ 所欲臨界尺寸,以補償該雙層 29· —種裝置,包含: 一基板; 一介電層,形成於該基板上; 頂層,形成於該介電層上;及 υ 同 -平^上。Π亥頂層之該側壁係與該介電層之該侧壁位於實質上 30」1申^專利範圍第29項之裝置,更包含: 至少其ΐίΐ包含該側壁之該特徵部的-擴散障概―種子層之 3m利範古圍第29項之裳置,更包含: 介面ί;ΐί無s於該特徵部以使該頂層及該介電層之間之^ Η'圖式: 25
TW096144644A 2006-12-15 2007-11-23 損壞之多重堆疊雙層膜的選擇性移除方法 TWI423330B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/611,611 US7723237B2 (en) 2006-12-15 2006-12-15 Method for selective removal of damaged multi-stack bilayer films

Publications (2)

Publication Number Publication Date
TW200836261A true TW200836261A (en) 2008-09-01
TWI423330B TWI423330B (zh) 2014-01-11

Family

ID=39526154

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096144644A TWI423330B (zh) 2006-12-15 2007-11-23 損壞之多重堆疊雙層膜的選擇性移除方法

Country Status (3)

Country Link
US (1) US7723237B2 (zh)
TW (1) TWI423330B (zh)
WO (1) WO2008076663A1 (zh)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4939864B2 (ja) * 2006-07-25 2012-05-30 東京エレクトロン株式会社 ガス供給装置、ガス供給方法、薄膜形成装置の洗浄方法、薄膜形成方法及び薄膜形成装置
US20080286978A1 (en) * 2007-05-17 2008-11-20 Rong Chen Etching and passivating for high aspect ratio features
US8092861B2 (en) * 2007-09-05 2012-01-10 United Microelectronics Corp. Method of fabricating an ultra dielectric constant (K) dielectric layer
US8202803B2 (en) * 2009-12-11 2012-06-19 Tokyo Electron Limited Method to remove capping layer of insulation dielectric in interconnect structures
US20120199980A1 (en) * 2011-02-07 2012-08-09 Globalfoundries Inc. Integrated circuits having interconnect structures and methods for fabricating integrated circuits having interconnect structures
KR20130010362A (ko) * 2011-07-18 2013-01-28 삼성전자주식회사 반도체 장치의 제조방법
US8551877B2 (en) 2012-03-07 2013-10-08 Tokyo Electron Limited Sidewall and chamfer protection during hard mask removal for interconnect patterning
US8809194B2 (en) 2012-03-07 2014-08-19 Tokyo Electron Limited Formation of SiOCl-containing layer on spacer sidewalls to prevent CD loss during spacer etch
US8592327B2 (en) 2012-03-07 2013-11-26 Tokyo Electron Limited Formation of SiOCl-containing layer on exposed low-k surfaces to reduce low-k damage
US8859430B2 (en) 2012-06-22 2014-10-14 Tokyo Electron Limited Sidewall protection of low-K material during etching and ashing
US8871639B2 (en) * 2013-01-04 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9093387B1 (en) * 2014-01-08 2015-07-28 International Business Machines Corporation Metallic mask patterning process for minimizing collateral etch of an underlayer
KR102485541B1 (ko) 2015-06-26 2023-01-05 도쿄엘렉트론가부시키가이샤 다른 필름 또는 마스크에 대한 실리콘-함유 반사 방지 코팅 또는 실리콘 산질화물의 제어 가능한 식각 선택비에 따른 기상 식각
US9837314B2 (en) * 2016-02-02 2017-12-05 Tokyo Electron Limited Self-alignment of metal and via using selective deposition
US10217626B1 (en) * 2017-12-15 2019-02-26 Mattson Technology, Inc. Surface treatment of substrates using passivation layers
TW202236406A (zh) * 2021-01-26 2022-09-16 日商東京威力科創股份有限公司 基板處理方法、零件處理方法及基板處理裝置

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7041224B2 (en) 1999-10-26 2006-05-09 Reflectivity, Inc. Method for vapor phase etching of silicon
US7553769B2 (en) * 2003-10-10 2009-06-30 Tokyo Electron Limited Method for treating a dielectric film
JP4492947B2 (ja) * 2004-07-23 2010-06-30 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7285474B2 (en) 2004-09-16 2007-10-23 International Business Machines Corporation Air-gap insulated interconnections
US7160756B2 (en) 2004-10-12 2007-01-09 Agency For Science, Techology And Research Polymer encapsulated dicing lane (PEDL) technology for Cu/low/ultra-low k devices
US7189650B2 (en) 2004-11-12 2007-03-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for copper film quality enhancement with two-step deposition
JP4860219B2 (ja) 2005-02-14 2012-01-25 東京エレクトロン株式会社 基板の処理方法、電子デバイスの製造方法及びプログラム

Also Published As

Publication number Publication date
WO2008076663A1 (en) 2008-06-26
US7723237B2 (en) 2010-05-25
TWI423330B (zh) 2014-01-11
US20080142988A1 (en) 2008-06-19

Similar Documents

Publication Publication Date Title
TW200836261A (en) Method for selective removal of damaged multi-stack bilayer films
TWI488239B (zh) 互連線結構中之絕緣介電質的覆蓋層之移除方法
KR101283837B1 (ko) 유전체 막의 처리 방법 및 피처 형성 방법
TWI497594B (zh) 低介電係數之介電材料的氣相修復與封孔
JP4187399B2 (ja) 半導体素子の製造方法
TWI645506B (zh) 形成具有氣隙之半導體元件的方法
TW201017819A (en) Semiconductor device manufacturing method and storage medium
TW200527164A (en) Structure comprising tunable anti-reflective coating and method of forming thereof
TWI321346B (en) Method of forming metal line in semiconductor device
TWI786457B (zh) 半導體裝置及其製造方法
TWI240366B (en) Method of manufacturing semiconductor device
JP4298975B2 (ja) 半導体素子の製造方法
TW200915483A (en) Method for recovering damage of low-dielectric constant insulating film and method for producing semiconductor device
US8809185B1 (en) Dry etching method for metallization pattern profiling
TW200411770A (en) Method for manufacturing a semiconductor device
TW200834715A (en) Two step etching of a bottom anti-reflective coating layer in dual damascene application
TWI244160B (en) Method for manufacturing dual damascene structure with a trench formed first
US6881661B2 (en) Manufacturing method of semiconductor device
TW200421543A (en) Semiconductor device and method for manufacturing the semiconductor device
TWI235455B (en) Method for manufacturing semiconductor device
TW200945491A (en) Method for fabricating a semiconductor device
JP2004342873A (ja) 半導体装置およびその製造方法
US20060148244A1 (en) Method for cleaning a semiconductor substrate
KR100987871B1 (ko) 반도체 소자의 금속 배선 형성 방법
JP2004071731A (ja) エッチング方法