TW200834681A - Safe handling of low energy, high dose arsenic, phosphorus, and boron implanted wafers - Google Patents

Safe handling of low energy, high dose arsenic, phosphorus, and boron implanted wafers Download PDF

Info

Publication number
TW200834681A
TW200834681A TW096148507A TW96148507A TW200834681A TW 200834681 A TW200834681 A TW 200834681A TW 096148507 A TW096148507 A TW 096148507A TW 96148507 A TW96148507 A TW 96148507A TW 200834681 A TW200834681 A TW 200834681A
Authority
TW
Taiwan
Prior art keywords
layer
film layer
exposing
oxygen
plasma
Prior art date
Application number
TW096148507A
Other languages
English (en)
Other versions
TWI508142B (zh
Inventor
Majeed A Foad
Manoj Vellaikal
Kartik Santhanam
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200834681A publication Critical patent/TW200834681A/zh
Application granted granted Critical
Publication of TWI508142B publication Critical patent/TWI508142B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/3003Hydrogenation or deuterisation, e.g. using atomic hydrogen from a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • H01L21/31662Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe of silicon in uncombined form

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)

Description

200834681 九、發明說明: 【發明所屬之技術領域】 本發明實施例大體上有關於半導體製程領域,更明確 而言,是關於更安全地製造摻雜砷、磷或硼之基材的方法。 【先前技術】 積體電路可能包含超過一百萬個形成在基材(例如半 導體晶圓)上的微電子場效應電晶體,例如互補金氧半導體 場效電晶體(CMOS),並且該些電晶體在電路中合作地執行 各種功能。CMO S電晶體在其基材中的源極與汲極之間設 置有一閘極結構。閘極結構通常包括一閘極電極與一閘極 介電層。閘極電極設置在閘極介電層上,以控制介於源極 與汲極之間且位於閘極介電層下方之通道區域中的電荷載 子流動。 離子佈植製程典型用來將離子植入與摻雜至基材中, 以形成具有所欲離子分佈模式與濃度的閘級和源汲極結 構。在離子佈植製程中,可使用不同的製程氣體或氣體混 合物來提供離子來源物種 ,例如砷(arsenic)、磷 (phosphorus)或棚(boron)。特別是當珅暴露在濕氣中時, 會發生如下反應式的反應而產生氧化砷與胂氣(Arsine gas): A s + Η 2 〇 + A s Η 3 + A s χ Ο y 胂氣是毒性極高且可燃的氣體。當施加高摻雜劑劑量 (lxlO16 Ι/cm2或更高)與低佈植能量(即約2仟伏特)時,摻 雜劑無法植入薄膜堆疊層的深處。因此,有較多的摻雜劑 5 200834681 位在鄰近薄膜堆疊層的表面處,並且當基材移出處 可能會接觸到濕氣。位於基材表面附近的砷可能會 想要反應而形成胂氣。 因此,需要一種在植入摻雜劑後,避免形成有 物的方法。 【發明内容】 本發明大體上包括在一種在佈植製程之後,避 有毒氣體的方法。某些摻雜劑植入基材上的膜層中 當其接觸濕氣時,可能會形成有毒氣體及/或可燃性 在一實施例中,先將摻雜劑佈植到基材上的膜層中 使該已經過佈植的膜層暴露於含氧氣體中,以形成 性氧化層。可在執行膜層佈植製程的同一處理室中 氧化層。 在另一實施例中,基材處理方法包括在一處理 一摻雜劑植入一膜層内,並且使該已佈楂的膜層暴 含氧電漿中,以在該已佈植膜層上形成氧化層,而 佈植膜層暴露在大氣中的氧氣下之前,讓摻雜劑留 層中。 在另一實施例中,先將一摻雜劑植入基材上的 中,隨後在該已佈植的膜層上沉積一覆蓋層。可在 層的同一個處理室中沉積該覆蓋層。 在另一實施例中,基材處理方法包括在一處理 一摻雜劑植入基材上的一膜層内,並且在該已佈植 露在大氣中的氧氣下之前,先在該已佈植摻雜劑的 理室時 發生不 毒化合 免形成 以後, 氣體。 ,隨後 一保護 形成該 室中將 露於一 在該已 在該膜 一膜層 佈植膜 室中將 膜層暴 膜層上 6 200834681 沉積一覆蓋層;其中該覆蓋層係選自於由碳層、石夕層、氧 化石夕層、氮化碎層、碳化石夕層、有機層及上述膜層之組合 所構成的群組中。 在另一實施例中,基材處理方法包括在一處理室中將 一摻雜劑植入基材上的一膜層内,並且在該已佈植膜層暴 露於大氣中的氧氣下之前,先使用由三氟化氮所形成的電 漿來蝕刻該已佈植的膜層,以移除過量的摻雜劑。 【實施方式】 本發明揭示一種避免在佈植製程之後形成有毒氣體的 方法。第1A圖繪示一電漿反應器100,其可根據本發明實 施例來執行離子佈植、形成氧化層以及形成覆蓋層。適合 用來執行本發明的反應器可為P3iTM反應器,其可購自於美 國加州聖克拉拉市的應用材料公司(Applied Materials, Inc·,of Santa Clara,California)。另一種可用來執行本發 明的反應器則描述於2006年12月8日申請的美國專利申 請案11/6 08,357號中,並將其全文納入本文中以供參考。 並且本文中所描述的多種方法可能在其他適當的電漿反應 器中執行,包括購自其他製造商的電漿反應器。 電漿反應器100包含一室主體102,該室主體具有一 底部124、一頂部126以及多個側壁122以圈圍出一製程 區域104。基材支撐組件128係由室主體102的底部所支 撐著,並且基材支撐組件128可用來容納一基材106以進 行處理。氣體分配板1 3 0連接至室主體1 〇2的頂部126, 且面對著基材支撐組件128。抽吸口 132界定在室主體102 7 200834681 中,且連接至一真空幫浦134。真空幫浦 閥1 3 6連接至抽吸口 1 3 2。氣體來源1 5 2 板1 3 0,以為基材1 0 6上所執行的製程供 物。 第1A圖中所繪的反應器100包含一 < 1B圖之立體透視圖中所示者。電漿源190 外部彎角導管140、140’,其安裝在室主韻 外側並且橫跨彼此或彼此互相垂直,如第 示範性實施例般。第一外部導管1 4 0具有 第一端140a穿過頂部126中的開口 198 1 02内之製程區域1 04的第一側中。第二ί 口 1 9 6,其連接至製程區域1 0 4的第二側 角導管140b具有第一端140a’,其具有一 製程區域1 04的第三側,並且第二外部彎t 一第二端14 0b’,該第二端14 0V具有一開 程區域10 4的第四側。在一實施例中,第 角導管 140、140’設計成彼此垂直,而使 管 140、140’的兩端 140a、140a5、140b 的角度間隔設置在室主體102之頂部126 部彎角導管 1 4 0、1 4 0 ’的垂直配置設計允 分佈在整個製程區域 1 02上。第一與第 140、140’可設計成能在製程區域104中提 的其他配置方式。 磁穿透式環形核心142、142’環繞著 1 3 4透過一節流 耦接至氣體分配 應氣體前驅化合 I漿源1 9 0,如第 包含一對獨立的 1 0 2之頂部1 2 6 1 B圖中所繪的 第一端140a,該 而連接至室主體 140b具有一開 内。第二外部彎 開口 194耦接至 |導管140b具有 口 192耦接至製 一與第二外部彎 得各外部彎角導 、140b5 以約 90° 的周長附近。外 許電漿源均勻地 二外部彎角導管 供均勻電漿分佈 各自外部彎角導 8 200834681 管140、140,的一部分。導電線圈144、144,透過各自的阻 抗匹配電路或元件1 4 8、1 4 8 ’而耦接至個別的RF電漿源功 率產生器1 4 6、1 4 6 ’。每個外部彎角導管1 4 0、1 4 0 ’係一中 空導電管,且各導電管各自被絕緣輪狀環 150、150’所中 - 斷,絕緣輪狀環 1 5 0、1 5 0 ’分別打斷介於各自外部彎角導 管 140、140,的兩端 140a、140b(以及 140a’與 104b,)之間 的連續導電路徑。利用RF電漿偏壓功率產生器1 54來控 爹 制基材表面的離子能量,且該RF電漿偏壓功率產生器154 Γ 透過一阻抗匹配電路或元件156連接至基材支撐組件128。 回到第1 A圖,含有來自製程氣體來源1 5 2之氣體化 合物的製程氣體透過頂部氣體分配板130引導至製程區域 104中。將來自功率施加器的RF源電漿功率146耦合至導 管140中的氣體,而在外部彎角導管140與製程區域104 中的第一封閉環形路徑内產生一循環電漿電流。此外,將 來自另一功率施加器的RF源電漿功率146’耦合至第二導 管140’中的氣體,而在橫跨(例如垂直)該第一環形路徑的 第二封閉環形路徑内產生一循環電漿電流。第二環形路徑 包含第二外部彎角導管140,與製程區域1〇4。各自路徑中 的電漿電流可以各自RF源功率產生器146、146’的頻率做 β 震盪(oscillate),例如反向震盡(reverse direction),且該頻 . 率可彼此相同或稍有偏差。 在一實施例中,製程氣體來源1 52提供數種不同製程 氣體,以提供植入基材1〇6中的離子。適當的製程氣體範 例包括乙硼烷(B2H6)、三氟化硼(BF〇、矽烷(SiH4)、四氟 9 200834681 化石夕(SiF4)、磷化氫(Ph3)、五氫化二磷(p2h5〕 (P〇3)、三氟化磷(PF3)、五氟化磷(pf5)與四氟 等。各RF電漿源功率產生器146、146,的功辞 使得其結合效果能有效解離該些來自製程氣體 “ 製程氣體,而在基材106的表面處產生期望的 , 電漿偏壓功率產生器1 5 4的功率則控制在一 量,以使製程氣體解離後的離子能量能加速朝 C : 並且以4望的》辰度植入基材1 0 6之頂面下方的 處。例如,以低於約50電子伏特(eV)的相對幸 率可能得到相對較低的電漿離子能量。具有低 解離離子可能植入距離基材表面約〇埃(人)至笑 深度處。或者,由高RF功率產生具有高離子 離子(例如高於約50eV)可能植入距離基材表 1 〇 〇埃的深度處。 結合受控制的RF電漿源功率與RF偏壓功 ,混合物中解離出具有足夠動量的離子,並且在 ϋ +得到期望的離子分佈情形。離子受到偏壓並 向基材表面,而以期望的離子濃度、分佈與距 的期望深度將離子佈植至基材中。此外,由供 • 所產生的受控制離子能量與不同的離子物種有 , 佈植在基材106中,而形成所欲的元件結構,命 上的閘極結構與源汲極區域。 第2圖繪示在佈植製程之後,形成一摻雜 方法200的流程圖。方法2〇〇始於步驟2〇2, 丨、三氧化磷 化碳(CF4)等 L受到操作, 來源1 5 2的 離子流。RF 選定的功率 向基材表面 一期望深度 交低能RF功 離子能量的 3 100埃的淺 能量的解離 面實質超過 率可使氣體 處理室1 〇 〇 且被驅使朝 離基材表面 應製程氣體 助於將離子 J如基材1 0 6 劑氧化層之 在步驟202 10 200834681 中,將一摻雜劑佈植至形成於基材上的一膜層中。「膜層 (film)」一詞係包括一材料層或堆豐在基材上之多層材料 層在内的通稱。在一實施例中,摻雜劑包括砷(arsenic)。 在另一實施例中,掺雜劑包括磷(p h 〇 s p h 〇 r u s)。在又一實施 一 例中,摻雜劑包括棚(boron)。 、 待摻雜劑植入該膜層堆疊層中以後,方法進行到步驟 204,在步驟204中,使該已佈植(已摻雜)膜層暴露至一含 ζ-· 氧氣體中。該暴露步驟可在執行該膜層佈植之同一反應室 中的原位處進行。在佈植之後,具有已摻雜膜層的基材可 留在該反應室中,以確保摻雜劑不會接觸到濕氣,因為濕 氣可能與摻雜劑發生反應而形成有毒或可燃的氣體。在一 實施例中,可在不使該已佈植(已摻雜)膜層暴露於大氣中 (從而暴露於濕氣中)的情況下,在另一獨立的反應室中使 該已佈植(已摻雜)膜層暴露於含氧氣體中。 在步驟206中,藉著將該已佈植膜層暴露在含氧氣體 中,使氧氣與之反應而在已佈植膜層的表面上形成氧化 物。該氧化物可能是摻雜劑的氧化物及/或該佈植膜層的氧 化物。可用的適當含氧氣體包括氧原子(〇)、氧氣(〇2)、臭 氧ozone (〇3)、氧化亞氮(Ν2Ο)、一氧化ll(NO)、二氧化氮 • (N〇2)、五氧化二氮(N2〇5)、上述氣體之電漿、自由基、衍 , 生物及其組合物,或是其他適合的氧來源。含氧氣體可激 發成電装。在一實施例中,可在執行佈植製程的同一處理 室中激發該含氧氣體。在另一實施例中,可在遠端處激發 電漿,並且將電漿輸送至處理室。可利用電容來源及/或感 11 200834681 應來源來產生電漿。 在一實施例中,該已佈植膜層可暴露至一含氫氣體 中。可在該已佈植膜層暴露於含氧氣體之前或之後,使該 已佈植膜層暴露至一含氫氣體中。在一實施例中,含氫氣 〜 體包括氫氣。暴露至含氫氣體與暴露至含氧氣體的步驟可 、 重複執行多次。該含氫氣體可激發成電漿。在一實施例中, 可在執行佈植的同一處理室中激發該含氫氣體。在另一實 施例中,可在遠端處激發電漿,並且將該電漿輸送到處理 室。可使用電容來源及/或感應來源來產生電聚。暴露至含 氫氣體以及暴露至含氧氣體的步驟可於同一個處理室内但 在不同時間區段中執行。 在一實施例中,可在形成於該已佈植膜層上的氧化層 上沉積一覆蓋層。覆蓋層可選自於由碳層、石夕層、氧化石夕 層、氮化矽層、碳化矽層、有機層及其組合物所構成之群 組中。可在執行佈植的同一處理室中進行沉積以在該氧化 層上沉積一覆蓋層。在一實施例中,可無需使膜層暴露於 大氣(從而暴露於濕氣中)的情況下,在不同的處理室中沉 積該覆蓋層。可在退火之後,移除該覆蓋層。 在又另一實施例中,可使已佈植膜層暴露於一氣體中 * 以移除過量的摻雜劑。藉著移除過量的摻雜劑,摻雜劑可 - 能不活化,從而減少形成摻雜劑的氫化物。在一實施例中, 該氣體可包括蝕刻氣體。在另一實施例中,該氣體可能包 括三氟化氮(nf3)。移除過量摻雜劑的步驟可在執行佈植的 同一個處理室中進行。在一實施例中,可在不使膜層暴露 12
200834681 於大氣中(從而暴露於濕氣中)的情況下,在不同的處 中執行該移除過量摻雜劑的步驟。 形成氧化層、形成覆蓋層以及移除過量摻雜劑的 可以任意組合的方式來應用。在一實施例中,可形成 層,但不形成覆蓋層,且不移除過量的摻雜劑。在另 施例中,形成一覆蓋層,但不形成氧化層,且沒有移 量的摻雜劑。在另一實施例中,移除過量的摻雜劑, 有形成氧化層與覆蓋層。在一實施例中,形成氧化層 蓋層,但是沒有移除過量的摻雜劑。在另一實施例中 成氧化層且移除過量的摻雜劑,但是不形成覆蓋層。 一實施例中,形成覆蓋層且移除過量的摻雜劑,但不 氧化層。此外,可與上述形成氧化層、形成覆蓋層以 除過量摻雜劑之步驟以任意的組合方式來執行該暴露 氫氣體的步驟。 在形成氧化層的過程中,以約300 seem至約450 的流速供應含氧氣體給處理室。在另一實施例中,含 體的流速可大於450 seem。在該處理室中,使該已佈 層於約15毫托(mTorr)至約300毫托的處理室壓力下 約3至1 0秒鐘以形成該氧化層。含氧氣體可隨同一載 同流入該處理室中。載氣的流速可約50 seem。載氣 包含一惰性氣體。在一實施例中,載氣包含氬氣。 第3圖繪示在佈植製程後形成覆蓋層之方法300 程圖。方法3 00始於步驟302,在步驟3 02中,摻雜 植至形成於基材上的一膜層中。摻雜劑係如上所述者 理室 步驟 氧化 一實 除過 但沒 及覆 ,形 在另 形成 及移 於含 seem 氧氣 植膜 暴露 氣共 可能 的流 劑佈 13 200834681 待摻雜劑植入膜層内之後,方法進行到步驟3 0 4,在 步驟304中’供應用來在摻雜膜堆疊層306上沉積覆蓋層 的氣體。可在執行佈執製程的同一個處理室内的原位處進 行覆蓋層的沉積。由於摻雜劑會與濕氣反應而形成有毒或 - 可燃氣體’藉著在同一處理室中的原位處進行覆蓋住該已 佈植基材的步驟,可確保摻雜劑不會暴露在濕氣中。 可利用化學氣相沉積製程(CVD)來沉積覆蓋層。可用 的其中一種特別CVD製程包括電漿增強化學氣相沉積製 程(PECVD)。覆蓋層可能包含矽、氧、氮、碳及其組合物。 可導入處理室中的適當氣體包括含矽氣體、如上所述的含 氧氣體、含氮氣體以及含氮氣體。在一實施例中,覆蓋層 包括矽層。在另一實施例中,覆蓋層包括氧化矽層。在又 一實施例中,覆蓋層包括氮化矽層。又再一實施例中,覆 蓋層包括碳化矽層。 形成覆蓋層的適當含矽氣體範例包括胺基矽烷 (aminosilanes)、胺基二石夕烧(aminodisilanes)、疊氮石夕烧 li (silylazides)、梦烧基肼(silylhydrazines)及其衍生物。含 矽氣體的某些特定範例包括二(第三丁基胺基)矽烷 (bis(tertbutylamino)silane,BTBAS 或 tBu(H)N)2SiH2)、六 " 氣二石夕烧(116\&〇111〇1:〇(11511&116,11匚0或812〇16)、四氣石夕烧 , (tetrachlorosilane,SiCU)、二氯石夕烧(dichlorosilane, H2SiCl2) 、 1,2·二乙基-四(二乙胺基)-二矽烷 (l,2-diethyl-tetrakis(diethylamino) disilane , (CH2CH3((CH3CH2)2N)2Si)2)、1,2-二氣-四(二乙胺基)二矽 14 200834681 烧 (l?2-dichloro-tetrakis(diethylamino) disilane ’ (Cl((CH3CH2)2N)2Si)2)、六(N- 口比咯烷基)二矽烷 (hexakis(N-pyrrolidinio) disilane , ((C4H9N)3)Si)2)、
1,1,2,2-四氯-雙(二(三曱基矽基)胺基)二矽烷 (l,l,2,2-tetrachloro-bis(di(trimethylsilyl)amino) disilane,(Cl2((CH3)3Si)2N)Si)2)、1,1,2,2-四氯-雙(二異丙 胺基)二石夕烧(l,l,2,2-tetrachloro-bis(diisopropylamino) disilane,(Cl2((C3H7)2N)Si)2)、1,2-二曱基四(二乙胺基) 二碎頑(l,2-dimethyltetrakis(diethylamino) disilane , (CH3(CH3CH2N)2Si)2)、疊氮三(二甲胺基)矽烷 (tris(dimethylamino)silane azide,((CH3)2N)3SiN3)、疊氮 (三(曱胺基)石夕烧(tris(methylamino)silane azide , ((CH3)(H)N)3SiN3) 、2,2-二甲基肼基-二甲基矽烷 (2,2-dimethylhydrazine-dimethyl silane , (CΗ3)2(Η)Si)(Η)NN(CΗ3)2)、三♦基胺(trisilylamine, (SiH3)3N 或 TSA) 、(hexakis(ethylamino)disilane , ((EtHNhSi)2)及其自由基、電漿、衍生物或組合物。可使 用的其他適當含矽氣體包括具有一或多個Si-N鍵或Si-Cl 鍵的化合物,例如二(第三丁基胺基)石夕烧(B T B A S或 (ιΒιι(Η)Ν)28ίΗ2)或六氯已矽烷(HCD 或 Si2Cl6)。 上述具有較佳鍵結結構的含石夕氣體具有下列化學式: (I) R2NSi(R’2)Si(R,2)NR2 (胺基二石夕烷); (II) R3SiN3 (疊氮矽烷);或 (III) R’3SiNRNR2 (矽烷基肼)。 15
200834681 在上述化學式中,R與R’可以是一或多個官能基,其 選自於鹵素、具有一或多個雙鍵的有機基團、具有一或多 個三鍵的有機基團、脂肪族烷基、環烷基、芳香基、有機 碎基、烧胺基、含有氮或石夕的環狀基或上述化合物的組合。 特定的官能基包括氯(-C1)、曱基(-ch3)、乙基(-ch2ch3) 異丙基(-ch(ch3)2)、第三丁基(-c(ch3)3)、三甲基矽基 (-Si(CH3)3)、0比1^各咬基(pyrrolidine)或其組合物。 其他適當的含矽氣體包括具有任意R基組合的線性或 環狀疊氮矽烷(silylazides,R3-SiN3)以及矽烷基肼類 (silylhydrazine,R3SiNRNR2)的氣體。R基團可為氫或任 何有機官能基,例如曱基、乙基、丙基、丁基(CXHY)等等。 連接於Si上的R基團可選擇為另一胺基NH2或NR2。疊 氮矽烷化合物的特定範例包括疊氮三甲基矽烷 (trimethylsilylazide,(CH3)3SiN3),其可購自美國賓州布 里斯多室的聯合化學科技公司(United Chemical Technologies,located in Bristol,Pennsylvania)以及疊氮 三(二甲胺)石夕烧(tris(dimethylamine)silylazide , ((CH3)2N)3SiN3)。矽烷基肼的特定範例為1,1-二曱基-2-二 甲基石夕基肼(l,l-dimethyl-2-dimethylsilylhydrazine , (CH3)2HSiNHN(CH3)2)。在另一實施例中,含石夕氮氣體可能 是下列至少一者(R3Si)3N 、 (R3Si)2NN(SiR3)2 與 (R3Si)NN(SiR3),其中各個R可個別為氫或烷基,例如甲 基、乙基、丙基、丁基、苯基或其組合。含矽氮氣體的適 當範例包括三石夕基胺(trisilylamine , (H3Si)sN) ^ 16
200834681 (H3Si)2NN(SiH3)2、(H3Si)NN(SiH3)或其衍生物。 含氮氣體的適當範例包括氨氣(NHb)、聯胺(N2H4)、有 機胺、有機肼類(organic hydrazines)、有機二嗪類(〇rganie diazines,例如甲基二唤(methyldiazine,(H3C)NNH))、疊 氮矽院(silylazides)、矽烷基肼(silylhydrazines)、疊氮化 氫(hydrogen azide,HN3)、氰化氫(HCN)、氮原子(N)、氣 氣(N2)、苯基肼(phenylhydrazine)、偶氮第三丁烧 (azotertbutane)、疊氮乙烧(ethylazide)及上述化合物之組 合物或衍生物。有機胺包括化學式RxNH3-x,其中每個R 可各自為烧基或芳香基,並且X為1、2或3。有機胺的範 例包括三甲胺(trimethylamine , (CH3)3N)、二曱胺 (dimethylamine ’ (CH3)2NH)、甲胺(methylamine , (CH3)NH2)、三乙胺(triethylamine,(CH3CH2)3N)、二乙胺 (diethylamine , (CH3CH2)2NH)、乙胺(ethylamine , (CH3CH2)NH2)、第三丁胺(tertbutylamine,((CH3)3C)NH2) 及上述化合物之衍生物或組合物。有機肼包括化學式 RXN2H4.X,其中每個R可各自為烷基或芳香基,並且X為 1、2、3或4。有機肼的範例包括甲基肼(methylhydrazine, (CH3)N2H3)、二甲基肼(dimethylhydrazine,(CH3)2N2H2)、 乙基肼(ethylhydrazine , (CH3CH2)N2H3)、二乙基肼 (diethylhydrazine » (CH3 CH2)2N2H2)、第三 丁基肼 (tertbutylhydrazine,((CH3)3C)N2H3)、二第三 丁基肼 (ditertbutylhydrazine,((CH3)3C)2N2H2)及上述化合物之自 由基、電漿、衍生物或組合物。 17 200834681 碳來源包括乙基、丙基與丁基的有機矽烷、烧類、烯 類與炔類。此類碳來源包括甲基矽烷(CH3SiH3)、二甲基 矽烷((CH3)2SiH2)、乙基矽烷(CH3CH2SiH3)、甲烷(CH4)、 乙烯(C2H4)、乙炔(C2H2)、丙烷(C3H8)、丙烯(C3H6)、丁炔 - (C4H6)及其它類似物。 . 可將用來形成覆蓋層的氣體隨同載氣供應至處理室 中。在一實施例中,使用氬氣作為載氣,並且可以約 300 seem的流速來供應載氣。在化學氣相沉積過程中,可施加 約200瓦至約2000瓦的RF功率。 在一實施例中,通入1 5 s c c m的矽烷氣體、約5 0 s c c m 至約60 seem的氧氣、約3 00 seem的氬氣以及施加約200 瓦的RF偏壓,可在已佈植膜層上沉積二氧化矽層。沉積 反應發生約1分鐘至約2分鐘,並且沉積出厚度約50埃至 約60埃的二氧化矽覆蓋層。選用性地,可在使用方法2〇〇 所形成的氧化層上沉積一覆蓋層。 在步驟3 08’在執行進一步處理之前,先移除該覆蓋 層。可在後續處理步驟中移除原位沉積的氧化層或覆蓋 層,此外該氧化層或覆蓋層的厚度需夠厚而足以減少及/ 或避免產生有毒及/或可燃氣體。然而,氧化層或覆蓋層也 必須夠薄,而能夠在無需增加額外的處理時間或不會損害 ^ 膜堆疊層的情況下,使用諸如剝除製程(Stripping pi<Qeess) 等方法輕易地將之移除。 表-顯示使用劑量為lxl〇l”/cm2的砷作為摻雜劑且 佈植功率為2仟伏特對五種不同基材進行砷佈植的數據。 18 200834681 對每種基材執行不同的暴露/覆蓋製程。 表一
基材 佈植 功率 摻雜劑 暴露/覆蓋 摻雜劑氧化物/覆蓋層厚度 第1天 第3天 第5天 1 2kV 石申 無 34.86 A 42.65 A 2 2kV 石申 10秒氧氣(無電漿) 3 7.3 8 A 3 6.7 5 A 3 2kV 石申 3秒氧氣電漿 (無偏壓) 5 1 . 1 9A 56. 1 9A 4 2kV 石申 7秒氧氣電漿 (無偏壓) 47. 1 5 A 4 7.5 7 A 49.93 A 5 2kV 珅 3 秒 SiH2/02 電漿(無偏壓) 5 6.73 A 5 9.5 2A 對於基材 1,在佈植之後,沒有執行原位暴露製程。 當砷接觸到濕氣時,形成原生氧化砷,且伴隨著生成胂氣 體。第一天形成的氧化砷厚度為34·85埃,並且到第五天 所形成的氧化砷厚度為42.65埃。 ^ 對於基材2,使已佈植膜層暴露在氧氣中1 0秒鐘但不 施加電漿。所形成的氧化砷層厚度為3 7.3 8埃。到了第五 天氧化砷的厚度縮減成36.75埃。並且沒有偵測到胂氣。 對於基材3,使已佈植膜層暴露在氧氣電漿中3秒鐘, ' 但不施加偏壓。所形成的氧化砷層厚度為5 1 · 1 9埃。到了 第五天的氧化砷層厚度增加到5 6 · 1 9埃。並且沒有偵測到 胂氣。 對於基材4,使已佈植膜層暴露在氧氣電漿中7秒鐘, 19 200834681 但不施加偏壓。所形成的氧化砷層厚度為4 7.1 5埃。到了 第三天氧化砷層厚度增加到47.57埃,並且到了第五天氧 化砷層的厚度增加到49.93埃。並且沒有偵測到胂氣。 對於基材5,藉著導入由SiH2與02所形成的電漿持 ' 續3秒鐘,以在已佈植膜層上沉積二氧化矽層。該二氧化 • 矽層的厚度為5 6 · 7 3埃。到了第五天,其厚度增加到5 9 · 5 2 埃。並且沒有偵測到胂氣。 基材 1-4的胂變化情形(arsine evolution)顯示於第 4 圖中。在第4圖中可看到,沒有原位生成氧化層的基材1 除了形成氧化層之外,起初還會形成大量胂氣。另一方面, 基材2-4產生的胂氣量要少得多。如上所述,基材2-4在 執行膜層佈植的同一個處理室中於原位暴露在氧氣下,因 此當基材接觸到濕氣時,較少的砷會形成胂氣。由於形成 較少的胂氣,在操作基材2-4的過程比較安全。 原位氧化該已佈植雜劑之膜層的步驟,及/或在原位處 於該已佈植摻雜劑之膜層上沉積一覆蓋層的步驟能減少當 - 膜堆疊層接觸到濕氣時所產生的有毒及/或可燃氣體的 量。並且該佈植與氧化(或覆蓋)步驟可在不同的處理室中 進行,只要該佈植步驟與氧化(或覆蓋)步驟之間能使基材 保持在真空狀態下即可。 ’ 雖然本發明的多個實施例已詳述如上,然而在不偏離 本本發明基本範圍的情況下,當可做出本發明的其他與進 一步實施例。本發明範圍係由後附申請專利範圍所界定。 【圖式簡單說明】 20 200834681 為了更詳細了解本發明上述特 實施例詳述如上,且部分實施例繪 的是,該些附圖僅顯示本發明的數 應作為本發明範圍的限制。本發明^ ^ 第1A-1B圖繪示適合用來實施 , 子佈植工具之實施例。 第2圖繪示根據本發明之形成 Γ" 程圖。 第3圖繪示根據本發明一實施 法流程圖。 第4圖顯示胂氣形成隨著時間 為了便於了解,盡可能地以相 所共有的相同元件。並且無需進一 實施例的元件與特徵可有利地併入 然而須注意的是,附圖僅出示 施例,不應用來限制本發明範圍。 ^ 實施例。 【主要元件符號說明】 100電漿反應器 102^ 104製程區域 1224 124 底部 126 T; 128基材支撐組件 1301 1 3 2 抽吸口 1 3 4 j 136節流閥 140、 徵,本發明係參照數個 於附圖中。然而需明白 個典型實施例,因此不 I容許其他等效實施例。 本發明的電漿浸沒式離 摻雜劑氧化物的方法流 例,原位覆蓋製程的方 的變化關係。 同元件符號來表示各圖 步說明就可了解到,一 另一實施例中。 本發明的數個示範性實 本發明尚容許其他等效 :主體 I壁 (部 α體分配板 ;空幫浦 140’導管 21 200834681 140a、140a’ 第一端 142、142,核心 146、146’功率產生器 1 5 0、1 5 0 ’輪狀環 154偏壓功率產生器 1 9 0電漿源 200 ' 300 方法 202 ' 204 、 206 、 302 140b、140b5 第二 144、144’線圈 1 4 8、1 4 8 ’匹配電 1 5 2氣體源 1 5 6匹配電路 192 、 194 、 196 、 304 、 306 、 308 步驟 端 路 1 9 8開孔 22

Claims (1)

  1. 200834681 十、申請專利範圍: 1. 一種基材處理方法,其包含: 在一處理室中將一摻雜劑佈植至一膜層内;以及 在該已佈植膜層暴露於大氣中的氧氣下之前,先將該 已佈值膜層暴露於一含氧電漿中,以在該已佈植膜層上形 成氧化層而將該摻雜劑留在該膜層内。 2. 如申請專利範圍第1項所述之方法,其中該摻雜劑選自 於由珅(arsenic)、填(phosphorus)、棚(boron)及其組合物所 構成之群組中。 3. 如申請專利範圍第2項所述之方法,其中該含氧電漿是 由氧氣所形成。 4. 如申請專利範圍第3項所述之方法,其中該佈植步驟與 暴露步驟在同一處理室中執行。 5. 如申請專利範圍第4項所述之方法,其中該電漿係藉由 一電容耦合來源所產生。 6. 如申請專利範圍第5項所述之方法,其中該電漿係除了 該電容耦合來源之外,還藉著一感應耦合來源而生成。 23 200834681 7.如申請專利範圍第4項所述之方法,其中該電漿係藉著 一感應搞合來源所產生。 8·如申請專利範圍第1項所述之方法,更包括在該含氧電 ' 漿以外,使該已佈植膜層獨立地暴露於一含氫電漿中。 η 9. 如申請專利範圍第8項所述之方法,其中使該已佈植膜 層暴露於一含氫電漿中的步驟係發生在該佈植步驟之後, 以及該暴露於一含氧電漿的步驟之前。 10. 如申請專利範圍第9項所述之方法,其中該暴露於一 含氫電漿的步驟以及該暴露於一含氧電漿的步驟係執行多 次。 11. 如申請專利範圍第8項所述之方法,其中使該已佈植 膜層暴露於一含氫電漿中的步驟係發生在該佈植步驟之 後,且發生在該暴露於一含氧電漿的步驟之後。 ‘ 12.如申請專利範圍第11項所述之方法,其中該暴露於一 ‘ 含氫電漿的步驟以及該暴露於一含氧電漿的步驟係執行多 次0 • 1 3 ·如申請專利範圍第1項所述之方法,更包括在該氧化 24 200834681 層上沉積一覆蓋層,其中該覆蓋層選自於由碳層、矽層、 氧化矽層、氮化矽層、碳化矽層、有機層及其組合物所構 成之群組中。 • 14·如申請專利範圍第13項所述之方法,更包括在該佈植 . 步驟之後以及該暴露步驟之前蝕刻該膜層,其中該蝕刻步 驟移除過量的摻雜劑,並且其中該蝕刻步驟包括使該已佈 f4 植膜層暴露於一由三氟化氮(nf3)所形成的電漿中。 15. —種基材處理方法,包括: 在一處理室中將一摻雜劑佈植至一基材上的一膜層 内;以及 在該已佈植膜層暴露於大氣中的氧氣下之前,先在該已 佈植摻雜劑的膜層上沉積一覆蓋層,其中該覆蓋層選自於 由碳層、矽層、氧化矽層、氮化矽層、碳化矽層、有機層 及其組合物所構成之群組中。 16. 如申請專利範圍第15項所述之方法,更包括在該佈植 Μ 步驟之後及該沉積步驟之前蝕刻該膜層,其中該蝕刻步驟 ^ 移除過量的摻雜劑,並且其中該蝕刻步驟包括使該已佈植 膜層暴露於一由三氟化氮(nf3)所形成的電漿中。 17. 如申請專利範圍第15項所述之方法,其中該佈植步驟 25 200834681 與該沉積步驟係在同一處理室中執行。 1 8. —種基材處理方法,包括: 在一處理室中將一摻雜劑佈植至一基材上的一膜層 " 内;以及 ^ 在將該已佈植膜層暴露於大氣中的氧氣下之前,使用一 由三氟化氮所形成的電漿來蝕刻該已佈植膜層,以移除過 f' 量的摻雜劑。 19. 如申請專利範圍第18項所述之方法,更包括將該已蝕 刻的膜層暴露於一含氧電漿中,以在該已佈植膜層上形成 一氧化層而將該摻雜劑留在該膜層中。 20. 如申請專利範圍第1 8項所述之方法,其中該佈植步驟 與該暴露步驟係在同一處理室中執行。 26
TW096148507A 2006-12-18 2007-12-18 低能量、高劑量砷、磷與硼植入晶圓的安全處理 TWI508142B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US87057506P 2006-12-18 2006-12-18

Publications (2)

Publication Number Publication Date
TW200834681A true TW200834681A (en) 2008-08-16
TWI508142B TWI508142B (zh) 2015-11-11

Family

ID=39537046

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096148507A TWI508142B (zh) 2006-12-18 2007-12-18 低能量、高劑量砷、磷與硼植入晶圓的安全處理

Country Status (6)

Country Link
US (3) US20080153271A1 (zh)
JP (1) JP5383501B2 (zh)
KR (1) KR101369993B1 (zh)
CN (1) CN101548190A (zh)
TW (1) TWI508142B (zh)
WO (1) WO2008077020A2 (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8118946B2 (en) * 2007-11-30 2012-02-21 Wesley George Lau Cleaning process residues from substrate processing chamber components
WO2010051283A1 (en) * 2008-10-31 2010-05-06 Applied Materials, Inc. Doping profile modification in p3i process
US7858503B2 (en) * 2009-02-06 2010-12-28 Applied Materials, Inc. Ion implanted substrate having capping layer and method
JP2013534712A (ja) * 2010-06-23 2013-09-05 東京エレクトロン株式会社 プラズマドーピング装置、プラズマドーピング方法、半導体素子の製造方法、および半導体素子
US8501605B2 (en) * 2011-03-14 2013-08-06 Applied Materials, Inc. Methods and apparatus for conformal doping
US20120289036A1 (en) * 2011-05-11 2012-11-15 Applied Materials, Inc. Surface dose retention of dopants by pre-amorphization and post implant passivation treatments
US20150132929A1 (en) * 2012-05-01 2015-05-14 Tokyo Electron Limited Method for injecting dopant into substrate to be processed, and plasma doping apparatus
EP3149011A4 (en) 2014-05-30 2018-06-13 Dow Corning Corporation Process of synthesizing diisopropylaminw-disilanes
FR3033079B1 (fr) * 2015-02-19 2018-04-27 Ion Beam Services Procede de passivation d'un substrat et machine pour la mise en oeuvre de ce procede
WO2018052471A1 (en) 2016-09-14 2018-03-22 Applied Materials, Inc. A degassing chamber for arsenic related processes

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4226667A (en) * 1978-10-31 1980-10-07 Bell Telephone Laboratories, Incorporated Oxide masking of gallium arsenide
JP3103629B2 (ja) * 1990-11-08 2000-10-30 松下電子工業株式会社 砒化化合物半導体装置の製造方法
US5196370A (en) * 1990-11-08 1993-03-23 Matsushita Electronics Corporation Method of manufacturing an arsenic-including compound semiconductor device
US6039851A (en) * 1995-03-22 2000-03-21 Micron Technology, Inc. Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines
JPH1131665A (ja) * 1997-07-11 1999-02-02 Hitachi Ltd 半導体集積回路装置の製造方法
KR100271043B1 (ko) * 1997-11-28 2000-11-01 구본준, 론 위라하디락사 액정표시장치의 기판 및 그 제조방법(liquid crystal display and method of manufacturing the same)
EP0932191A1 (en) * 1997-12-30 1999-07-28 International Business Machines Corporation Method of plasma etching doped polysilicon layers with uniform etch rates
US6376285B1 (en) * 1998-05-28 2002-04-23 Texas Instruments Incorporated Annealed porous silicon with epitaxial layer for SOI
US6239034B1 (en) * 1998-11-02 2001-05-29 Vanguard International Semiconductor Corporation Method of manufacturing inter-metal dielectric layers for semiconductor devices
US20020033233A1 (en) * 1999-06-08 2002-03-21 Stephen E. Savas Icp reactor having a conically-shaped plasma-generating section
JP2001085392A (ja) * 1999-09-10 2001-03-30 Toshiba Corp 半導体装置の製造方法
US6586318B1 (en) * 1999-12-28 2003-07-01 Xerox Corporation Thin phosphorus nitride film as an N-type doping source used in laser doping technology
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7064399B2 (en) * 2000-09-15 2006-06-20 Texas Instruments Incorporated Advanced CMOS using super steep retrograde wells
US6613695B2 (en) * 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
US6566283B1 (en) * 2001-02-15 2003-05-20 Advanced Micro Devices, Inc. Silane treatment of low dielectric constant materials in semiconductor device manufacturing
US6855436B2 (en) * 2003-05-30 2005-02-15 International Business Machines Corporation Formation of silicon-germanium-on-insulator (SGOI) by an integral high temperature SIMOX-Ge interdiffusion anneal
KR100428769B1 (ko) * 2001-06-22 2004-04-28 삼성전자주식회사 반도체 롬 장치 형성 방법
JP4151884B2 (ja) * 2001-08-08 2008-09-17 独立行政法人理化学研究所 固体表面に複合金属酸化物のナノ材料が形成された材料の製造方法
US7003111B2 (en) * 2001-10-11 2006-02-21 International Business Machines Corporation Method, system, and program, for encoding and decoding input data
JP3578345B2 (ja) * 2002-03-27 2004-10-20 株式会社半導体先端テクノロジーズ 半導体装置の製造方法および半導体装置
JP4001498B2 (ja) * 2002-03-29 2007-10-31 東京エレクトロン株式会社 絶縁膜の形成方法及び絶縁膜の形成システム
US6743651B2 (en) * 2002-04-23 2004-06-01 International Business Machines Corporation Method of forming a SiGe-on-insulator substrate using separation by implantation of oxygen
US20040072446A1 (en) * 2002-07-02 2004-04-15 Applied Materials, Inc. Method for fabricating an ultra shallow junction of a field effect transistor
US6841457B2 (en) * 2002-07-16 2005-01-11 International Business Machines Corporation Use of hydrogen implantation to improve material properties of silicon-germanium-on-insulator material made by thermal diffusion
US20050205986A1 (en) * 2004-03-18 2005-09-22 Ikuroh Ichitsubo Module with integrated active substrate and passive substrate
US20060011906A1 (en) * 2004-07-14 2006-01-19 International Business Machines Corporation Ion implantation for suppression of defects in annealed SiGe layers
US7037818B2 (en) * 2004-08-20 2006-05-02 International Business Machines Corporation Apparatus and method for staircase raised source/drain structure
US7141457B2 (en) * 2004-11-18 2006-11-28 International Business Machines Corporation Method to form Si-containing SOI and underlying substrate with different orientations
US20060205192A1 (en) * 2005-03-09 2006-09-14 Varian Semiconductor Equipment Associates, Inc. Shallow-junction fabrication in semiconductor devices via plasma implantation and deposition
US7504314B2 (en) * 2005-04-06 2009-03-17 International Business Machines Corporation Method for fabricating oxygen-implanted silicon on insulation type semiconductor and semiconductor formed therefrom

Also Published As

Publication number Publication date
US20080153271A1 (en) 2008-06-26
US8927400B2 (en) 2015-01-06
KR101369993B1 (ko) 2014-03-06
KR20090100421A (ko) 2009-09-23
WO2008077020A3 (en) 2008-08-28
WO2008077020A2 (en) 2008-06-26
JP2010514166A (ja) 2010-04-30
US20100173484A1 (en) 2010-07-08
CN101548190A (zh) 2009-09-30
JP5383501B2 (ja) 2014-01-08
US20140248759A1 (en) 2014-09-04
TWI508142B (zh) 2015-11-11

Similar Documents

Publication Publication Date Title
US11587783B2 (en) Si precursors for deposition of SiN at low temperatures
JP7062817B2 (ja) SiNの堆積
US11289327B2 (en) Si precursors for deposition of SiN at low temperatures
TWI789733B (zh) 在反應空間中在基板上形成氮化矽薄膜的方法
TW200834681A (en) Safe handling of low energy, high dose arsenic, phosphorus, and boron implanted wafers
KR101327923B1 (ko) 보론 니트라이드 및 보론 니트라이드-유도된 물질 증착 방법
JP6018149B2 (ja) 窒化ケイ素膜被着方法
KR20180073483A (ko) 기판 상의 구조물 형성 방법
KR101853802B1 (ko) 라디칼­성분 cvd에 의한 컨포멀 층들
KR20180077093A (ko) 기판 상에 구조물을 형성하는 방법
US20140273530A1 (en) Post-Deposition Treatment Methods For Silicon Nitride
US20140273531A1 (en) Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES
CN107665811A (zh) 使用复合peald和pecvd方法的可变深宽比特征的间隙填充
US20140273524A1 (en) Plasma Doping Of Silicon-Containing Films
KR20210109046A (ko) 질화규소를 증착하는 방법들

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees