TW200302524A - Method of increasing the etch selectivity of a contact sidewall to a preclean etchant - Google Patents

Method of increasing the etch selectivity of a contact sidewall to a preclean etchant Download PDF

Info

Publication number
TW200302524A
TW200302524A TW091137755A TW91137755A TW200302524A TW 200302524 A TW200302524 A TW 200302524A TW 091137755 A TW091137755 A TW 091137755A TW 91137755 A TW91137755 A TW 91137755A TW 200302524 A TW200302524 A TW 200302524A
Authority
TW
Taiwan
Prior art keywords
layer
contact hole
patent application
nitrogen
item
Prior art date
Application number
TW091137755A
Other languages
English (en)
Other versions
TWI269385B (en
Inventor
Zheng Yuan
Steve Ghanayem
Randhir P S Thakur
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200302524A publication Critical patent/TW200302524A/zh
Application granted granted Critical
Publication of TWI269385B publication Critical patent/TWI269385B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C15/00Surface treatment of glass, not in the form of fibres or filaments, by etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only

Description

200302524 玖、 【發 之, 璃或 之方 【先 體產 金屬 層, 且用 於其 度之 形成 以形 昇起 初始 金屬 經加 該膜 刻技 申請專利範圍 明所屬之技術領域】 本發明關於在半導體晶圓上形成積體電路。更特別言 本發明之具體實施例有關用於經由上方磷硼矽酸鹽玻 類似矽氧化物層,在一矽基材上形成高孔徑比接觸孔 法。 前技術】 礙删石夕酸鹽玻璃(以下簡稱BPSG)已廣泛地使用在半導 業中作為多晶矽閘極/内部連接層與MOS電晶體之第一 層間的一隔離層。此一隔離層常稱為前金屬介電(PMD) 因為其係在一多層金屬結構中任何金屬層前先沉積, 以電性隔離該第一沉積金屬層部份與半導體基材。由 具有低介電常數、低應力、優良附著性及極低回流溫 特性’ BPSG膜通常係用作PMD層。標準BPSG膜之 係藉由導入一含磷來源與一含硼來源,連同通常需用 成一石夕氧化物層之含矽與氧來源進入處瑝室中。 當其被用作PMD層時,一 BPSG層係沉積在通常含有 或階梯狀表面的一低層多晶矽閘極/内部連接層上。該 沉積膜大體上符合多晶層之形狀,而後在沉積一覆蓋 層前經平坦化或整平。一標準回流製程(其中該氧化膜 熱至其流動之溫度)可用以平坦化該薄膜。另一選擇是, 可經部份回流處理而後施予一化學機械拋光(CMp)或姓 藝。 / 第4頁 200302524 如先前技 常導致在一給 效應必須與其< 摻雜程度與低 度降低於隨後 象。 第1A至 的一實例。第 部份上視圖, A1-A2之部份 結構12、14 ; 與1 6各包括-自校準矽氮化 形成於整個基 表面2 6,而提{ 係經蝕刻通過 未顯示於第1 中,也顯示N 區36。應注意 之尺寸被誇大 第1C圖-圖所繪製之比 按正確比例繪 過存在於相鄰 藝所知,納入較多之磷與硼進入一 BPSG中通 定回流溫度下較佳之間隙填充特徵。然而此 他關注之事項(例如BPSG層之密度)平衡。高 回流溫度也關連到BPSG層密度之降低。此密 在層間形成一接觸結構時會導致過度蝕刻現 1 C圖顯示遭遇此一過度蝕刻問題之積體電路 1A圖係經設置通過一 bpsG層的一接觸結構 · 而第1B圖係第1A圖中所示接觸結構沿線 剖面圖。如第1A與1B圖所示,鄰近之多晶 每16已形成於一矽基材1 〇上。結構12、14 -第一多晶矽層18及上方的矽酸鎢層20。一 · 物層係形成於該閘極上,而一 B p s G層2 4係 材上。BPSG層24經回流與拋光成一平坦上 冷由一上方金屬化層接觸矽基材之接觸孔28, 結構12與14間以及結構! 4與16間,以及 A或1B圖中之其他結構處。在第^與^圖 β 井3 0、Ρ井3 2、淺溝隔離區3 4及源與汲極 第1Α與1Β圖並未按比例繪製,且某些特徵 供易於示範。 係第1A圖所示區域3 8之放大圖。雖然第1 c 例車又第1A或1B圖中要接近實物些,其仍未 製如第1 C圖所示,形成之接觸孔2 8係通 問結構間之高孔徑比間隙4〇的中間,且以 第5頁 200302524 BPSG材料加以填充。在某些應用中,高孔徑比(HAR)間隙 之特徵為頂寬42介於0·〇5與0.09微米間、底寬44介於〇·〇2 與〇.〇5微米間且一側壁角度介於以至89度間。由於一間 隙之孔径比係界定為其高度與間隙中心寬度之比值,在^ 3 與〇· 1 〇微米特徵尺寸技術中此HAR間隙之孔徑比通常介於 6:1至1〇:1。如先前之瞭解,半導體製造商正延伸當前 技術之極限以無空隙方式填充BPSg層24之此高孔徑比間 隙,以使層24具有產製有效積體電路所需之其他特徵,例 如適當之介電常數、附著性與密度。 理想中’接觸孔28特徵為整個接觸區域經光滑化成接 近垂直線40。在該接觸孔被蝕刻後,其通常被填充以一多 層金屬插塞,例如先前習知技藝中之鈦/鈕/氮化物/鎢組合。 在某些應用中’在接觸孔内形成金屬前,接觸孔2 8係 經一接觸清洗步驟以移除因接觸蝕刻步驟而在矽接觸表面 餘留之氧化物及/或殘餘物。此一接觸清洗步驟可藉由濕式 清洗製程(例如使用氫氧化銨與過氧化氫的一稀釋溶液)、電 漿清洗製程或使用其他技藝(例如高週波或百萬赫超音波)清 洗。不論使用任何技術,在清洗步驟中必須小心以確保接 觸開口不過度钮刻而使接觸孔被不必要的擴大。 如前述,BPSG層之摻雜濃度與回流溫度必須與其他關 注事項平衡。換雜濃度太高及/或回流溫度太低會導致具高 蝕刻率的一低密度B P S G層。在此情況下,b p S G層係較可 能在清洗製程中如前述被過度餘刻。 因此,如先前之瞭解’需求研發可減低清洗製程中被 200302524 過度触刻之可能性的技藝。 【發明内容】 本發明之具體實施例提供可減低在一清洗製程中過产 姓刻之可能性的方法。依據一具體實施例,在蝕刻該接^ 扎後但在使用接觸清洗步驟以移除在該孔接觸區域内之殘 餘物及/或氧化物前,該孔將置於能在該蝕刻孔内表面上开, 成一薄氣化層的一氮氣電漿中。此氮化層對於該接觸清洗 製程具有比BPSG層較高之蝕刻選擇性,因此有助於在預清 洗製程中防止過度蝕刻該接觸開口。 在另一具體實施例中,使用一原子層沉積(ALD)製程沉 積一薄氮化物層於該接觸孔内。在又一具體實施例中,使 用一化學氣相沉積(CVD)技藝沉積一薄氮化層。在一具體實 施例中,使用矽烷(SiH4)之電漿連同氨氣(NH3)或氮分子(N2) 中之一以形成該矽氮化物層Q在沉積該層時基材可加熱至 介於攝氏200至400度間。此CVD製程可使用標準電容耦 合電極、高密度電漿技藝或遠端電漿技藝。 本發明上述與其他具體實施例以及許多優勢與特點, 將參照下文與隨附之圖式詳加說明。 【實施方式】 本發明之具體實施例提供用於通過一 PMD層形成接觸 孔於一石夕基材之方法,更特指改進圍繞該接觸開口之pMD 材料對於在一接觸清洗步驟中使用蝕刻液之蝕刻選擇性(“改 200302524 進一材料對一蝕刻劑之選擇性,,立 ^ ^ ^ 思私降低其被該蝕刻劑移除 ^ ^ ^ 枓之蝕刻選擇性使該材料在一 接觸接觸清洗步驟(在此亦稱一“ 預清洗步驟,,)較不易受過度 韻刻問題之影響。因而可用 ^ 於針對一特定積體電路之整體 製作>;,L程的某些個別處理, ^ 知供+導體製造商各種選項或 較大之製程窗口。例如,改j隹溫 文進曝露於預清洗蝕刻劑中一接 觸孔内之PMD材料的蝕刻谍捸k a 町蚀、擇性,可使一半導體製造商施 打一比習知較長之接觸清洗步驟, 料於一 BPSG PMD層/及或減低該 長度。 而混入較多之硼或磷摻 PMD層回流製程時間或 本發明某些具體實施例包括一氮化步驟,即曝露該接 觸孔於t電漿或驅動由一電製產生之含氣物以混入圍繞 3接觸孔的PMD層之薄層或外皮。其他具體實施例在該接 觸孔内PMD層之整個外表面形成一矽氮化物薄層。在上述 一情況中,該薄氮化PMD層或矽氮化物薄層對於該預清洗 蝕刻劑比未經處理之PMD層具有較高之蝕刻選擇性,同時 對於該預清洗蝕刻劑比該氧化矽或在該接觸孔底部形成之 石夕介面上之其他殘餘物具有較高之蝕刻選擇性。因此當具 有該接觸孔之基材置於接觸清洗步驟中時,該步驟將較不 易擴大與過度蝕刻該接觸孔。 為易於示範本發明,一處理流程將先由在一基材上沉 積一 BPSG PMD層開始,經由形成該接觸孔而後進行以下 提出如上述之接觸清洗步驟。應瞭解本共體實施例僅為代 表性而一熟習此項技藝人士將會明瞭其他替代性與等致性 第8頁 200302524 之具體實施例。 第2圖係示範依據本發明一具體實施例步驟之流程圖, 而第3A至3E圖依據第2圖所提示某些步驟處理的一半導 體基材之剖面簡圖。請參考第2與第3 A二圖,步驟丨〇〇包 括在一半導體基材150上形成之高起結構.13〇沉積一 BPS G 填隙層1 54。高起結構1 30可包括其他結構間之閘極丨32與 多晶石夕線1 34。在某些具體實施例中,一薄矽氧化物、矽氮 化物或矽碳化物襯層1 52係在沉積BPSG層1 54前形成於高 起結構1 3 0上。 BPSG層154係大體上符合下方形狀之薄膜,因而包括 在高起結構130上方區域内的緩衝層156。第3A圖也顯示, 如果在BPSG層内沉積之任何二鄰近高起結構間之間隙的孔 徑比(高度對寬度比)係足夠高時,可能在BPSG層1 54間形 成之空隙158。如習知實際積體電路之製造,第3A圖所示 之空隙1 5 8形成於高孔徑比、較窄寬度之間隙丨6 〇中,而 非低孔徑比、較大寬度之間隙i 62中。 在完成沉積BPSG層154後,該層將先經回流以部份平 坦化該層且填入空隙158(步驟1〇2)。回流步驟102通常包 括加熱該層1 5 4至其玻璃化轉變溫度之上維持一段預定時 間。如第3B圖所見,回流製程導致層丨54某些程度之整平 化或平坦化’且理論上可填入空隙丨5 8。空隙丨5 8是否如第 3B圖中所示被完全填滿係取決於回流製程使用之溫度、長 度與型式,空隙之形狀與尺寸,BpSG層之硼與磷濃度以及 其他因素。 200302524 由於熱性質之束限,大體上需求在足以填滿空隙1 5 8, 但不足以完全平坦化該層的一溫度及一段時間内使該層i 54 流動。如熟習本技藝者所知,該層154在較高之硼與磷濃 度、較高之回流溫度以及(與較短加熱步驟相反)較長時間下 將較易流動。 在層1 5 4回流後,該層係經進一步使用化學機械拋光 (CMP)技藝平坦化至如第3c圖所示的一實質的扁平面 164(步驟104)。其次請參考第3E)圖,接觸孔166經蝕穿Bpsg 層154以允許下方矽基材接觸上方一金屬層(步驟1〇6)。使 用熟習本技藝者所知之標準微影蝕刻步*驟以形成該接觸孔 1 66。在蝕刻出接觸孔丨66後,可用鎢或類似導電材料加以 填充。 然而如第3E圖中所示,一殘餘物及/或氧化物之薄層168 可能在接觸蝕刻步驟後餘留或形成於該接觸孔之底部。此 殘餘物及/或氧化物增加後續形成之接觸的接觸電阻值,如 果嚴重時甚至可阻礙電性接觸而導致斷路。因此,本發明 具體實施例施行在填充該接觸孔前的一接觸清洗步驟(步驟 110)。任何不同種類之先前習知接觸清洗步驟均可用以移除 殘餘物/氧化物1 68。例如在一具體實施例中,殘餘物/氧化 物之移除可藉由將基材150浸入由RCA公司生產之Sc i 清洗溶液槽。該sc]清洗溶液係氫氧化銨(NH4〇H)與過氧 化氫(H2〇2)以水稀釋的一溶液,稀釋比例為一份、4 份1〇2與2〇份HW。其他清洗溶液可用在其他具體實施例 中。又在其他具體實施例中,可使用電漿蝕刻技藝,例如 第10頁 200302524 曝露該接觸孔於由氫氣或氨形成的一電漿或例如三氟化氮 的一鹵素姓刻劑中。在另進一步具體實施例中,可使用百 萬赫超音波清洗步驟。在百萬赫超音波清洗步驟中,極高 頻率(例如700至1〇00千赫)將被用以在清洗液中產生經押 制之s頻氟穴(形成而驅動氣泡) 、„个以〜薄
穴具有足夠之能量以克服微粒之附著力,因而藉由推離言 2粒使其不再於清洗後再附著於材料上,以協助移除蝕玄 微粒。百萬赫超音波清洗、電漿蝕刻或類似非濕式清洗^ 驟’最好是用在接觸孔之寬度與孔徑比使液體很難達到; 底部以蝕刻該殘餘物/氧化物之一些具體實施例中。 本發=之具體實施例改進該接觸孔内側壁相較於不爲 要之生成氧化物對接觸清洗蝕刻劑的蝕刻選擇性。此 低在該接觸孔内發生不舞 不符4求地拓寬之可能性。第4圖右 第3E圖所示部份形成之 私一 ★ _ 一 w双A上現圖。如第4屬 二,“兩起結構130間經蝕刻出多數接觸孔166。在身 前習知結構中,視bpsg 在失 長度而定,各接觸孔16/ 在度與預清洗步驟110之
要觸孔166可被過度蝕刻出一 w曰丄 妥善規劃’某些程度之過度㈣係 時,其可…而終土於層152處(特::;如果㈣嚴重 而言,層⑴係具有“刻選擇性(:=對於:氧化物 曰’我考是當未沉積層ίςο 乳化物層’該過度餘刻將藉由石夕氮化物/ ^ 152係矽 然而,沿Υ軸並未有 、、 則壁13 5而終止。 性之矽氮化物或Α :預’月洗蝕刻劑顯現較高蝕刻選擇 ,、他材料。因此’習知部份形成之積體電 第11頁 200302524 路係特別容易在此方向遭遇過度蝕刻問題。 在接觸清洗製程前,本發明之方法沿蝕刻接觸 内P側壁1 7 〇處,形成對於預清洗蝕刻劑之蝕刻選 /冗積P M D層(步驟1 〇 8 )之触刻選擇性要高的一 在一具體實施例中,藉由曝露該接觸孔於一氮氣電 形成該較高蝕刻選擇性之材料。如第5圖中所示, 入氮於該接觸之曝露側壁區域且大體上不導致該接 變窄,因為在該開口内薄膜並未成長或沉積。混入 《及深度(如區域1 7 2所示)取決於該接觸孔曝露至該 時間長度、該電漿之特性、該基材之溫度以及其他 在未受限於任何特殊理論下,咸信該氮電漿與鍵結 子之氡原子反應,以轉換矽-氧鍵成為矽_氮鍵,因 表面170形成一薄矽氮化物或氮氧化矽層。 在一具體實施例中,該氮化步驟曝露該基材 之電水約20心至5分鐘間,而在另一具體實施例 3〇秒與2分鐘間。在其他具體實施例中,其他適 來源均可由热習本技航去力 文两考·加以決疋使用。該電漿 高密度電漿CVD處理宕^丨Λ山* 处埋至(例如由應用材料公司
Ultima HDP處理室)φ拟士、 „ 昨 王J甲形成。另一選擇是,該電漿 括電容耦合電漿增強Γνη ♦饰^ 虫LVD處理室、ECR處理室及 或遠端電漿形成技藝耸夕免^ %丨二、 a寺之其他型式的基材處理室中 當使用於〇·13至〇 1n丄 王υ ·1彳政永技術中,接觸孔1 半徑(R)介於0.1至〇 25料半μ ^ ζ >彳政木間。虱化一界定該接觸 材料薄層增加了該材料夕谷带& 之;丨電常數。因此,通常 第12頁 16 6之 擇性係 材料。 漿中以 此可混 觸開口 氮之量 電漿之 因素。 於矽原 在側壁 一氮氣 則介於 之含氮 以在一 製造之 以在包 用微波 ►成。 具有之 之PMD 求使該 200302524 氮化層比該接觸孔要更薄。在一具體實施例中,區域i72 由内部側壁170向内延伸約1 〇至100埃。在另一具體實施 例中,區域172向内延伸約20至50埃。熟習本技藝者應 瞭解在整個氮化區域内之氮濃度可有所變化,大體上之範 圍為在氮化區表面處或鄰近之最高值降至該氮化與非氮化 區之介面(即靠近沉積之PMD材料處)的〇· 〇1 %或更少,而 該介面之位置主要關於界定需求氮濃度之界限。 在另一具體實施例中,一矽氮化物薄層1 7 4係如第5 Β 圖中所示沉積於接觸孔1 6 6之側壁1 7 0上。在一具體實 例中,係使用一 CVD製程沉積該矽氮化物薄層。可使用熟習 本技藝者所熟知之各種石夕氮化物C V D技藝以沉積層174。 在一特定具體實施例中,矽烷與氨氣或氮氣流被導入一電 漿CVD處理室,而當該基材被加熱至攝氏2〇〇至4〇〇度間 時將產生一電漿。而又另一具體實施例中,於一原子層沉 積(ALD)製程内交替地將四氣化矽與氨氣導入該處理室中, 以形成矽氮化物薄層174。在各氣體流動後,將預備作為次 一氣流的鈍氣導入以清淨該處理室。矽氮化物層大約以每 -氣體循環將i埃之速率成長。在一具體實施例中,在 以一鈍氣清淨該處理室前,該基材被加熱至攝氏2〇〇至4⑻ 度:而四氣化石夕與氨氣或氮氣流被導入該處理室將近i秒。 此一 ALD技藝具有勝過標準㈣技藝的一優勢,即其較能 控制在某些應用中需求之極薄(例如3至1〇埃)矽氮化 174的成長。 曰 熟習本技藝者 在充分描述本發明數種具體實施例後 第13頁 200302524 應可明瞭本發明尚有許多其他等效或替代性具體實施例。 例如,雖然本發明上述說明係有關在一 BPSG層上形成一接 觸孔,其應可應用於同樣包括氟化BpSG、摻磷矽酸鹽玻璃 (PSG)及未摻雜矽酸鹽玻璃(USG)之其他型式pMD層中。同 理,在氟化BPSG中本發明之方法也可用於中間金屬介電質 之應用。例如,改進形成之通道側壁對用以移除通道底部 氧化物的預清洗電漿之蝕刻阻抗。這些等效性與替代性預 期將包括於本發明之範疇中。
【圖式 第 第 第 第 第 面簡圖 第 上視圖 第 孔在處 間皁詋明J1 A圖係依據先前枯敲 ]筏藝的一接觸結構之部份上視圖1B圖係第1A圖中兮姓a τ巧接觸結構之剖面圖。 1C圖係第1Α圖中% -r 圃^所不區域38之放大圖。2圖係依據本發明一且 八體實施例之不範步驟流程圖3 Α至3 Ε圖係依據笛 像第2圖製程的一半導體基材之 4圖係第3 E圖中所 示一部份形成之積體電路的玫大
5A輿5B圖係係钬 、依據本發明不同具體實施例的接 理後之剖面簡圖。 银觸 【元件代表符號簡單說明 10基材 1 4多晶結構 12多晶結構 16多晶結構 第14頁 200302524
18 多晶石夕層 20 矽酸鎢層 22 矽氮化物層 24 BPSG 層 26 上表面 28 接觸孔 30 N-井 32 P-井 34 淺溝隔離區 36 源與汲極區 40 間隙 42 頂部 44 底部 46 側壁角度 130 南起結構 132 閘極 134 多晶矽線 135 矽氮化物側壁 150 半導體基材 152 襯層 154 BPSG 層 156 緩衝層 158 空隙 160 間隙 162 間隙 164 平面 166 接觸孔 168 氮化物層 170 側壁 172 區域 174 矽氮化物層 第15頁

Claims (1)

  1. 200302524 拾、申請專利範圍 1· 一種用於在一積體電路之第一金屬層與一矽基材間形成 一接觸之方法,該方法至少包含: 形成一前金屬介電層於該矽基材上; 餘刻一接觸孔通過該前金屬介電層,該接觸孔具有一 由該接觸孔一頂部延伸至一底部之内表面; 形成一含氮層於該内表面上; 移除於該矽介面處之該接觸孔内產生之殘餘物及/或 氧化物;及 以一或多數之導電材料填充該接觸孔。 2 ·如申請專利範圍第1項所述之方法,其中該含氮層係藉 由曝露該接觸孔至一含氮電漿而形成。 3 ·如申請專利範圍第1項所述之方法,其中該含氮層係藉 由沉積一矽氮化物層於該内表面而形成。 4.如申請專利範圍第3項所述之方法,其中該矽氮化物層 之沉積係藉由一原子層沉積製程。 5 ·如申請專利範圍第3項所述之方法,其中該矽氮化物層 之沉積係藉由一化學氣相沉積製程。 6.如申請專利範圍第1項所述之方法,其中該前金屬介電 第16頁 200302524 層係一矽酸玻璃層摻雜硼與磷。 7·如申請專利範圍第1項所述之方法,1中 〃 T孩移除步驟至 少包含曝露該接觸孔於/濕式蝕刻劑中。 8.如申請專利範圍第1項所述之方法,i中 八甲该移除步驟至 少包含曝露該接觸孔於由一蝕刻劑ϋ辦π上 蜊孔體形成的一電漿 中。 ^ 9· 一種處理一基材上具有蝕穿一前金屬 两川电層以達到該其 材上表面接觸孔的方法,該方法至少包人. 土 形成一含氮層在該接觸孔的一内矣 門表面上;及 隨後曝露該接觸孔於一蝕刻劑# λ 材之該上表面處產生之殘餘物及/或氧::該接觸孔内該基 其中該蝕刻劑對該含氮層比對 化物S 士 〜產生殘餘物及/或氧 G物具有一較高蝕刻選擇性。 久/ 4乳 1〇 H •如申請專利範圍第9項所述之方法, ^ 由曝露該接觸孔至-含氮電聚而形成/、中4含氮層係藉 .如申請專利範圍第10項所述之方 曝雷# 其中該接觸孔係 +路於該含氮電漿約20秒至5分鐘間。 12, %申請專利範圍第i 〇 項所述之方法,其中該接 觸孔係 第17頁 200302524 曝露於該含氮電漿約3 0秒至2分鐘間。 1 3 ·如申請專利範圍第9項所述之方法,其中該含氮層係藉 由沉積一石夕氮化物層於該内表面上而形成。 14.如申請專利範圍第1 3項所述之方法,其中該矽氮化物 層之沉積係藉由一原子層沉積製程。
    1 5.如申請專利範圍第1 3項所述之方法,其中該矽氮化物 層之沉積係藉由一化學氣相沉積製程。 1 6.如申請專利範圍第9項所述之方法,其中該前金屬層係 . 一 BPSG 或 PSG 層。 17. —種使一具有触穿該層的一接觸或通孔之介電層部份增 加對一接觸預清洗蝕刻劑之該蝕刻選擇性的方法,該方 法至少包含在曝露該接觸孔於該預清洗#刻劑前,藉由 0 曝露該孔至一含氮電漿中以氮化該接觸孔的一内部側 壁表面。 1 8.如申請專利範圍第1 7項所述之方法,其中該預清洗蝕 刻劑係由氫氣、氨氣或一含鹵素氣體形成的一電漿:所產 生0 第18頁 200302524 19.如申請專利範圍第17 一竹厶凰八杂 万法’其中該介電層係 則金屬’I電層而該接觸孔係 材上表面的一接觸孔。 "層以達到-石夕基 认一種形成—接觸於-積體電路之_第—金屬層與一石夕基 材間之方法,該方法至少包含: 形成一前金屬介電層於該矽基材上;
    士 ㈣-接觸孔通過該前金屬介電層,該接觸孔具有由 忒接觸孔一頂部延伸至一底部的一内表面; 氮化該接觸孔之該内表面,係藉由曝露該接觸孔至一 含氮電漿中; 隨後曝露該接觸孔於一蝕刻劑中以移除該接觸孔内產 生之殘餘物及/或氧化物;及 以一或多數之導電材料填充該接觸孔。 2 1.如申請專利範圍第2〇項所述之方法,其中該接觸孔係 曝露於該含氮電漿約20秒至5分鐘間。 φ 22·如申請專利範圍第20項所述之方法,其中該接觸孔係 曝露於該含氮電漿約3 0秒至2分鐘間。 23 ·如申請專利範圍第2 1項所述之方法,其中該含氮電漿 係由氮分子組成。 第19頁 200302524 24.如申請專利範圍第21項所述之方法,其中該前金屬介 電層係一矽酸玻璃層摻雜硼與磷。
    第20頁
TW091137755A 2002-01-07 2002-12-27 Method of increasing the etch selectivity of a contact sidewall to a preclean etchant TWI269385B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/041,550 US6677247B2 (en) 2002-01-07 2002-01-07 Method of increasing the etch selectivity of a contact sidewall to a preclean etchant

Publications (2)

Publication Number Publication Date
TW200302524A true TW200302524A (en) 2003-08-01
TWI269385B TWI269385B (en) 2006-12-21

Family

ID=21917102

Family Applications (1)

Application Number Title Priority Date Filing Date
TW091137755A TWI269385B (en) 2002-01-07 2002-12-27 Method of increasing the etch selectivity of a contact sidewall to a preclean etchant

Country Status (4)

Country Link
US (1) US6677247B2 (zh)
KR (1) KR20040066938A (zh)
TW (1) TWI269385B (zh)
WO (1) WO2003060977A2 (zh)

Families Citing this family (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7699057B2 (en) * 2003-03-13 2010-04-20 3M Innovative Properties Company Methods for treating skin lesions
JP2005116801A (ja) * 2003-10-08 2005-04-28 Toshiba Corp 半導体装置の製造方法
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US7235492B2 (en) * 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
CN100481375C (zh) * 2005-09-29 2009-04-22 中芯国际集成电路制造(上海)有限公司 用于浅沟槽隔离的双制衬的方法与结构
US7704878B2 (en) * 2005-10-03 2010-04-27 Advanced Micro Devices, Inc, Contact spacer formation using atomic layer deposition
US7524750B2 (en) * 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US20070252299A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Synchronization of precursor pulsing and wafer rotation
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US20080246124A1 (en) * 2007-04-04 2008-10-09 James Mathew Plasma treatment of insulating material
TWI364094B (en) * 2008-02-18 2012-05-11 Inotera Memories Inc Fabrication method of memory device
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8497211B2 (en) 2011-06-24 2013-07-30 Applied Materials, Inc. Integrated process modulation for PSG gapfill
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
JP6235981B2 (ja) * 2014-07-01 2017-11-22 東京エレクトロン株式会社 被処理体を処理する方法
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9721895B1 (en) * 2016-10-06 2017-08-01 International Business Machines Corporation Self-formed liner for interconnect structures
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10643858B2 (en) 2017-10-11 2020-05-05 Samsung Electronics Co., Ltd. Method of etching substrate
SG11202008268RA (en) 2018-03-19 2020-10-29 Applied Materials Inc Methods for depositing coatings on aerospace components
WO2019209401A1 (en) 2018-04-27 2019-10-31 Applied Materials, Inc. Protection of components from corrosion
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US11145504B2 (en) 2019-01-14 2021-10-12 Applied Materials, Inc. Method of forming film stacks with reduced defects
WO2020219332A1 (en) 2019-04-26 2020-10-29 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
WO2022005696A1 (en) 2020-07-03 2022-01-06 Applied Materials, Inc. Methods for refurbishing aerospace components

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3297220B2 (ja) * 1993-10-29 2002-07-02 株式会社東芝 半導体装置の製造方法および半導体装置
US6146996A (en) * 1998-09-01 2000-11-14 Philips Electronics North America Corp. Semiconductor device with conductive via and method of making same

Also Published As

Publication number Publication date
TWI269385B (en) 2006-12-21
KR20040066938A (ko) 2004-07-27
WO2003060977A3 (en) 2004-02-12
US6677247B2 (en) 2004-01-13
US20030127427A1 (en) 2003-07-10
WO2003060977A2 (en) 2003-07-24

Similar Documents

Publication Publication Date Title
TW200302524A (en) Method of increasing the etch selectivity of a contact sidewall to a preclean etchant
US7211480B2 (en) Semiconductor device with shallow trench isolation and its manufacture method
US6664580B2 (en) Buried PIP capacitor for mixed-mode process
US7670946B2 (en) Methods to eliminate contact plug sidewall slit
US7037803B2 (en) Manufacture of semiconductor device having STI and semiconductor device manufactured
US7553741B2 (en) Manufacturing method of semiconductor device
TW554472B (en) A method for forming shallow trench isolation
JP5359518B2 (ja) 半導体装置及びその製造方法
KR20030014152A (ko) 반도체 집적 회로 장치
US6551901B1 (en) Method for preventing borderless contact to well leakage
KR20060046020A (ko) 반도체 장치 및 그 제조 방법
US6239017B1 (en) Dual damascene CMP process with BPSG reflowed contact hole
US5849625A (en) Planar field oxide isolation process for semiconductor integrated circuit devices using liquid phase deposition
JPH0758057A (ja) 半導体装置の製造方法
US7566924B2 (en) Semiconductor device with gate spacer of positive slope and fabrication method thereof
KR100464862B1 (ko) 반도체 장치의 제조 방법
KR100538811B1 (ko) 반도체 소자의 제조방법
US6869836B1 (en) ILD stack with improved CMP results
JPH11307625A (ja) 半導体装置およびその製造方法
TWI244116B (en) Method for manufacturing semiconductor device
KR100609980B1 (ko) 피엠디막의 과식각 방지 방법
US6887767B2 (en) Method for manufacturing semiconductor device
US6583055B1 (en) Method of forming stepped contact trench for semiconductor devices
KR100571414B1 (ko) 반도체 소자의 콘택 형성 방법
JP5505184B2 (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees