KR930011414B1 - 질화규소막의 형성방법 - Google Patents

질화규소막의 형성방법 Download PDF

Info

Publication number
KR930011414B1
KR930011414B1 KR1019910010763A KR910010763A KR930011414B1 KR 930011414 B1 KR930011414 B1 KR 930011414B1 KR 1019910010763 A KR1019910010763 A KR 1019910010763A KR 910010763 A KR910010763 A KR 910010763A KR 930011414 B1 KR930011414 B1 KR 930011414B1
Authority
KR
South Korea
Prior art keywords
gas
silicon nitride
nitride film
organic compound
forming
Prior art date
Application number
KR1019910010763A
Other languages
English (en)
Other versions
KR920000967A (ko
Inventor
유이치 미카타
다카히코 모리야
Original Assignee
가부시기가이샤 도시바
아오이 죠오치
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시기가이샤 도시바, 아오이 죠오치 filed Critical 가부시기가이샤 도시바
Publication of KR920000967A publication Critical patent/KR920000967A/ko
Application granted granted Critical
Publication of KR930011414B1 publication Critical patent/KR930011414B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/114Nitrides of silicon

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

내용 없음.

Description

질화규소막의 형성방법
제 1도는 본 발명의 제 1의 실시예를 개략적으로 나타낸 구성도이다.
제 2도는 제 2의 실시예를 개략적으로 나타낸 구성도이다.
* 도면의 주요 부분에 대한 부호의 설명
1 : 관상로 2 : 반도체 웨이퍼(물체)
3 : 히터 4 : 배기구
10 : 베이킹조 13 : 제 2의 가스공급원
본 발명은 질화규소막의 형성방법에 관한 것이다.
종래, 질화규소()는 매우 단단하고 열전도율이 낮으며, 또한 분자확산에 대한 저항성이 높은 치밀하고 화학적으로 불활성인 유전재료로서,이러한 특성에 기인하여 예를들어 반도체장치의 제조에 있어서 산화마스크, 게이트절연막, 표면안정화막 등에 사용되고 있다. 그리고 질화규소의 막을 물체, 예를들면 반도체기판의 표면상에 형성하는 방법으로서는 특공소60-10108호 공보에 표시된 방법이 있다. 이 방법은 물체를 반응 용기내에 배치하고, 반응 용기내에 디크롤실란 및 암모니아의 혼합물을 흘리고, 물체와 혼합물을 감압하에 고온으로 접촉시킴으로써 질화규소를 물체상에 열분해적으로 퇴적시키고 있다. 이와같은 방법에서의 디크롤실란과 암모니아의 반응은 다음 식으로 표시된다.
3SiH2Cl2+ 4NH3→ Si3N4+ 6HCl + 6H2
그리고 경제적인 공정에 있어서 양호한 성장속도, 균일한 퇴적 및 고품위의 피막을 얻기 위해서는 암모니아를 디크롤실란의 5배이상의 가스유량으로 흘릴 필요가 있다.
그러나 암모니아를 과잉으로 흘리고 있기 때문에 미반응의 암모니아가 반응용기중에 존재하게 되어 상기 반응식에서 알 수 있듯이 반응 생성물로서의 염산과 과잉의 암모니아가 반응하여 염화암모늄을 생성한다. 염화암모늄은 약 150℃를 경계로 하여, 이것을 초과하면 고체로서 존재하는 일은 없지만 이 온도 이하에서는 고체로서 석출된다. 이 때문에 반응 용기 및 이것에 부속되는 배관등에서 온도가 150℃ 이하의 부분, 예를들어 통상의 감압 CVD(Chemical Vapor Deposition)로에 있어서는 가스도입구, 배기구에서 하류측의 부분은 150℃ 이하로 되어 있고, 이 부분에서 염화 암모늄이 석출하여 퇴적된다. 이처럼 퇴적된 염화암모늄은, 반응 용기내의 압력을 대기압 상태에서 감압상태로 바꾸었을 경우, 또는 감압상태에서 대기압상태로 바꾸었을 경우에 박리하여, 입자가 용기내부에 잔류되어 물체표면상에 부착하는 문제를 발생시킨다. 또 용기 및 부속배관중 반응영역에 노출된 150℃ 이하의 비교적 저온의 금속 부분에 염화암모늄이 부착되면, 이 염화암모늄이 부착된 금속 부분은 물체의 출납등으로 용기등의 내부에 잔류한 수분의 존재에 의해 부식된다. 이때문에 정기적으로 세정이나, 배관의 가열을 통하여 염화암모늄의 제거가 필요해진다.
또한, 염화암모늄의 퇴적을 피하기 위해, 디크롤실론 대신 실란(SiH4)을 사용하는 방법도 있지만, 이 경우에는 질화규소의 퇴적속도를 제어하기 어려우므로 물체의 표면에 퇴적된 질화규소막의 막 두께를 균일하게 하는 것이 어려운 문제, 예를들어 개개의 웨이퍼내에서 막두께의 분포가 다르게 되는 문제나, 복수의 웨이퍼 사이에서 균일한 막두께로 하기 어려운 등의 문제가 있었다.
상기와 같은 상황을 감안하여 본 발명은 이루어진 것이며, 그 목적으로 하는 바는 물체표면에 질화규소막을 형상함에 있어서, 염화암모늄이 생성되는 일이 없고, 그 때문에 반응 용기내의 압력을 변화시켜도, 물체표면에 형성한 질화규소막상에 염화암모늄의 입자가 부착되는 염려가 없으며, 또 반응 용기 등의 노출된 금속부분에 염화암모늄이 부착하여 부식을 일으킬 염려도 없고, 또한 형성될 질화규소막의 물체표면에 있어서의 막두께 및 분포를 균일한 것으로 할 수 있는 질화규소막의 형성방법을 제공하는데 있다.
본 발명의 질화규소막의 형성방법은, 구성원소로서 규소, 질소를 포함하며, 또한 염소를 포함하지 않는 유기 화합물의 가스, 또는 이 유기화합물 가스와 구성원소로서 최소한 질소를 포함하며 염소를 포함하지 않는 상기 유기화합물의 가스와 다른 가스와의 혼합가스중 어느 한쪽을, 감압하에서 물체표면에 접촉시킴으로써, 상기 물체표면에 질화규소막을 형성하는 것을 특징으로 하는 것이며, 또한 유기 화합물의 가스 또는 혼합가스를 가열, 플라즈마화, 방사선 여기의 최소한 하나의 수단을 사용하여 가스분해해서 물체표면에 접촉시키는 것을 특징으로 하며, 다른 가스가 암모니아, 질소의 최소한 한쪽을 포함하는 가스임을 특징으로하며, 유기 화합물의 가스가 아민기를 포함하는 것임을 특징으로 하는 것이다.
상기와 같이 구성된 질화규소막의 형성방법은 구성원소로서 규소, 질소를 포함하며, 또한 염소를 포함하지 않는 유기 화합물의 가스를 감압하에서 유통시키고, 물체표면에 접촉시켜서 질화규소를 퇴적시키기 때문에, 물체표면에의 가스의 접촉을 균일하게 할 수 있고, 막의 퇴적속도도 제어하기 쉬워져서 균일한 막을 얻을 수 있다. 또한 막의 형성과정에서는 염화암모늄 등의 불필요한 고체생성물이 생기는 일이 없고, 이 생성물에 의한 금속 부분의 부식도 생기는 일이 없다. 또 질화규소의 퇴적시에 암모니아 또는 질소를 혼합하거나, 가열, 플라즈마화, 방사선여기 등의 가스분해의 수단을 병용하는 것에 의해 막형성을 더욱 효과적으로 할 수 있다.
본 발명의 실시예가 다음의 도면을 참조하여 설명된다. 제 1도는 본 발명의 제 1의 실시예의 감압 CVD장치를 개략적으로 도시한 구성도이며, (1)은 소정의 압력으로 감압된 내부에 물체, 예를들면 복수의 직경 6"의 반도체 웨이퍼(2)를 수납하고, 외주벽에 히터(3)를 장착하여 내부를 소망의 온도로 가열하도록 구성된 반응용기를 형성하는 종(縱)형의 관상로이다. 이 관상로(1)의 상부에는 노내부를 배기하여 소정압력으로 감압하는 진공펌프(도시생략)가 접속된 배기구(4)가 설치되어 있으며, 외주벽의 하부에는 각기 말단이 내부에 관통 개구되어 규소 및 아민기를 포함하는 유기 화합물의 가스, 예를 들면 Si(N(CH3)2)4를 내부에 도입하는 제 1의 가스도입관(5)와, 암모니아(NH3)를 내부에 도입하는 제 2의 가스도입관(6)이 설치되어 있다. 제 1의 가스도입관(5)의 다른 말단측에는 상류를 향해 순서대로 가스유로를 개폐하는 제 1의 밸브(7), 그리고 상기 유기 화합물의 가스를 생성하는 가스 생성기(8)에 가스유량을 조정하는 제 1의 가스유량 콘트롤러(9)를 접속하여 형성되는 유기 화합물의 가스를 공급하는 베이킹조(10)가 설치되어 있고, 또 제 2의 가스도입관(6)의 다른 말단측에는 역시 가스유로를 개폐하는 제 2의 밸브(11), 가스유량을 조정하는 제 2의 가스유량 콘트롤러(12), 암모니아를 공급하는 제 2의 가스공급원(13)이 설치되어 있다.
또 상기 관상로(1)의 내부에 수납한 반도체 웨이퍼(2)는 노내부에 세워 설치한 석영제의 보드(14)의 복수의 선반부에 1매씩, 그 사이에 가스가 유통하는 공간을 설치하여 수평으로 유지되어 있다. 또한 외주벽에 장착되는 히터(3)는 외벽의 보온처리와 아울러 관상로(1)의 내부 온도의 장소에 의한 차이가 극력 적어지도록 벽면에 분포되어 있고, 또 선단부의 반도체 웨이퍼(2)를 배치하는 간격도 반드시 등간격으로한정되지는 않으며, 통류하는 가스의 농도, 양, 온도 등과의 관계에서 적합한 배치상태로 설정되어 있다. 그리고 도시하지 않고, 상세히 기술하지는 않지만 관상로(1)의 내부의 온도, 압력은 통상 사용되는 수단, 즉 온도계, 진공게이지 등을 사용하여 계측하고, 계측치가 소정의 설정치의 범위에서 수납되도록 조정하는 조정기를 구비한 제어 수단을 가지고, 제어함으로써 소망의 값으로 유지한다.
이처럼 구성되는 장치로 본 발명을 실시할 경우 그 방법은 다음과 같이 구성된다. 먼저 관상로(1)의 내부에 배치된 보드(14)의 각 선단부에 1매씩 복수의 반도체 웨이퍼(2)를 수평으로 넣는다. 그리고 관상로(1)의 내부온도를 노의 외주벽에 장착한 히터(3)를 사용하여 700℃로 유지한다. 또한 진공 펌프를 배기가동시킴으로써, 노의 내부압력을 0.5Torr의 상태로 유지하면서 계속해서 노내부에 유기화합물 가스, 즉 Si(N(CH3)2)4의 증기를 베이킹조(10)의 가스생성기(8)에서 얻고, 제 1의 가스유량 콘트롤러(9)로 가스유량을 조정하여 제 1밸브(7)를 개방함으로서 100SCCM의 유입속도로 도입한다. 이 유기화합물 가스의 도입과 동시에, 노내부에 제 2가스공급원(13)에서 암모니아를, 제 2의 가스유량 콘트롤러(12)로 가스유량을 조정하면서, 제 2의 밸브(11)를 개방함으로써 1000SCCM의 유입속도로 도입한다. 관상로(1)의 내부온도, 압력을 상기와 같이 유지하고, 2종류의 가스를 노내부에 계속 도입해서 60분간 실시하고, 제 1,2밸브(7,11)을 닫은후 노내부에서 반도체 웨이퍼(2)를 꺼낸다. 꺼낸 반도체 웨이퍼(2)의 표면에서 질화규소막의 퇴적상태를 체크했더니, 표면에는 두께가 600℃의 질화규소막이 형성되고, 하나의 웨이퍼안에서는 균일한 두께로 퇴적되어 있고, 동일 노내의 임의의 위치의 웨이퍼간에서의 두께의 균일성은 ±5% 이내였다.
또 막의 형성 공정을 끝낸 다음, 노내부 및 배기계통의 배관내에서의 퇴적물의 상황을 조사하였더니 부식성 퇴적물등은 존재하지 않는다.
그리고, 상기 실시예외에 노내 온도를 300℃-1000℃의 범위에서 조정하고, 노내압력을 1mTorr-10Torr의 범위에서 조정하여 질화규소막을 형성하였더니 조정분위기내에서는 모두 균일한 막의 형성이 이루어졌다.
또 유기 화합물 가스로서 Si(N(CH3)2)4대신, 역시 구성원소로서 염소를 포함하지 않는 SiH(N(CH3)2)3, SiH2(N(CH3)2)2, SiH3(N(CH3)2)를 사용해도 역시 질화규소막을 형성할 수 있었다. 또한 상기 실시예에 있어서 암모니아 대신에 질소를 사용하여 질화규소막을 형성했더니, 가스의 노내부에의 도입시간을 상기의 경우보다 길게 취하고, 반도체 웨이퍼(2)와 가스와의 접촉시간을 길게 취함으로써, 균일한 질화규소막을 형성할 수 있었다. 또 유기 화합물 가스만으로도 피막을 형성하는데 시간이 걸렸으나, 균일한 피막을 형성할 수 있었다.
다음에 제 2도에 개략적으로그 구성이 도시된 제 2의 실시예를 설명한다. 그리고 제 1도와 동일부분에는 동일기호를 붙이고, 다른 부분에 대해서만 설명한다. (15)는 관상로(1)의 내주벽에 설치한 한쌍의 전극이며, 도시하지 않은 교류전원에 접속되어 있다.
이처럼 구성한 것에 있어서는 관상로(1)내에 감압한 상태에서 가스를 흘리고 동시에 쌍을 이루는 전극(15)에 소정의 전압을 가함으로써, 노내부를 통과하는 가스를 플라즈마화하여 가스분해할 수 있고, 균일한 질화규소막을 반도체 웨이퍼(2)의 표면상에 효율좋게 형성할 수 있었다.
그리고, 가스분해의 수단으로서 플라즈마화를 설명하였으나, 그 대신 자외선을 가스에 조사하여 여기함으로써 가스분해를 해도 역시 양호한 결과가 얻어졌다. 또 자외선 이외의 따른 방사선을 사용하여도 좋은 결과를 얻을 수 있었다.
또한 플라즈마화, 방사선 여기를 할 때에 가열을 병용해도 좋다.
이상의 설명에서 명백한 바와가티, 본 발명은 질화규소막을 물체표면에 형성함에 있어서, 구성원소로서 규소, 질소를 포함하며 또한 염소를 포함하지 않는 유기 화합물 가스를 사용하여 감압하에서 작용시키도록 구성하는 것에 의해, 다음과 같은 효과가 얻어진다. 즉 물체표면에 형성되는 질화규소막의 두께를 개개의 물체표면중에서 소정의 두께로 균일하게 분포시킬 수 있고, 또한 복수의 물체표면 사이에 대해서도 두께의 차이를 적게 할 수 있다. 또 불필요한 고체의 생성물 등이 발생하지 않기 때문에 질화규소막 상에서의 부착의 염려도 없고, 반응 용기등의 노출된 금속부분의 부식을 초래하는 일도 없다.

Claims (4)

  1. 구성원소로서 규소, 질소를 포함하고 염소를 포함하지 않는 유기화합물의 가스, 또는 이 유기화합물의 가스와 구성원소로서 최소한 질소를 포함하며 염소를 포함하지 않는 상기 유기 화합물 가스와 상이한 다른 가스와의 혼합 가스중 어느 하나의 가스를 감압하에서 물체표면에 접촉시킴으로써, 상기 물체표면에 질화규소막을 형성하는 것을 특징으로 하는 질화규소막의 형성방법.
  2. 제1항에 있어서, 유기 화합물의 가스 또는 혼합 가스를 가열, 플라즈마화, 방사선여기중 최소한 하나의 수단을 사용하여 가스분해해서 물체표면에 접촉시키는 것을 특징으로 하는 질화규소막의 형성방법.
  3. 제1항에 있어서, 다른 가스가 암모니아와 질소중 최소한 하나를 포함하는 가스인 것을 특징으로 하는 질화규소막의 형성방법.
  4. 제1항에 있어서, 유기 화합물의 가스가 아민기를 포함하는 것을 특징으로하는 질화규소막의 형성방법.
KR1019910010763A 1990-06-28 1991-06-27 질화규소막의 형성방법 KR930011414B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP20-171156 1990-06-28
JP2171156A JP2637265B2 (ja) 1990-06-28 1990-06-28 窒化珪素膜の形成方法
JP?02-171156 1990-06-28

Publications (2)

Publication Number Publication Date
KR920000967A KR920000967A (ko) 1992-01-29
KR930011414B1 true KR930011414B1 (ko) 1993-12-06

Family

ID=15918030

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019910010763A KR930011414B1 (ko) 1990-06-28 1991-06-27 질화규소막의 형성방법

Country Status (5)

Country Link
US (1) US5234869A (ko)
EP (1) EP0464515B1 (ko)
JP (1) JP2637265B2 (ko)
KR (1) KR930011414B1 (ko)
DE (1) DE69114373T2 (ko)

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5178911A (en) * 1989-11-30 1993-01-12 The President And Fellows Of Harvard College Process for chemical vapor deposition of main group metal nitrides
ES2067410B1 (es) * 1993-06-10 1995-11-01 Univ Vigo Recubrimientos de nitruro de silicio producidos mediante lampara excimera de descarga silenciosa.
GB9622177D0 (en) * 1996-10-24 1996-12-18 Xaar Ltd Passivation of ink jet print heads
KR100244484B1 (ko) * 1997-07-02 2000-02-01 김영환 반도체소자의 제조방법
US5966624A (en) * 1997-07-29 1999-10-12 Siemens Aktiengesellschaft Method of manufacturing a semiconductor structure having a crystalline layer
US5874368A (en) * 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
US7804115B2 (en) 1998-02-25 2010-09-28 Micron Technology, Inc. Semiconductor constructions having antireflective portions
US6274292B1 (en) 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
US5976991A (en) * 1998-06-11 1999-11-02 Air Products And Chemicals, Inc. Deposition of silicon dioxide and silicon oxynitride using bis(tertiarybutylamino) silane
US6365231B2 (en) 1998-06-26 2002-04-02 Kabushiki Kaisha Toshiba Ammonium halide eliminator, chemical vapor deposition system and chemical vapor deposition process
US6268282B1 (en) * 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US6281100B1 (en) 1998-09-03 2001-08-28 Micron Technology, Inc. Semiconductor processing methods
US6458512B1 (en) 1998-10-13 2002-10-01 3M Innovative Properties Company Oxynitride encapsulated electroluminescent phosphor particles
US6828683B2 (en) 1998-12-23 2004-12-07 Micron Technology, Inc. Semiconductor devices, and semiconductor processing methods
US7235499B1 (en) * 1999-01-20 2007-06-26 Micron Technology, Inc. Semiconductor processing methods
US7067414B1 (en) 1999-09-01 2006-06-27 Micron Technology, Inc. Low k interlevel dielectric layer fabrication methods
US6440860B1 (en) 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US6391803B1 (en) * 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
JP2003166060A (ja) * 2001-11-30 2003-06-13 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Cvd法によるシリコン窒化物膜、シリコンオキシ窒化物膜、またはシリコン酸化物膜の製造方法
US20030232501A1 (en) 2002-06-14 2003-12-18 Kher Shreyas S. Surface pre-treatment for enhancement of nucleation of high dielectric constant materials
US7122222B2 (en) * 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
JP4279176B2 (ja) * 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) * 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060045986A1 (en) 2004-08-30 2006-03-02 Hochberg Arthur K Silicon nitride from aminosilane using PECVD
JP2006120992A (ja) * 2004-10-25 2006-05-11 C Bui Res:Kk シリコン窒化膜の製造方法及びその製造装置
JP2006261434A (ja) * 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7875556B2 (en) * 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
JP5120492B2 (ja) 2009-03-04 2013-01-16 富士通株式会社 プリント基板モジュール
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
CN103602961B (zh) * 2013-11-26 2015-12-09 晶澳太阳能有限公司 一种具有高并联电阻的晶体硅太阳能电池的镀膜方法
KR102128589B1 (ko) * 2013-11-28 2020-06-30 에스피피 테크놀로지스 컴퍼니 리미티드 질화 실리콘막, 이의 제조방법, 및 이의 제조장치
TWI716333B (zh) * 2015-03-30 2021-01-11 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 碳矽烷與氨、胺類及脒類之觸媒去氫耦合
CN111118609A (zh) * 2020-02-03 2020-05-08 深圳市拉普拉斯能源技术有限公司 一种用于气体扩散的管式炉结构
WO2023168082A1 (en) * 2022-03-04 2023-09-07 Entegris, Inc. Process for preparing silicon-rich silicon nitride films

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4158717A (en) * 1977-02-14 1979-06-19 Varian Associates, Inc. Silicon nitride film and method of deposition
US4702936A (en) * 1984-09-20 1987-10-27 Applied Materials Japan, Inc. Gas-phase growth process
JPS61117841A (ja) * 1984-11-14 1986-06-05 Hitachi Ltd シリコン窒化膜の形成方法
JPS61234534A (ja) * 1985-04-11 1986-10-18 Semiconductor Energy Lab Co Ltd 窒化珪素被膜作成方法
JPS6414927A (en) * 1987-07-09 1989-01-19 Kojundo Kagaku Kenkyusho Kk Forming method of silicon nitride film or silicon oxynitride film
US4855258A (en) * 1987-10-22 1989-08-08 Ncr Corporation Native oxide reduction for sealing nitride deposition
US4877651A (en) * 1988-05-31 1989-10-31 Olin Corporation Process for thermally depositing silicon nitride and silicon dioxide films onto a substrate
US4992306A (en) * 1990-02-01 1991-02-12 Air Products Abd Chemicals, Inc. Deposition of silicon dioxide and silicon oxynitride films using azidosilane sources
US5040046A (en) * 1990-10-09 1991-08-13 Micron Technology, Inc. Process for forming highly conformal dielectric coatings in the manufacture of integrated circuits and product produced thereby

Also Published As

Publication number Publication date
DE69114373T2 (de) 1996-04-25
EP0464515B1 (en) 1995-11-08
JP2637265B2 (ja) 1997-08-06
DE69114373D1 (de) 1995-12-14
EP0464515A2 (en) 1992-01-08
EP0464515A3 (en) 1992-05-06
JPH0459971A (ja) 1992-02-26
KR920000967A (ko) 1992-01-29
US5234869A (en) 1993-08-10

Similar Documents

Publication Publication Date Title
KR930011414B1 (ko) 질화규소막의 형성방법
KR100687948B1 (ko) 실리콘산화막을 형성하는 방법 및 장치
US8673790B2 (en) Method of manufacturing a semiconductor device, method of cleaning a process vessel, and substrate processing apparatus
US20180171479A1 (en) Materials and coatings for a showerhead in a processing system
US7651953B2 (en) Method to form ultra high quality silicon-containing compound layers
EP1216106B1 (en) Improved apparatus and method for growth of a thin film
US6825051B2 (en) Plasma etch resistant coating and process
JP4430918B2 (ja) 薄膜形成装置の洗浄方法及び薄膜形成方法
US8697578B2 (en) Film formation apparatus and method for using same
US9157151B2 (en) Elimination of first wafer effect for PECVD films
US8080477B2 (en) Film formation apparatus and method for using same
US20080286981A1 (en) In situ silicon and titanium nitride deposition
US20090114156A1 (en) Film formation apparatus for semiconductor process
KR900008970B1 (ko) 인규산 글라스(psg) 코팅 형성 공정
CN110190008B (zh) 对处理容器内的部件进行清洁的方法、半导体器件的制造方法、衬底处理装置、及记录介质
US20130068320A1 (en) Protective material for gas delivery in a processing system
JP5197554B2 (ja) 薄膜形成装置の洗浄方法及び薄膜形成方法
JP2001068468A (ja) 成膜方法
JPS6168393A (ja) ホツトウオ−ル形エピタキシヤル成長装置
WO1997022992A1 (en) Method of forming dielectric films with reduced metal contamination
TW202413682A (zh) 在半導體處理設備中鉬氧鹵化物副產物的原位處理
TW202413687A (zh) 單晶圓反應器、低溫熱矽氮化物沉積
JPH09246260A (ja) プラズマcvd装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
G160 Decision to publish patent application
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20031128

Year of fee payment: 11

LAPS Lapse due to unpaid annual fee