KR20230033129A - 티칭 장치 및 이를 이용한 기판 정렬 장치 - Google Patents

티칭 장치 및 이를 이용한 기판 정렬 장치 Download PDF

Info

Publication number
KR20230033129A
KR20230033129A KR1020210114082A KR20210114082A KR20230033129A KR 20230033129 A KR20230033129 A KR 20230033129A KR 1020210114082 A KR1020210114082 A KR 1020210114082A KR 20210114082 A KR20210114082 A KR 20210114082A KR 20230033129 A KR20230033129 A KR 20230033129A
Authority
KR
South Korea
Prior art keywords
aligner
vision sensor
disposed
loading area
substrate
Prior art date
Application number
KR1020210114082A
Other languages
English (en)
Inventor
이태형
이호현
송종민
윤용
최재무
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020210114082A priority Critical patent/KR20230033129A/ko
Priority to US17/713,541 priority patent/US20230064941A1/en
Priority to CN202211033019.7A priority patent/CN115910846A/zh
Publication of KR20230033129A publication Critical patent/KR20230033129A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23QDETAILS, COMPONENTS, OR ACCESSORIES FOR MACHINE TOOLS, e.g. ARRANGEMENTS FOR COPYING OR CONTROLLING; MACHINE TOOLS IN GENERAL CHARACTERISED BY THE CONSTRUCTION OF PARTICULAR DETAILS OR COMPONENTS; COMBINATIONS OR ASSOCIATIONS OF METAL-WORKING MACHINES, NOT DIRECTED TO A PARTICULAR RESULT
    • B23Q3/00Devices holding, supporting, or positioning work or tools, of a kind normally removable from the machine
    • B23Q3/15Devices for holding work using magnetic or electric force acting directly on the work
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/16Programme controls
    • B25J9/1679Programme controls characterised by the tasks executed
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/16Programme controls
    • B25J9/1694Programme controls characterised by use of sensors other than normal servo-feedback from position, speed or acceleration sensors, perception control, multi-sensor controlled systems, sensor fusion
    • B25J9/1697Vision controlled systems
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/022Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness by means of tv-camera scanning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02NELECTRIC MACHINES NOT OTHERWISE PROVIDED FOR
    • H02N13/00Clutches or holding devices using electrostatic attraction, e.g. using Johnson-Rahbek effect

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 발명의 일 실시예는, 챔버; 상기 챔버의 내부에 배치되며, 측벽으로 둘러싸인 로딩 영역을 제공하는 정전 척; 상기 정전 척의 상기 로딩 영역에 로딩되는 얼라이너; 상기 정전 척의 상부에 배치되며, 상기 얼라이너와 상기 측벽 사이의 이격 영역들의 이격 거리들을 산출한 측정 데이터들을 전송하는 비전 센서; 상기 얼라이너를 상기 로딩 영역의 기준 위치에 로딩하고, 상기 비전 센서를 상기 정전 척의 상기 상부에 배치하는 이송 로봇; 및 상기 비전 센서로부터 전송된 상기 측정 데이터들을 기초로 상기 이격 거리들이 균등해지도록 상기 기준 위치를 재설정하는 제어부를 포함하는 티칭 장치를 제공한다.

Description

티칭 장치 및 이를 이용한 기판 정렬 장치{TEACHING APPARATUS AND SUBSTRATE ALIGNMENT APPARATUS USING THE SAME}
본 발명은 티칭 장치 및 이를 이용한 기판 정렬 장치에 관한 것이다.
반도체 소자들은 소형화, 다기능화, 및/또는 낮은 제조 비용 등의 특성들로 인하여 전자 산업에서 널리 사용되고 있다. 반도체 소자들은 포토리소그라피 공정, 식각 공정, 증착 공정, 이온 주입 공정, 및 세정 공정과 같은 다양한 제조 공정들에 의해 제조될 수 있다.
이러한 제조 공정들은 공정 챔버 내에 기판에 배치하고 수행될 수 있다. 그러나, 제조 공정들을 수행하기 전에 위해 준비하는 과정에서 공정 챔버 내부를 구성하는 부품들의 위치가 변동될 수 있다. 부품들의 위치가 변동되면 제조 공정들의 공정 조건에 영향을 미칠 수 있다. 따라서, 공정 챔버 내의 부품들의 미세한 위치 변화를 정밀하게 검사하고, 이를 반영하여 기판을 공정 챔버 내의 목표 위치에 안착시키기 위한 다양한 연구가 진행되고 있다.
본 발명의 기술적 사상이 이루고자 하는 기술적 과제 중 하나는, 공정 챔버 내의 부품들의 미세한 위치 변화를 반영하여 이송 로봇의 기준 위치를 재조정할 수 있는 티칭 장치를 제공하는 데 있다.
본 발명의 기술적 사상이 이루고자 하는 기술적 과제 중 하나는, 기판을 공정 챔버 내의 목표 위치에 안착시킬 수 있는 기판 정렬 장치를 제공하는 데 있다.
본 발명의 일 실시예는, 챔버; 상기 챔버의 내부에 배치되며, 측벽으로 둘러싸인 로딩 영역을 제공하는 정전 척; 상기 정전 척의 상기 로딩 영역에 로딩되는 얼라이너; 상기 정전 척의 상부에 배치되며, 상기 얼라이너와 상기 측벽 사이의 이격 영역들의 이격 거리들을 산출한 측정 데이터들을 전송하는 비전 센서; 상기 얼라이너를 상기 로딩 영역의 기준 위치에 로딩하고, 상기 비전 센서를 상기 정전 척의 상기 상부에 배치하는 이송 로봇; 및 상기 비전 센서로부터 전송된 상기 측정 데이터들을 기초로 상기 이격 거리들이 균등해지도록 상기 기준 위치를 재설정하는 제어부를 포함하는 티칭 장치를 제공한다.
본 발명의 일 실시예는, 측벽으로 둘러싸인 로딩 영역을 제공하는 기판 지지 유닛; 상기 로딩 영역에 안착되는 얼라이너; 상기 얼라이너의 상부에 배치되며, 상기 얼라이너와 상기 측벽 사이의 이격 거리를 측정한 측정 데이터를 전송하는 비전 센서; 상기 로딩 영역에 상기 얼라이너를 로딩하고 상기 비전 센서를 상기 로딩 영역의 상기 상부에 배치하는 이송 로봇; 및 상기 비전 센서로부터 전송된 상기 측정 데이터를 기초로 상기 이송 로봇의 위치를 티칭하는 제어부를 포함하는 티칭 장치를 제공한다.
본 발명의 일 실시예는, 기판을 처리하는 내부 공간을 갖는 챔버; 상기 내부 공간 내에 배치되며, 측벽으로 둘러싸인 로딩 영역을 제공하는 기판 지지 유닛; 상기 로딩 영역에 안착되는 얼라이너; 상기 얼라이너와 상기 측벽 사이의 이격 거리를 측정한 측정 데이터를 전송하는 비전 센서; 상기 내부 공간에 상기 얼라이너, 상기 비전 센서 및 상기 기판을 반입 및 반출하는 이송 로봇; 및 상기 비전 센서로부터 전송된 상기 측정 데이터를 기초로 상기 이송 로봇을 티칭하여, 상기 로딩 영역에 상기 기판을 로딩하는 기준 위치를 보정하는 제어부를 포함하는 기판 정렬 장치를 제공한다.
본 발명의 기술적 사상에 따른 티칭 장치는 공정 챔버 내의 부품들의 미세한 위치 변화를 반영하여 이송 로봇의 기준 위치를 재조정할 수 있다.
본 발명의 기술적 사상에 따른 기판 정렬 장치는 공정 챔버 내의 부품들의 미세한 위치 변화를 반영하여 기판을 공정 챔버 내의 목표 위치에 안착시킬 수 있다.
본 발명의 다양하면서도 유익한 장점과 효과는 상술한 내용에 한정되지 않으며, 본 발명의 구체적인 실시예를 설명하는 과정에서 보다 쉽게 이해될 수 있을 것이다.
도 1은 본 발명의 일 실시예에 의한 티칭 장치의 개략적인 측면도이다.
도 2는 도 1의 이송 장치에 비전 센서가 로딩된 것을 도 1의 I 방향에서 바라본 평면도이다.
도 3은 도 2의 비전 센서의 정면도이다.
도 4는 도 2의 비전 센서의 배면도이다.
도 5는 도 3의 II-II'를 따라 절개하고 바라본 단면도이다.
도 6은 도 3의 회로부와 도 2의 제어부의 개념도이다.
도 7(a) 내지 도 7(c)는 도 1의 얼라이너의 다양한 실시예들이다.
도 8은 이미지 스캐닝 모듈의 동작을 나타내는 개념도이다.
도 9는 비전 센서가 타깃의 대상 이미지를 획득하는 방법을 나타내는 개념도이다.
도 10은 도 9에서 비전 센서가 획득한 대상 이미지이다.
도 11은 본 발명의 일 실시예에 따른 기판 정렬 장치를 이용하여 기판을 정렬하는 과정을 도시한 순서도이다.
도 12는 도 11의 S40 단계 및 S50단계를 구체적으로 설명한 순서도이다.
도 13은 도 1의 티칭 장치가 채용된 기판 정렬 장치의 일 예를 나타내는 개략적인 측면도이다.
도 14 내지 도 19는 도 13의 기판 정렬 장치에 의해 기판이 정렬되는 과정을 나타내는 개략적인 평면도이다.
이하, 첨부된 도면을 참조하여 본 발명의 실시예들을 다음과 같이 설명한다.
도 1은 본 발명의 일 실시예에 의한 티칭 장치의 개략적인 측면도이고, 도 2는 도 1의 이송 장치에 비전 센서가 로딩된 것을 도 1의 I 방향에서 바라본 평면도이다. 도 3은 도 2의 비전 센서의 정면도이고, 도 4는 도 2의 비전 센서의 배면도이다. 도 5는 도 3의 II-II'를 따라 절개하고 바라본 단면도이고, 도 6은 도 3의 회로부와 도 2의 제어부의 개념도이다. 도 3에서, 도 5에 도시된 커버 부재(cover member, 500)의 도시는 생략된다.
도 1을 참조하면, 본 발명의 일 실시예에 의한 티칭 장치(1)는 정전 척(electrostatic chuck, 970), 이송 로봇(950), 얼라이너(aligner, 20), 비전 센서(vision sensor, 10) 및 제어부(800)를 포함할 수 있다. 일 실시예의 티칭 장치(1)는 정전 척(970)에 로딩(loading)된 얼라이너(20)의 상부에 비전 센서(10)를 배치하고, 비전 센서(10)를 통해 정전 척(970)의 측벽과 얼라이너(20)의 에지(egde) 사이의 이격 거리를 측정할 수 있으며, 측정된 이격 거리를 기초로 이송 로봇(950)의 동작을 보정하여, 후속 공정에서 이송 로봇(950)이 반도체 기판을 정전 척(970)의 목표 위치에 배치되도록 할 수 있다.
정전 척(970)은 하부전극으로 기능하는 베이스 바디(972), 베이스 바디(972)의 상면에 부착되고 내부에 전극을 포함하는 플레이트(974), 플레이트(974)의 외주면을 둘러싸는 에지 링(edge ring, 975), 에지 링(975) 상에 배치되는 포커스 링(focus ring, 976), 포커스 링(976)을 둘러싸는 절연 링(insulation ring, 977)을 포함할 수 있다.
플레이트(974)는 상면에 얼라이너(20)가 안착되는 로딩 영역(LA)을 제공하며, 플레이트(974)의 로딩 영역(LA)은 원형으로 형성될 수 있다. 플레이트(974)의 상부(974A)와 하부(974B)의 형상은 서로 다를 수 있다. 예를 들어, 플레이트(974)의 하부(974B)의 직경은 상부(974A)의 직경 보다 클 수 있다. 이 경우, 플레이트(974)의 측면은 단차를 가질 수 있다. 다만, 이에 제한되는 것은 아니며, 플레이트(974)의 상부(974A)의 직경과 하부(974B)의 직경은 동일할 수 있다.
포커스 링(976)은 플레이트(974)의 상부(974A)를 둘러 싸는 링(ring) 형태를 가질 수 있다. 포커스 링(976)의 일부는 얼라이너(20)가 배치되는 플레이트(974)의 상부(974A)를 둘러쌀 수 있다.
포커스 링(976)의 일부는 얼라이너(20)의 에지 아래에 배치되고, 플레이트(974)의 상부(974A)의 측면을 덮을 수 있다. 이에 대해서는 도 8을 참조하여 설명한다. 포커스 링(976)은 내측면에 단차가 형성될 수 있다. 포커스 링(976)의 상면은 제1 상면(976U1) 및 제2 상면(976U2)을 포함할 수 있다. 제1 상면(976U1)은 얼라이너(20)의 상면(21) 보다 높은 레벨에 배치되며, 제2 상면(976U2)은 얼라이너(20)의 상면(21) 보다 낮은 레벨에 배치될 수 있다. 제1 상면(976U1)과 제2 상면(976U2)을 연결하는 측면(976S)은 얼라이너(20)의 에지와 이격 거리(D)를 두고 이격되어 배치될 수 있다.
다시 도 1을 참조하면, 포커스 링(976)은 플레이트(974)의 하부(974B)의 상면의 적어도 일부를 덮을 수 있다. 포커스 링(976)은 예를 들어, 실리콘(Si), 실리콘 카바이드(SiC), 및 쿼츠(quartz) 등으로 이루어질 수 있다.
에지 링(975)은 플레이트(974)의 하부(974B)를 둘러싸는 링 형태를 가질 수 있다. 에지 링(975)은 포커스 링(976) 및 절연 링(977)의 아래에 배치되고, 포커스 링(976) 및 절연 링(977)을 지지할 수 있다. 에지 링(975)은 금속성 물질을 포함할 수 있다. 예를 들어, 에지 링(975)은 알루미늄을 포함할 수 있다.
절연 링(977)은 지지 링(978)에 의하여 지지될 수 있다. 지지 링(978)은 절연 링(977)의 하면의 일부와 접촉할 수 있다. 도 1에 도시된 것과 같이, 지지 링(978)은 에지 링(975)의 외주면을 둘러싸도록 배치될 수 있다.
베이스 바디(972)는 플레이트(974), 에지 링(975) 및 지지 링(978)의 아래에 배치될 수 있다. 베이스 바디(972)는 절연성 물질을 포함할 수 있으며, 예를 들어 세라믹을 포함할 수 있다.
이송 로봇(950)은 얼라이너(20)를 정전 척(970)의 로딩 영역(LA)에 로딩 하거나, 정전 척(970)에 로딩된 얼라이너(20)를 언로딩할 수 있다. 또한, 이송 로봇(950)은 얼라이너(20)의 상부에 비전 센서(10)를 배치할 수 있다.
이송 로봇(950)은 다단의 아암(arm)(953)을 가질 수 있으며, 아암(953)의 단부에는 적재물이 적재되는 핸드(hand)(954)가 배치될 수 있다. 아암(953)은 구동축(952)에 연결되어 상하로 이동할 수 있으며, 구동부(951)의 회전에 의해 구동축(952)을 중심으로 회전 이동할 수 있다. 이송 로봇(950)은 제어부(800)에 의해 동작이 제어될 수 있다. 일 실시예의 경우, 이송 로봇(950)의 핸드(954)에 적재물은 얼라이너(20) 및 비전 센서(10)일 수 있다. 이송 로봇(950)은 제어부(800)의 제어에 따라, 적재물을 로딩 영역(LA)의 기준 위치에 반복하여 로딩하거나, 기준 위치의 상부에 배치할 수 있다. 예를 들어, 이송 로봇(950)은 얼라이너(20)를 로딩 영역(LA)의 기준 위치에 반복적으로 로딩 또는 언로딩할 수 있으며, 비전 센서(10)를 기준 위치의 상부에 배치할 수 있다.
얼라이너(20)는 비전 센서(10)에 의해 획득되는 대상 이미지의 식별성을 향상시키기 위한 것으로, 얼라이너(20)는 플레이트(974)의 상부(974A)의 로딩 영역(LA)에 안착될 수 있다. 얼라이너(20)는 집적회로의 형성을 위해 이용되는 반도체 기판과 실질적으로 동일한 형상 및 재질로 형성될 수 있다. 일부 실시예들에 따르면, 얼라이너(20)는 웨이퍼 형태를 가질 수 있다. 예를 들어, 얼라이너(20)는 200mm 또는 300mm의 직경을 갖는 웨이퍼 형태를 가질 수 있다. 실시예에 따라서, 얼라이너(20)의 상면은 비전 센서(10)에서 투영된 패턴들이 용이하게 인식되게 하기 위하여, 표면 처리되거나, 마커(marker)가 배치될 수 있다.
이와 관련하여, 도 7(a) 내지 도 7(c)를 참조하여 설명한다. 도 7(a) 내지 도 7(c)는 도 1의 얼라이너(20)의 다양한 실시예들이다.
도 7(a)를 참조하면, 얼라이너(20A)의 상면(21A)은 블래스팅(blasting) 처리될 수 있다. 얼라이너(20A)의 상면(21A)의 반사도가 지나치게 높으면, 비전 센서(10)에서 조사된 레이저 광이 얼라이너(20A)의 상면(21A)에서 반사되어, 얼라이너(20)의 위치를 식별하기 어려울 수 있다. 얼라이너(20A)의 상면(21A)을 블래스팅 처리하면, 상면(21A)의 반사도가 감소되어 비전 센서(10)를 통해 용이하게 얼라이너(20)의 위치를 식별할 수 있다.
도 7(b)를 참조하면, 얼라이너(20B)의 상면(21B)에 십자형의 마커(22)가 형성될 수 있다. 도 7(c)를 참조하면, 얼라이너(20C)의 상면(21C)에 원형의 마커(23)가 형성될 수 있다. 이 경우, 제어부(800)에는 마커(22, 23)와 얼라이너(20)의 에지(E) 사이의 간격(G)의 값이 미리 저장되어 있을 수 있다. 따라서, 제어부(800)는 마커(22, 23)의 위치를 식별하고, 식별된 마커(22, 23)의 위치로부터 얼라이너(20)의 에지(E)의 위치를 산출할 수 있다.
다시, 도 1 및 도 2를 참조하면, 비전 센서(10)는 이송 로봇(950)의 핸드(954)에 적재되어 얼라이너(20)의 상부에 배치될 수 있다. 도 3, 도 4, 및 도 5를 참조하면, 비전 센서(10)는 지지 부재(support member, 100)를 포함할 수 있다. 지지 부재(100)는 웨이퍼 형태를 가질 수 있으나, 이에 한정되지 않는다. 지지 부재(100)는 웨이퍼 형태, 십자 형태 등 다양한 형태를 가질 수 있다. 지지 부재(100)는 서로 대향하는 제1 면(101) 및 제2 면(102)을 가질 수 있고, 이를 관통하는 복수의 홀들(120H, 130H, 140H)을 포함할 수 있다.
도 5 및 도 9를 참조하면, 비전 센서(10)는 얼라이너(20)의 에지(E)와 포커스 링(976)의 측벽(976S) 사이의 이격 거리(D)를 식별하기 위한 것이다. 비전 센서(10)는 지지 부재(100)의 제1 면(101) 상에 배치되는 이미지 스캐닝 모듈(150)을 포함할 수 있다. 이미지 스캐닝 모듈(150)은 타깃(target, TG)의 이미지를 획득하기 위한 것으로, 타깃(TG)에는 얼라이너(20)의 일 영역, 포커스 링(976)의 일 영역, 및 얼라이너(20)의 에지(E)와 포커스 링(976)의 측벽(976S) 사이의 이격 영역(SA)이 포함될 수 있다.
이미지 스캐닝 모듈(150)은 카메라(110), 제1 조명기(130)및 제2 조명기(140)를 포함할 수 있다. 카메라(110)는 카메라(110)의 렌즈부(120)가 복수의 홀들(120H, 130H, 140H) 중 대응하는 홀(120H)과 중첩하도록 지지 부재(100) 상에 배치될 수 있다. 카메라(110)는 대응하는 홀(120H)을 통해 타깃의 이미지를 스캔하도록 구성될 수 있다. 제1 조명기(130)는 복수의 홀들(120H, 130H, 140H) 중 대응하는 홀(130H)과 중첩하도록 지지 부재(100) 상에 배치될 수 있다. 제1 조명기(130)는 대응하는 홀(130H)을 통해 타깃 상으로 패턴(예를 들어, 프린지 패턴(fringe pattern))을 투영하도록 구성될 수 있다. 제2 조명기(140)는 복수의 홀들(120H, 130H, 140H) 중 대응하는 홀(140H)과 중첩하도록 지지 부재(100) 상에 배치될 수 있다. 제2 조명기(140)는 대응하는 홀(134H)을 통해 타깃 상으로 가시광선 광을 조사하도록 구성될 수 있다. 실시예에 따라서, 제2 조명기(140)는 생략될 수 있다.
도 3을 참조하면, 이미지 스캐닝 모듈(150)은 복수 개로 제공될 수 있다. 이 경우, 복수의 이미지 스캐닝 모듈들(150)이 지지 부재(100)의 제1 면(101) 상에 서로 이격되도록 배치될 수 있다. 예를 들어, 복수의 이미지 스캐닝 모듈들(150)은 지지 부재(100)의 가장자리를 따라 서로 등간격으로 이격되도록 배열될 수 있다. 고정 부재(fixing member, 300)가 지지 부재(100)의 제1 면(101) 상에 제공될 수 있고, 복수의 이미지 스캐닝 모듈들(150)은 고정 부재(300)에 결합될 수 있다. 복수의 이미지 스캐닝 모듈들(150)은 고정 부재(300)에 의해 지지 부재(100) 상에 고정될 수 있으나, 이에 한정하는 것은 아니다. 실시예에 따라서, 고정 부재(300)는 생략될 수도 있다. 도 3은 4개의 이미지 스캐닝 모듈들(150)이 지지 부재(100) 상에 등간격으로 배치되는 것을 도시하나, 이에 한정하는 것은 아니다. 실시예에 따라서는, 3개의 이미지 스캐닝 모듈들(150)이 지지 부재(100) 상에 등간격으로 배치될 수도 있다.
도 3 및 도 6을 참조하면, 비전 센서(10)는 지지 부재(100)의 제1 면(101) 상에 배치되는 회로부(400)를 포함할 수 있다. 예를 들어, 회로부(400)는 지지 부재(100)의 중심부에 배치될 수 있으나, 이에 한정하는 것은 아니다. 회로부(400)는 다양한 데이터를 처리하는 프로세서(410), 프로세서(410)에 의해 처리된 다양한 데이터를 제어부(800)와 같은 외부 장치로 전달하고 외부 장치로부터 제공되는 다양한 데이터를 프로세서(410)로 전달하는 무선 통신 모듈(420), 및 비전 센서(10)에 전원을 공급하는 배터리 모듈(430)을 포함할 수 있다. 프로세서(410), 무선 통신 모듈(420), 및 배터리 모듈(430)은 데이터 버스(data bus)를 통해 서로 결합될 수 있다. 프로세서(410)는 이미지 스캐닝 모듈(150)로부터 타깃의 이미지를 수신할 수 있고, 수신된 이미지를 기초로 한 데이터를 생성할 수 있다. 무선 통신 모듈(420)은 프로세서(410)에 의해 생성된 데이터를 제어부(800)로 전달할 수 있다.
도 3, 도 4, 및 도 5를 다시 참조하면, 비전 센서(10)는 지지 부재(100)의 제1 면(101) 상에 배치되는 커버 부재(500)를 포함할 수 있다. 커버 부재(500)는 지지 부재(100)의 제1 면(101)을 덮을 수 있고, 이미지 스캐닝 모듈(150), 고정 부재(300), 및 회로부(400)를 덮을 수 있다. 지지 부재(100) 및 커버 부재(500)는 하우징(600)을 구성할 수 있고, 이미지 스캐닝 모듈(150), 고정 부재(300), 및 회로부(400)가 하우징(600) 내부에 제공될 수 있다. 하우징(600)은 웨이퍼 형태를 가질 수 있으나, 이에 한정하는 것은 아니다. 하우징(600)은 웨이퍼 형태, 십자 형태 등 다양한 형태를 가질 수 있다. 일부 실시예들에 따르면, 하우징(600)은 반도체 집적회로의 형성을 위해 이용되는 반도체 기판 보다 큰 직경을 갖는 웨이퍼 형태를 가질 수 있다.
도 8은 이미지 스캐닝 모듈의 동작을 나타내는 개념도이고, 도 9는 비전 센서가 타깃의 대상 이미지를 획득하는 방법을 나타내는 개념도이다. 도 10은 도 9에서 비전 센서가 획득한 대상 이미지이다.
도 8 및 도 9를 참조하면, 비전 센서(10)가 얼라이너(20)의 상부에 배치될 수 있다. 이미지 스캐닝 모듈(150)의 제1 조명기(130)는 대응하는 홀(130H)을 통해 얼라이너(20)의 에지 상에 제1 광(L1)을 조사할 수 있다. 제1 광(L1)은 타깃(TG)에 대하여 일 각도(θ)로 기울어지도록 조사될 수 있고, 패턴(예를 들어, 프린지 패턴)이 제1 광(L1)에 의해 타깃(TG) 상에 투영될 수 있다. 제1 광(L1)은 예를 들어, 레이저 광일 수 있다. 이미지 스캐닝 모듈(150)의 카메라(110)는 패턴(예를 들어, 프린지 패턴)이 투영된 타깃(TG)의 이미지를 스캔할 수 있다. 이에 따라, 타깃의 제1 대상 이미지가 이미지 스캐닝 모듈(150)에 의해 획득될 수 있다.
이미지 스캐닝 모듈(150)에 의해 획득된 제1 대상 이미지는 회로부(400)로 전달될 수 있다. 예를 들어, 도 6의 프로세서(410)는 이미지 스캐닝 모듈(150)로부터 제1 대상 이미지를 수신할 수 있고, 제1 대상 이미지를 처리함으로써 제1 대상 이미지를 기초로 한 데이터(이하, '측정 데이터'라 함)를 생성할 수 있다. 프로세서(410)는 제1 대상 이미지를 기초로 타깃(TG)의 3차원적 모델을 생성하고, 생성된 3차원 모델을 기초로 얼라이너(20)의 에지(E)와 포커스 링(976)의 측벽(976S) 사이의 이격 거리(D)를 포함하는 측정 데이터를 생성할 수 있다. 프로세서(410)에 의해 생성된 측정 데이터는 도 6의 무선 통신 모듈(420)을 통해 제어부(800)로 전달될 수 있다.
도 8, 도 9, 및 도 10을 참조하면, 이미지 스캐닝 모듈(150)의 제1 조명기(130)는 레이저 광원(132), 및 레이저 광원(132)으로부터 방출되는 제1 광(L1, 즉, 레이저 광)의 광 경로를 제어하는 광학 부재(optical member, 134)를 포함할 수 있다. 레이저 광원(132)은 그 장축(132a)이 지지 부재(100)의 제1 면(101)에 평행하도록 배치될 수 있다. 광학 부재(134)는 제1 광(L1)이 대응하는 홀(130H)을 통해 타깃(TG)의 표면에 대하여 일 각도(θ)로 기울어지게 조사되도록 제1 광(L1)의 광 경로를 제어할 수 있다. 패턴(FP, 예를 들어, 프린지 패턴)은 제1 광(L1)에 의해 타깃(TG) 상에 투영될 수 있다. 이미지 스캐닝 모듈(150)의 카메라(110)는 패턴(FP)이 투영된 타깃(TG)의 이미지를 스캔(예를 들어, 촬영)할 수 있고, 이에 따라, 타깃(TG)의 제1 대상 이미지(IMG)가 획득될 수 있다. 패턴(FP)은 예를 들어, 라인 형태를 갖는 프린지 패턴일 수 있다. 이하에서, 설명의 간소화를 위해, 패턴(FP)이 라인 형태를 갖는 프린지 패턴인 경우를 예시적으로 설명하나, 이에 한정하는 것은 아니다. 패턴(FP)은 다양한 형태를 갖는 프린지 패턴일 수 있다.
타깃(TG)의 표면이 평면인 경우, 패턴(FP)은 타깃(TG)의 표면 상에 단일 라인 형태로 투영될 수 있다. 따라서, 제1 대상 이미지 중 단일 라인 형태로 나타난 부분은 평면임을 알 수 있다.
또한, 도 9에 도시된 바와 같이, 타깃(TG)의 표면이 서로 다른 레벨의 평면으로 이루어진 경우에는, 도 10에 도시된 바와 같이, 패턴(FP)은 표면의 레벨에 따라 복수의 세그먼트로 분할되어 투영될 수 있다. 즉, 포커스 링(976)의 제1 상면(976U1) 중 일부에는 제1 세그먼트(SG1)가 투영되고, 포커스 링(976)의 제2 상면(976U2) 중 일부에는 제2 세그먼트(SG2)가 투영되고, 얼라이너(20)의 상면(21) 중 일부에는 제3 세그먼트(SG3)가 투영될 수 있다. 따라서, 도 10에 도시된 제2 세그먼트(SG2)의 길이(d)를 측정하면 포커스 링(976)의 측벽(976S)과 얼라이너(20)의 에지(E) 사이의 이격 거리(D)를 산출할 수 있다. 도 6의 프로세서(410)는, 상술한 방법에 따라 제1 대상 이미지(IMG)에 포함된 패턴(FP)의 형태를 분석함으로써, 포커스 링(976)의 측벽(976S)과 얼라이너(20)의 에지(E) 사이의 이격 거리(D)를 산출할 수 있다. 프로세서(410)는 산출된 이격 거리(D)를 포함하는 측정 데이터를 생성할 수 있다. 이미지 스캐닝 모듈(150)이 복수개인 경우, 이미지 스캐닝 모듈(150)의 개수와 대응되는 개수의 측정 데이터가 생성될 수 있다. 프로세서(410)에 의해 생성된 측정 데이터는 도 6의 무선 통신 모듈(420)을 통해 제어부(800)로 전달될 수 있다.
이미지 스캐닝 모듈(150)의 제2 조명기(140)는 LED 광원을 포함할 수 있다. 예를 들어, LED 광원은 가시광선 광을 포함하는 제2 광(L2)을 방출할 수 있다. 제2 조명기(140)는 대응하는 홀(140H)을 통해 얼라이너(20)의 타깃(TG)에 제2 광(L2)을 조사할 수 있다. 제2 광(L2)은 타깃(TG)을 전체적으로 밝히도록 넓은 지향각으로 조사될 수 있다.
이미지 스캐닝 모듈(150)의 카메라(110)는 제2 광(L2)이 조사된 타깃(TG)의 이미지를 스캔할 수 있다. 이에 따라, 타깃의 제2 대상 이미지가 이미지 스캐닝 모듈(150)에 의해 획득될 수 있다. 제2 대상 이미지는 제1 대상 이미지와 달리 타깃(TG)의 표면을 전체적 스캔한 이미지로 나타날 수 있다. 즉, 제2 대상 이미지는 타깃의 표면을 촬영한 이미지일 수 있다.
제2 대상 이미지는 제1 대상 이미지 만으로 포커스 링(976)의 측벽(976S)과 얼라이너(20)의 에지(E) 사이의 이격 거리(D)를 산출하지 못한 경우에, 보조적으로 이격 거리(D)를 산출하기 위해 획득될 수 있다. 포커스 링(976)이 반사도가 높은 실리콘(Si)과 같은 물질로 이루어진 경우에, 제1 조명기(130)에서 방출되는 라인 형태의 레이저 광은 포커스 링(976)의 표면에서 반사되므로, 제1 대상 이미지를 통해 식별이 어려울 수 있다. 따라서, 제1 대상 이미지만으로는 포커스 링(976)의 측벽(976S)의 위치를 식별하지 못하여, 포커스 링(976)의 측벽(976S)과 얼라이너(20)의 에지(E) 사이의 이격 거리(D)를 산출하는 것이 어려울 수 있다. 이 경우, 제2 대상 이미지를 통해 포커스 링(976)의 측벽(976S)의 위치를 식별하고, 제1 대상 이미지에서 식별된 얼라이너(20)의 에지(E)를 참조하여, 포커스 링(976)의 측벽(976S)과 얼라이너(20)의 에지(E) 사이의 이격 거리(D)를 산출할 수 있다.
이미지 스캐닝 모듈(150)에 의해 획득된 제2 대상 이미지는 회로부(400)로 전달될 수 있다. 예를 들어, 도 6의 프로세서(410)는 이미지 스캐닝 모듈(150)로부터 제2 대상 이미지를 수신할 수 있고, 제2 대상 이미지를 화상 처리하여, 얼라이너(20)의 측벽(976S)에 해당하는 라인 에지(line edge)를 추출할 수 있다.
프로세서(410)는 추출된 라인 에지로부터 포커스 링(976)의 측벽(976S)의 위치를 식별하고, 제1 대상 이미지에서 식별된 얼라이너(20)의 에지(E)를 참조하여, 포커스 링(976)의 측벽(976S)과 얼라이너(20)의 에지(E) 사이의 이격 거리(D)를 산출할 수 있다.
프로세서(410)는 산출된 이격 거리(D)를 포함하는 측정 데이터를 생성할 수 있다. 이미지 스캐닝 모듈(150)이 복수개인 경우, 이미지 스캐닝 모듈(150)의 개수와 대응되는 개수의 측정 데이터가 생성될 수 있다. 프로세서(410)에 의해 생성된 측정 데이터는 도 6의 무선 통신 모듈(420)을 통해 제어부(800)로 전달될 수 있다.
제어부(800)는 티칭 장치(1)의 전반적인 동작을 제어하기 위한 것으로, 예를 들어, 중앙처리장치(CPU), 그래픽처리장치(GPU), 마이크로프로세서, 주문형 반도체(Application Specific Integrated Circuit, ASIC), Field Programmable Gate Arrays(FPGA) 등의 프로세서로 구현될 수 있으며, 티칭 장치(1)의 동작에 필요한 각종 데이터를 저장하기 위한 메모리를 구비할 수 있다.
제어부(800)는 비전 센서(10)의 회로부(400)에 포함된 무선 통신 모듈(420)로부터 전송된 측정 데이터를 기초로, 로딩 영역(LA)의 기준 위치를 목표 위치로 보정하기 위한 오프 셋(offset) 값을 산출하고, 산출된 오프 셋 값을 기초로 이송 로봇(950)의 기준 위치를 재설정할 수 있다. 복수의 측정 데이터가 전송된 경우, 오프 셋 값은 포커스 링(976)의 측벽(976S)과 얼라이너(20)의 에지(E) 사이의 복수의 이격 거리(D)가 모두 동일해도록 이송 로봇(950)의 핸드(954)를 이동하는 제어 값을 포함할 수 있다. 제어부(800)는 산출된 오프 셋 값에 따라 이송 로봇(950)의 구동부(951)를 제어하여, 핸드(954)가 로딩 영역(LA) 상에 적재물을 로딩하는 기준 위치를 목표 위치로 보정할 수 있다.
도 11 내지 도 19를 참조하여, 도 1의 티칭 장치(1)가 채용된 기판 정렬 장치(2)를 이용하여 기판이 정렬되는 과정을 설명한다.
도 11은 본 발명의 일 실시예에 따른 기판 정렬 장치를 이용하여 기판을 정렬하는 과정을 도시한 순서도이고, 도 12는 도 11의 S40 단계 및 S50단계를 구체적으로 설명한 순서도이다. 도 13은 도 1의 티칭 장치가 채용된 기판 정렬 장치의 일 예를 나타내는 개략적인 측면도이고, 도 14 내지 도 19는 도 13의 기판 정렬 장치에 의해 기판이 정렬되는 과정을 나타내는 개략적인 평면도이다. 앞서 도 1 내지 도 10과 동일한 도면번호는 동일한 구성이므로, 설명이 반복되는 것을 방지하기 위해, 구체적인 설명은 생략한다.
먼저, 도 14를 참조하면, 반도체 공정 챔버(900)를 유지 보수하기 위한 예방보수(Preventive Maintenance)가 수행될 수 있다. 예방보수를 수행하는 과정에서 반도체 공정 챔버(900)의 부품들의 위치가 미세한 위치 변화가 발생할 수 있다. C1은 플레이트(974)의 상부의 위치하는 로딩 영역(LA)의 중심을 의미한다.
도 11, 도 13 및 도 15을 참조하면, 이송 로봇(950)을 통해 기판 정렬 장치(2)의 반도체 공정 챔버(900) 내부의 배치된 정전 척(970)에 얼라이너(20)가 배치될 수 있다(S10). 예방보수를 수행하는 과정에서 발생한 반도체 공정 챔버(900)의 부품들의 미세한 위치 변화에 의해 얼라이너(20)의 중심(C2)이 로딩 영역(LA)의 중심(C1)과 불일치하는 것을 볼 수 있다. 따라서, 얼라이너(20)의 에지와 포커스 링(976)의 측벽(976S) 사이의 이격 영역(SA)이 전체적으로 균일하지 못한 것을 볼 수 있다.
기판 정렬 장치(2)는 반도체 공정 챔버(900), 반도체 공정 챔버(900)에 연결된 제1 버퍼 챔버(910), 제1 버퍼 챔버(910)에 연결된 제2 버퍼 챔버(920), 및 제2 버퍼 챔버(920)에 연결된 로드 포트(930)를 포함할 수 있다. 반도체 공정 챔버(900)는 반도체 소자의 제조를 위한 단위 공정(일 예로, 식각 공정)이 그 내부에서 수행되도록 구성될 수 있다. 일 예로, 반도체 공정 챔버(900)는 그 내부에 배치되는 정전 척(970)을 포함할 수 있다. 정전 척(970)은 반도체 소자의 제조에 이용되는 반도체 기판(즉, 웨이퍼, W)을 지지하는 기판 지지 유닛의 일 예이다. 정전 척(970)은 앞서 도 1에서 설명한 정전 척(970)과 동일한 구성이므로, 설명이 반복되는 것을 방지하기 위해, 구체적인 설명은 생략한다. 반도체 기판(W)은 정전 척(970)의 플레이트(974) 상에 안착될 수 있다. 반도체 공정 챔버(900)의 내부는 단위 공정의 수행을 위해 진공 및/또는 고온 상태에 있을 수 있다. 제1 버퍼 챔버(910) 및 제2 버퍼 챔버(920)는 반도체 기판을 운송하도록 구성된 제1 이송 로봇(950A) 및 제2 이송 로봇(950B)을 각각 포함할 수 있다. 예를 들어, 제1 이송 로봇(950A) 및 제2 이송 로봇(950B)은, 도 1에서 설명한 이송 로봇(950)과 동일한 구성일 수 있다. 설명이 반복되는 것을 방지하기 위해, 제1 이송 로봇(950A) 및 제2 이송 로봇(950B)에 대한 구체적인 설명은 생략한다. 반도체 기판(W)이 적재된 로드 포트(930)는 외부에 노출될 수 있다.
비전 센서(10) 및 얼라이너(20)가 적재된 저장용기(940)가 로드 포트(930) 상에 제공될 수 있다.
도 11, 도 13 및 도 16을 참조하면, 다음으로, 이송 로봇(950)을 통해 기판 정렬 장치(2)의 반도체 공정 챔버(900) 내부에 비전 센서(10)가 제공될 수 있다(S20). 비전 센서(10)는 제2 이송 로봇(960)에 의해 저장용기(940)로부터 제2 버퍼 챔버(920)로, 그리고 제2 버퍼 챔버(920)로부터 제1 버퍼 챔버(910)로 이동될 수 있다. 제1 버퍼 챔버(910) 내부는 진공 상태에 있을 수 있다. 비전 센서(10)는 제1 이송 로봇(950)에 의해 제1 버퍼 챔버(910)로부터 반도체 공정 챔버(900) 내부로 제공될 수 있고, 이 경우, 반도체 공정 챔버(900)는 진공 및/또는 고온 상태를 유지할 수 있다. 비전 센서(10)는 일 예로, 정전 척(970)의 상부에 배치될 수 있다.
반도체 공정 챔버(900) 내부에 제공된 비전 센서(10)는 기준 위치에 정렬될 수 있다(S30). 비전 센서(10)를 정렬하는 것은, 제어부(800)에 미리 저장된 기준 위치에 따라 정렬될 수 있다. 따라서, 비전 센서(10)의 중심(C3)은 얼라이너(20)의 중심(C2)과 일치할 수 있다. 비전 센서(10)를 정렬하는 것은, 일 예로, 반도체 공정 챔버(900) 내에서 비전 센서(10)의 수평적 위치 또는 수직적 위치를 조절하는 것, 및 비전 센서(10)의 기울기를 조절하는 것을 포함할 수 있다.
도 11, 도 13, 도 17 및 도 18을 참조하면, 비전 센서(10)의 이미지 스캐닝 모듈(150)을 이용하여 타깃(TG1-TG4)의 대상 이미지(IMG1-IMG4)가 획득될 수 있다(S40). 예를 들어, 타깃(TG)에는 얼라이너(20)의 일 영역, 포커스 링(976)의 일 영역, 및 얼라이너(20)의 에지(E)와 포커스 링(976)의 측벽(976S) 사이의 이격 영역(SA)이 포함될 수 있다. 대상 이미지(IMG1-IMG4)를 획득하는 것은, 이미지 스캐닝 모듈(150)의 제1 조명기(130)를 이용하여 얼라이너(20) 및 포커스 링(976) 상에 패턴(FP, 일 예로, 프린지 패턴)을 투영시키는 것, 및 이미지 스캐닝 모듈(150)의 카메라(110)를 이용하여 패턴(FP)이 투영된 얼라이너(20) 및 포커스 링(976)의 이미지를 스캔하는 것을 포함할 수 있다. 예를 들어, 패턴(FP)은 포커스 링(976)의 제1 상면(976U1), 제2 상면(976U2) 및 얼라이너(20)의 상면(21)에 복수의 세그먼트로 분할되어 투영될 수 있다. 예를 들어, 대상 이미지(IMG1-IMG4)는 각각 제1 세그먼트들(SG11, SG21, SG31, SG41), 제2 세그먼트들(SG12, SG22, SG32, SG42) 및 제3 세그먼트들(SG13, SG23, SG33, SG43)로 분할된 형태의 프린지 패턴을 포함할 수 있다(도 18 참조).
제어부(800)는 제1 대상 이미지(IMG1-IMG4)를 기초로 포커스 링(976)과 얼라이너(20) 사이의 이격 거리(D)를 산출할 수 있다(S50). 예를 들어, 비전 센서(10)의 프로세서(410)는 제1 대상 이미지(IMG1-IMG4)에 포함된 패턴(FP)의 형태를 분석함으로써, 포커스 링(976)과 얼라이너(20) 사이의 이격 거리(D)를 산출할 수 있다.
제어부(800)는 산출된 이격 거리(D)를 기초로 이송 로봇(950)의 기준 위치로 보정하기 위한 오프 셋 값을 산출할 수 있다(S60). 오프 셋 값은 포커스 링(976)의 측벽(976S)과 얼라이너(20)의 에지 사이의 복수의 이격 거리(D)가 모두 동일해도록 이송 로봇(950)의 핸드(954)를 이동하는 제어 값을 포함할 수 있다
도 11, 도 13, 및 도 19를 참조하면, 산출된 오프 셋 값을 기초로 이송 로봇(950)의 기준 위치가 재설정될 수 있다(S70). 제어부(800)는 비전 센서(10)의 중심(C3)이 로딩 영역(LA)의 중심(C1)과 일치하도록, 이송 로봇(950)의 기준 위치를 재설정할 수 있다.
다음으로, 도 11 및 도 13를 참조하면, 이송 로봇(950)을 통해 얼라이너(20) 및 비전 센서(10)를 저장용기에 적재하고(S80), 이송 로봇(950)을 통해 정전 척(970)의 목표 위치에 반도체 기판(W)을 배치할 수 있다(S90).
다음으로, 반도체 공정 챔버(900) 내 반도체 기판(W) 상에 반도체 소자의 제조를 위한 공정이 수행될 수 있다
도 12를 참조하면, 실시예에 따라서, 포커스 링(976)이 반사도가 높은 실리콘(Si)과 같은 물질로 이루어진 경우에는 제2 대상 이미지를 획득하는 과정이 추가될 수도 있다.
먼저, 제1 조명기(130)를 이용하여 타깃(TG)의제1 대상 이미지를 획득할 수 있다(S41). 다음으로, 포커스 링(976)과 얼라이너(20) 사이의 이격 거리의 식별여부를 확인할 수 있다(S42). 만일 포커스 링(976)의 반사도가 상대적으로 높지 않아 포커스 링(976)의 측벽(976S)의 위치를 식별할 수 있는 경우에는 포커스 링(976)의 측벽(976S)과 얼라이너(20)의 에지(E) 사이의 이격 거리(D)를 산출할 수 있다(S51). 반면에, 포커스 링(976)의 반사도가 상대적으로 높아 포커스 링(976)의 측벽(976S)의 위치를 식별할 수 없는 경우에는 제2 조명기(140)를 이용하여 제2 대상 이미지를 획득할 수 있다(S43).
제어부(800)는 제1 및 제2 대상 이미지를 기초로 포커스 링(976)의 측벽(976S)과 얼라이너(20)의 에지(E) 사이의 이격 거리(D)를 산출할 수 있다(S51). 예를 들어, 제2 대상 이미지를 통해 포커스 링(976)의 측벽(976S)의 위치를 식별하고, 제1 대상 이미지에서 식별된 얼라이너(20)의 에지(E)를 참조하여, 포커스 링(976)의 측벽(976S)과 얼라이너(20)의 에지(E) 사이의 이격 거리(D)를 산출할 수 있다.
본 발명은 상술한 실시예 및 첨부된 도면에 의해 한정되는 것이 아니며 첨부된 청구범위에 의해 한정하고자 한다. 따라서, 청구범위에 기재된 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 당 기술분야의 통상의 지식을 가진 자에 의해 다양한 형태의 치환, 변형 및 변경이 가능할 것이며, 이 또한 본 발명의 범위에 속한다고 할 것이다.
1: 티칭 장치
2: 기판 정렬 장치
10: 비전 센서
20: 얼라이너
800: 제어부
950: 이송 로봇
970: 정전 척

Claims (20)

  1. 챔버;
    상기 챔버의 내부에 배치되며, 측벽으로 둘러싸인 로딩 영역을 제공하는 정전 척;
    상기 정전 척의 상기 로딩 영역에 로딩되는 얼라이너;
    상기 정전 척의 상부에 배치되며, 상기 얼라이너와 상기 측벽 사이의 이격 영역들의 이격 거리들을 산출한 측정 데이터들을 전송하는 비전 센서;
    상기 얼라이너를 상기 로딩 영역의 기준 위치에 로딩하고, 상기 비전 센서를 상기 정전 척의 상기 상부에 배치하는 이송 로봇; 및
    상기 비전 센서로부터 전송된 상기 측정 데이터들을 기초로 상기 이격 거리들이 균등해지도록 상기 기준 위치를 재설정하는 제어부를 포함하는 티칭 장치.
  2. 제1항에 있어서,
    상기 비전 센서는,
    상기 이격 영역들을 포함하는 복수의 영역들을 타깃들로 하여 각각 패턴을 투영하고,
    상기 타깃들의 대상 이미지들을 획득하고,
    상기 대상 이미지들을 기초로 상기 이격 거리들을 산출하는 티칭 장치.
  3. 제2항에 있어서,
    상기 비전 센서는,
    지지 부재;
    상기 지지 부재 상에 배치되고, 상기 타깃들의 상기 대상 이미지들을 각각 획득하도록 구성된 복수의 이미지 스캐닝 모듈들; 및
    상기 지지 부재 상에 배치되는 회로부를 포함하는 티칭 장치.
  4. 제3항에 있어서,
    상기 회로부는,
    상기 복수의 이미지 스캐닝 모듈들로부터 상기 대상 이미지들을 수신하고, 상기 대상 이미지들을 기초로 상기 측정 데이터들을 생성하는 프로세서; 및
    상기 프로세서에 의해 생성된 상기 측정 데이터들을 상기 제어부로 전송하는 무선 통신 모듈을 포함하는 티칭 장치.
  5. 제3항에 있어서,
    상기 복수의 이미지 스캐닝 모듈들은,
    상기 타깃들 상으로 상기 패턴을 투영하도록 구성된 제1 조명기; 및
    상기 복수의 이미지 스캐닝 모듈은 상기 패턴이 투영된 상기 타깃들의 상기 대상 이미지들을 스캔하도록 구성된 카메라를 각각 포함하는 티칭 장치.
  6. 제5항에 있어서,
    상기 제1 조명기는
    레이저 광원; 및
    상기 레이저 광원으로부터 방출되는 레이저 광의 광 경로를 제어하는 광학 부재를 포함하고,
    상기 패턴은 상기 레이저 광에 의해 상기 타깃들 상으로 투영되는 티칭 장치.
  7. 제3항에 있어서,
    상기 타깃들 상으로 가시광원 광을 조사하는 제2 조명기를 더 포함하는 티칭 장치.
  8. 제1항에 있어서,
    상기 얼라이너는 웨이퍼의 형태를 갖는 티칭 장치.
  9. 제8항에 있어서,
    상기 얼라이너의 상면은 블래스팅(blasting) 처리된 티칭 장치.
  10. 제8항에 있어서,
    상기 얼라이너의 상면은 상기 상면의 반사도 보다 낮은 반사도를 갖는 마커(marker)가 배치된 티칭 장치.
  11. 제1항에 있어서,
    상기 제어부는,
    상기 비전 센서로부터 전송된 상기 측정 데이터들을 기초로 상기 이격 거리들이 균등해지도록 보상하는 오프 셋 값을 산출하고 상기 오프 셋 값을 기초로 상기 이송 로봇의 상기 기준 위치를 재설정하는 티칭 장치.
  12. 측벽으로 둘러싸인 로딩 영역을 제공하는 기판 지지 유닛;
    상기 로딩 영역에 안착되는 얼라이너;
    상기 얼라이너의 상부에 배치되며, 상기 얼라이너와 상기 측벽 사이의 이격 거리를 측정한 측정 데이터를 전송하는 비전 센서;
    상기 로딩 영역에 상기 얼라이너를 로딩하고 상기 비전 센서를 상기 로딩 영역의 상기 상부에 배치하는 이송 로봇; 및
    상기 비전 센서로부터 전송된 상기 측정 데이터를 기초로 상기 이송 로봇의 위치를 티칭하는 제어부를 포함하는 티칭 장치.
  13. 제12항에 있어서,
    상기 비전 센서는,
    지지 부재;
    상기 지지 부재 상에 배치되고, 상기 얼라이너와 상기 측벽 사이의 이격 공간을 포함하는 복수의 영역을 타깃들로 하여 상기 타깃들의 대상 이미지들을 각각 획득하도록 구성된 복수의 이미지 스캐닝 모듈들; 및
    상기 지지 부재 상에 배치되며 상기 대상 이미지들을 기초로 상기 이격 거리를 산출하는 회로부를 포함하는 티칭 장치.
  14. 제12항에 있어서,
    상기 기판 지지 유닛은 정전 척인 티칭 장치.
  15. 제14항에 있어서,
    상기 정전 척은,
    상기 로딩 영역을 제공하는 플레이트; 및
    상기 플레이트의 둘레에 배치되며 상기 측벽을 제공하는 포커스 링을 포함하는 티칭 장치.
  16. 제15항에 있어서,
    상기 포커스 링의 상면은,
    상기 얼라이너의 상면 보다 높은 레벨에 배치된 제1 상면; 및
    상기 얼라이너의 상기 상면 보다 낮은 레벨에 배치된 제2 상면을 포함하는 티칭 장치.
  17. 제12항에 있어서,
    상기 이송 로봇은,
    상기 얼라이너의 중심과 상기 비전 센서의 중심이 서로 중첩되도록 배치하는 티칭 장치.
  18. 기판을 처리하는 내부 공간을 갖는 챔버;
    상기 내부 공간 내에 배치되며, 측벽으로 둘러싸인 로딩 영역을 제공하는 기판 지지 유닛;
    상기 로딩 영역에 안착되는 얼라이너;
    상기 얼라이너와 상기 측벽 사이의 이격 거리들을 측정한 측정 데이터들을 전송하는 비전 센서;
    상기 내부 공간에 상기 얼라이너, 상기 비전 센서 및 상기 기판을 반입 및 반출하는 이송 로봇; 및
    상기 비전 센서로부터 전송된 상기 측정 데이터들을 기초로 상기 이송 로봇을 티칭하여, 상기 로딩 영역에 상기 기판을 로딩하는 기준 위치를 보정하는 제어부를 포함하는 기판 정렬 장치.
  19. 제18항에 있어서,
    상기 얼라이너는 상기 기판과 동일한 형태 및 무게를 갖는 기판 정렬 장치.
  20. 제19항에 있어서,
    상기 기판은 웨이퍼(wafer)인 기판 정렬 장치.
KR1020210114082A 2021-08-27 2021-08-27 티칭 장치 및 이를 이용한 기판 정렬 장치 KR20230033129A (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020210114082A KR20230033129A (ko) 2021-08-27 2021-08-27 티칭 장치 및 이를 이용한 기판 정렬 장치
US17/713,541 US20230064941A1 (en) 2021-08-27 2022-04-05 Teaching apparatus and substrate alignment apparatus using the same
CN202211033019.7A CN115910846A (zh) 2021-08-27 2022-08-26 示教设备和使用该示教设备的基板对准设备

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020210114082A KR20230033129A (ko) 2021-08-27 2021-08-27 티칭 장치 및 이를 이용한 기판 정렬 장치

Publications (1)

Publication Number Publication Date
KR20230033129A true KR20230033129A (ko) 2023-03-08

Family

ID=85288164

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020210114082A KR20230033129A (ko) 2021-08-27 2021-08-27 티칭 장치 및 이를 이용한 기판 정렬 장치

Country Status (3)

Country Link
US (1) US20230064941A1 (ko)
KR (1) KR20230033129A (ko)
CN (1) CN115910846A (ko)

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101015778B1 (ko) * 2003-06-03 2011-02-22 도쿄엘렉트론가부시키가이샤 기판 처리장치 및 기판 수수 위치의 조정 방법
US11468590B2 (en) * 2018-04-24 2022-10-11 Cyberoptics Corporation Wireless substrate-like teaching sensor for semiconductor processing
US11656546B2 (en) * 2020-02-27 2023-05-23 Canon Kabushiki Kaisha Exposure apparatus for uniform light intensity and methods of using the same

Also Published As

Publication number Publication date
US20230064941A1 (en) 2023-03-02
CN115910846A (zh) 2023-04-04

Similar Documents

Publication Publication Date Title
US11037810B2 (en) Teaching method
JP4656440B2 (ja) 基板位置検出装置及びその撮像手段位置調整方法
US9001306B2 (en) Exposure apparatus, exposure method, and method of manufacturing device
JP2010087473A (ja) 基板位置合わせ装置及び基板処理装置
CN114466728A (zh) 用于自动化晶片搬运机械手教导与健康检查的整合适应性定位系统及例程
US20110248190A1 (en) Wafer handling method and ion implanter
US20070252971A1 (en) Substrate holder and exposure apparatus having the same
US7474381B2 (en) Exposure apparatus and device manufacturing method
US20240170318A1 (en) Teaching Substrate for Production and Process-Control Tools
JPH1184098A (ja) X線照明装置およびx線照明方法、x線露光装置ならびにデバイス製造方法
KR20230033129A (ko) 티칭 장치 및 이를 이용한 기판 정렬 장치
US11538701B2 (en) Method of inspecting a semiconductor processing chamber using a vision sensor, and method for manufacturing a semiconductor device using the same
JP2018037442A (ja) 基板搬送装置、成膜装置および基板搬送方法
KR100721734B1 (ko) 이온 주입기, 이 이온 주입기를 위한 각도 측정 장치 및비임 발산 측정 장치
KR101476388B1 (ko) 하전 입자빔 묘화 장치 및 하전 입자빔 묘화 방법
JP2007299805A (ja) ギャップ検出値の校正方法
JP2004356276A (ja) 荷電粒子ビーム近接露光方法及び装置
US20240014060A1 (en) Sensor module and substrate processing apparatus using the same
CN114005777B (zh) 键合装置和键合方法
JP2003037036A (ja) マスクとウエハの位置合わせ方法及び装置
WO2024080332A1 (ja) 基板搬送ロボットシステム
US20240063039A1 (en) Apparatus and method of detecting wafer edge using laser scanner, and semiconductor transfer device
JP5415842B2 (ja) 描画システムおよびパターン形成システム
KR20060084050A (ko) 노광장치용 웨이퍼 스테이지 및 이를 이용한 웨이퍼평행조절방법
JP2023161815A (ja) 基板搬送ロボットシステムおよび基板搬送ロボット

Legal Events

Date Code Title Description
A201 Request for examination