KR20220124093A - 기판 반송 장치 및 기판 처리 시스템 - Google Patents

기판 반송 장치 및 기판 처리 시스템 Download PDF

Info

Publication number
KR20220124093A
KR20220124093A KR1020220022722A KR20220022722A KR20220124093A KR 20220124093 A KR20220124093 A KR 20220124093A KR 1020220022722 A KR1020220022722 A KR 1020220022722A KR 20220022722 A KR20220022722 A KR 20220022722A KR 20220124093 A KR20220124093 A KR 20220124093A
Authority
KR
South Korea
Prior art keywords
base
transfer
substrate
chamber
planar motor
Prior art date
Application number
KR1020220022722A
Other languages
English (en)
Inventor
동웨이 리
다케히로 신도
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20220124093A publication Critical patent/KR20220124093A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/0095Manipulators transporting wafers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J5/00Manipulators mounted on wheels or on carriages
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/02Programme-controlled manipulators characterised by movement of the arms, e.g. cartesian coordinate type
    • B25J9/04Programme-controlled manipulators characterised by movement of the arms, e.g. cartesian coordinate type by rotating at least one arm, excluding the head movement itself, e.g. cylindrical coordinate type or polar coordinate type
    • B25J9/041Cylindrical coordinate type
    • B25J9/042Cylindrical coordinate type comprising an articulated arm
    • B25J9/043Cylindrical coordinate type comprising an articulated arm double selective compliance articulated robot arms [SCARA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Manipulator (AREA)
  • Multi-Process Working Machines And Systems (AREA)

Abstract

본 발명은, 기판을 반송하는 기판 반송 장치를 제공한다. 반송실에 마련되고, 배열된 코일을 갖는 평면 모터와, 상기 평면 모터 위를 이동하는 반송 유닛과, 상기 코일의 통전을 제어하는 제어부를 구비하고, 상기 반송 유닛은, 배열된 제1 자석을 갖고, 상기 평면 모터를 이동하는 제1 베이스와, 배열된 제2 자석을 갖고, 상기 평면 모터를 이동하고, 상기 제1 베이스와 동축으로 배치되는 제2 베이스와, 상기 제1 베이스에 대하여 상기 제2 베이스를 회전시킴으로써 신축하는 적어도 하나의 암을 구비하는 기판 반송 장치.

Description

기판 반송 장치 및 기판 처리 시스템{SUBSTRATE TRANSFER DEVICE AND SUBSTRATE PROCESSING SYSTEM}
본 개시는 기판 반송 장치 및 기판 처리 시스템에 관한 것이다.
예를 들어, 복수의 처리실과, 처리실과 접속하는 진공 반송실을 구비하는 기판 처리 시스템이 알려져 있다. 진공 반송실 내에는, 기판을 반송하기 위한 기판 반송 장치가 마련되어 있다.
특허문헌 1에는, 진공 분위기 내에서 피처리물을 반송하여, 진공 처리를 실시하는 진공 처리 장치이며, 내부를 진공 분위기로 설정 가능하게 된 진공 챔버와, 상기 진공 챔버 내에서 이동함과 함께, 상압 분위기로 설정 가능한 기밀실이 내부에 형성된 이동체와, 상기 이동체의 상부에 마련되고, 상기 피처리물을 지지해서 반송하는 반송 암과, 케이블류를 수용 가능하게 되고 상압 분위기로 된 수용부가 내부에 형성됨과 함께, 상기 이동체에 일단이 접속되고, 타단이 상기 진공 챔버에 걸림 지지되고 또한 상기 수용부와 상기 기밀실이 연통되어, 상기 이동체의 움직임에 따라서 굴신하는 암 기구를 구비한 것을 특징으로 하는 진공 처리 장치가 개시되어 있다.
일본 특허 공개 제2005-317656호 공보
특허문헌 1에 개시된 진공 처리 장치에 있어서, 반송 암을 구동하는 모터는, 상압 분위기로 설정된 이동체의 기밀실의 내부에 배치된다. 이 때문에, 기밀실과 진공 챔버 내를 시일하는 구성이 필요해진다. 또한, 반송 기대를 챔버의 길이 방향을 따라 이동시키는 리니어 가이드와 볼 나사 등의 직진 기구가 마련되어 있다. 이 때문에, 반송 모듈 내를 고진공으로 유지하는 것이 곤란하다는 과제가 있다. 또한, 진공 챔버가 대형화한다는 과제가 있다.
본 개시의 일 양태는, 기판을 반송하는 기판 반송 장치 및 기판 처리 시스템을 제공한다.
본 개시의 일 양태에 관한 기판 반송 장치는, 반송실에 마련되고, 배열된 코일을 갖는 평면 모터와, 상기 평면 모터 위를 이동하는 반송 유닛과, 상기 코일의 통전을 제어하는 제어부를 구비하고, 상기 반송 유닛은, 배열된 제1 자석을 갖고, 상기 평면 모터 위를 이동하는 제1 베이스와, 배열된 제2 자석을 갖고, 상기 평면 모터 위를 이동하고, 상기 제1 베이스와 동축으로 배치되는 제2 베이스와, 상기 제1 베이스에 대하여 상기 제2 베이스를 회전시킴으로써 신축하는 암을 구비한다.
본 개시의 일 양태에 의하면, 기판을 반송하는 기판 반송 장치 및 기판 처리 시스템을 제공한다.
도 1은 일 실시 형태에 따른 기판 처리 시스템의 일례의 구성을 도시하는 평면도이다.
도 2는 일 실시 형태에 따른 반송 유닛의 일례를 도시하는 평면도이다.
도 3은 일 실시 형태에 따른 반송 유닛의 일례를 도시하는 평면도이다.
도 4는 기판 반송 기구의 구동 원리를 설명하는 사시도의 일례이다.
도 5는 기판 반송 장치의 측면 모식도의 일례이다.
도 6은 웨이퍼의 반송 시의 기판 반송 장치의 측면도의 일례이다.
도 7은 기판 반송 장치의 다른 일례의 측면도이다.
도 8은 기판 반송 장치의 다른 일례의 평면도이다.
도 9는 기판 처리 시스템의 다른 일례의 구성을 도시하는 평면도이다.
이하, 도면을 참조하여 본 개시를 실시하기 위한 형태에 대해서 설명한다. 각 도면에 있어서, 동일 구성 부분에는 동일 부호를 붙이고, 중복된 설명을 생략하는 경우가 있다.
<기판 처리 시스템(100)>
일 실시 형태에 따른 기판 처리 시스템(100)의 전체 구성의 일례에 대해서, 도 1을 사용해서 설명한다. 도 1은, 일 실시 형태에 따른 기판 처리 시스템(100)의 일례의 구성을 도시하는 평면도이다.
도 1에 도시하는 기판 처리 시스템(100)은, 클러스터 구조(멀티 챔버 타입)의 시스템이다. 기판 처리 시스템(100)은, 복수의 처리실(110), 진공 반송실(120), 로드 로크실(130), 대기 반송실(140) 및 제어부(160)를 구비하고 있다.
처리실(110)은, 소정의 진공 분위기로 감압되고, 그 내부에서 반도체 웨이퍼(W)(이하, 「웨이퍼(W)」라고도 함)에 원하는 처리(에칭 처리, 성막 처리, 클리닝 처리, 애싱 처리 등)를 실시한다. 처리실(110)은, 진공 반송실(120)에 인접해서 배치된다. 처리실(110)과 진공 반송실(120)은, 게이트 밸브(112)의 개폐에 의해 연통한다. 처리실(110)은, 웨이퍼(W)를 적재하는 적재대(111)를 갖는다. 또한, 처리실(110)에서의 처리를 위한 각 부의 동작은, 제어부(160)에 의해 제어된다.
진공 반송실(120)은, 게이트 밸브(112, 132)를 통해서, 복수의 방(처리실(110), 로드 로크실(130))과 연결되고, 소정의 진공 분위기로 감압되어 있다. 또한, 진공 반송실(120)의 내부에는, 웨이퍼(W)를 반송하는 기판 반송 장치(125)(평면 모터(10), 반송 유닛(20))가 마련되어 있다. 기판 반송 장치(125)는, 웨이퍼(W)를 보유 지지하는 피크(260A, 260B)(후술하는 도 2 참조. 또한, 피크(260A, 260B)를 구별하지 않을 경우, 피크(260)라고도 칭함)를 갖고 있다. 기판 반송 장치(125)는, 게이트 밸브(112)의 개폐에 따라, 처리실(110)과 진공 반송실(120)의 사이에서 웨이퍼(W)의 반입 및 반출을 행한다. 또한, 기판 반송 장치(125)는, 게이트 밸브(132)의 개폐에 따라, 로드 로크실(130)과 진공 반송실(120)의 사이에서 웨이퍼(W)의 반입 및 반출을 행한다. 또한, 기판 반송 장치(125)의 동작, 게이트 밸브(112, 132)의 개폐는, 제어부(160)에 의해 제어된다. 또한, 기판 반송 장치(125)에 대해서는, 도 2 내지 도 4를 사용해서 후술한다.
로드 로크실(130)은, 진공 반송실(120)과 대기 반송실(140)의 사이에 마련되어 있다. 로드 로크실(130)은, 웨이퍼(W)를 적재하는 적재대(131)를 갖는다. 로드 로크실(130)은, 대기 분위기와 진공 분위기를 전환할 수 있도록 되어 있다. 로드 로크실(130)과 진공 분위기의 진공 반송실(120)은, 게이트 밸브(132)의 개폐에 의해 연통한다. 로드 로크실(130)과 대기 분위기의 대기 반송실(140)은, 도어 밸브(133)의 개폐에 의해 연통한다. 또한, 로드 로크실(130) 내의 진공 분위기 또는 대기 분위기의 전환은, 제어부(160)에 의해 제어된다.
대기 반송실(140)은, 대기 분위기로 되어 있어, 예를 들어 청정 공기의 다운 플로우가 형성되어 있다. 또한, 대기 반송실(140)의 내부에는, 웨이퍼(W)를 반송하는 반송 장치(도시하지 않음)가 마련되어 있다. 반송 장치(도시하지 않음)는, 도어 밸브(133)의 개폐에 따라, 로드 로크실(130)과 대기 반송실(140)의 사이에서 웨이퍼(W)의 반입 및 반출을 행한다. 또한, 반송 장치(도시하지 않음)의 동작, 도어 밸브(133)의 개폐는, 제어부(160)에 의해 제어된다.
또한, 대기 반송실(140)의 벽면에는, 로드 포트(150)가 마련되어 있다. 로드 포트(150)는, 웨이퍼(W)가 수용된 캐리어(도시하지 않음) 또는 빈 캐리어가 설치된다. 캐리어로서는, 예를 들어 FOUP(Front Opening Unified Pod) 등을 사용할 수 있다.
반송 장치(도시하지 않음)는, 로드 포트(150)에 설치된 캐리어에 수용된 웨이퍼(W)를 취출하여, 로드 로크실(130)의 적재대(131)에 적재할 수 있다. 또한, 반송 장치(도시하지 않음)는, 로드 로크실(130)의 적재대(131)에 적재된 웨이퍼(W)를 취출하여, 로드 포트(150)에 설치된 캐리어에 수용할 수 있다.
제어부(160)는, CPU(Central Processing Unit), ROM(Read Only Memory), RAM(Random Access Memory) 및 HDD(Hard Disk Drive)를 갖는다. 제어부(160)는, HDD에 한하지 않고 SSD(Solid State Drive) 등의 다른 기억 영역을 가져도 된다. HDD, RAM 등의 기억 영역에는, 프로세스의 수순, 프로세스의 조건, 반송 조건이 설정된 레시피가 저장되어 있다.
CPU는, 레시피에 따라서 각 처리실(110)에서의 웨이퍼(W)의 처리를 제어하고, 웨이퍼(W)의 반송을 제어한다. HDD나 RAM에는, 각 처리실(110)에서의 웨이퍼(W)의 처리나 웨이퍼(W)의 반송을 실행하기 위한 프로그램이 기억되어도 된다. 프로그램은, 기억 매체에 저장해서 제공되어도 되고, 네트워크를 통해서 외부 장치로부터 제공되어도 된다.
이어서, 기판 처리 시스템(100)의 동작의 일례에 대해서 설명한다. 여기에서는, 기판 처리 시스템(100)의 동작의 일례로서, 로드 포트(150)에 설치된 캐리어에 수용된 웨이퍼(W)를 처리실(110)에서 처리를 실시하고, 로드 포트(150)에 설치된 빈 캐리어에 수용하는 동작을 따라 설명한다. 또한, 동작의 개시 시점에서, 게이트 밸브(112, 132), 도어 밸브(133)는 닫혀 있고, 로드 로크실(130) 내는 대기 분위기로 되어 있다.
제어부(160)는, 도어 밸브(133)를 연다. 제어부(160)는, 대기 반송실(140) 내의 반송 장치를 제어하여, 로드 포트(150)의 캐리어로부터 웨이퍼(W)를 취출하여, 로드 로크실(130)의 적재대(131)에 적재한다. 웨이퍼(W)가 로드 로크실(130)의 적재대(131)에 적재되고, 반송 장치가 로드 로크실(130)로부터 퇴피하면, 제어부(160)는 도어 밸브(133)를 닫는다.
제어부(160)는, 로드 로크실(130)의 배기 장치(도시하지 않음)를 제어해서 실내의 공기를 배기하여, 로드 로크실(130)을 대기 분위기에서 진공 분위기로 전환한다.
이어서, 로드 로크실(130)의 적재대(131)에 적재된 웨이퍼(W)를 처리실(110)에 반송하여, 적재대(111)에 적재한다. 구체적으로는, 제어부(160)는, 게이트 밸브(132)를 연다. 제어부(160)는, 후술하는 기판 반송 장치(125)를 제어하여, 미리 설정된 전달 위치까지 피크(260)를 로드 로크실(130)에 삽입하고, 로드 로크실(130)의 적재대(131)에 적재된 웨이퍼(W)를 보유 지지하여, 진공 반송실(120)에 반송한다. 피크(260)가 로드 로크실(130)로부터 퇴피하면, 제어부(160)는 게이트 밸브(132)를 닫는다.
제어부(160)는, 반송처의 처리실(110)의 게이트 밸브(112)를 연다. 제어부(160)는, 기판 반송 장치(125)를 제어하여, 미리 설정된 전달 위치까지 피크(260)를 처리실(110)에 삽입하여, 보유 지지하고 있는 웨이퍼(W)를 처리실(110)의 적재대(111)에 적재한다. 피크(260)가 처리실(110)로부터 퇴피하면, 제어부(160)는 게이트 밸브(112)를 닫는다.
제어부(160)는, 처리실(110)을 제어하여, 웨이퍼(W)에 원하는 처리를 실시한다.
웨이퍼(W)의 처리가 종료되면, 처리실(110)의 적재대(111)에 적재된 웨이퍼(W)를 로드 로크실(130)에 반송하여, 적재대(131)에 적재한다. 구체적으로는, 제어부(160)는 게이트 밸브(112)를 연다. 제어부(160)는, 기판 반송 장치(125)를 제어하여, 미리 설정된 전달 위치까지 피크(260)를 처리실(110)에 삽입하고, 처리실(110)의 적재대(111)에 적재된 웨이퍼(W)를 보유 지지하여, 진공 반송실(120)에 반송한다. 피크(260)가 처리실(110)로부터 퇴피하면, 제어부(160)는 게이트 밸브(112)를 닫는다.
제어부(160)는 게이트 밸브(132)를 연다. 제어부(160)는, 기판 반송 장치(125)를 제어하여, 미리 설정된 전달 위치까지 피크(260)를 로드 로크실(130)에 삽입하고, 보유 지지하고 있는 웨이퍼(W)를 로드 로크실(130)의 적재대(131)에 적재한다. 피크(260)가 로드 로크실(130)로부터 퇴피하면, 제어부(160)는 게이트 밸브(132)를 닫는다.
제어부(160)는, 로드 로크실(130)의 가스 공급 장치(도시하지 않음)를 제어해서 실내에 예를 들어 청정 공기를 공급하여, 로드 로크실(130)을 진공 분위기에서 대기 분위기로 전환한다.
제어부(160)는 도어 밸브(133)를 연다. 제어부(160)는, 반송 장치(도시하지 않음)를 제어하여, 로드 로크실(130)의 적재대(131)에 적재된 웨이퍼(W)를 취출하여, 로드 포트(150)의 캐리어에 수용한다. 웨이퍼(W)가 로드 로크실(130)의 적재대(131)로부터 취출되고, 반송 장치(도시하지 않음)가 로드 로크실(130)로부터 퇴피하면, 제어부(160)는 도어 밸브(133)를 닫는다.
또한, 기판 처리 시스템(100)에 있어서, 기판 반송 장치(125)는, 로드 로크실(130)의 적재대(131)에 적재된 웨이퍼(W)를 처리실(110)의 적재대(111)에 반송하고, 처리가 끝난 웨이퍼(W)를 처리실(110)의 적재대(111)로부터 로드 로크실(130)의 적재대(131)에 반송하는 구성을 예로 들어 설명했지만, 이것에 한정되는 것은 아니다. 기판 반송 장치(125)는, 하나의 처리실(110)의 적재대(111)에 적재된 웨이퍼(W)를 다른 처리실(110)의 적재대(111)에 반송하는 구성이어도 된다.
<기판 반송 장치(125)>
이어서, 기판 반송 장치(125)에 대해서, 도 2 내지 도 4를 사용해서 또한 설명한다. 도 2 및 도 3은, 일 실시 형태에 따른 반송 유닛(20)의 일례를 도시하는 평면도이다. 또한, 도 2는, 반송 유닛(20)의 자세의 일례를 도시한다. 도 3은, 반송 유닛(20)의 자세의 다른 일례를 도시한다.
기판 반송 장치(125)는, 진공 반송실(120)에 배치되는 평면 모터(리니어 유닛)(10)와, 평면 모터(10) 위를 이동 가능한 반송 유닛(20)을 갖는다.
반송 유닛(20)은, 2개의 베이스(제1 베이스)(21) 및 베이스(제2 베이스)(22)(후술하는 도 4 참조)와, 기대(210)와, 구동 암(220)과, 링크(230A, 230B, 240A, 240B, 250A, 250B)와, 피크(260A, 260B)를 갖는다.
구동 암(220)의 일단은, 기대(210)에 대하여 회전 가능하게 접속되어 있다. 구동 암(220)의 타단과 링크(230A)의 일단은, 회전 가능하게 접속되어 있다. 링크(230A)의 타단은, 링크(240A)의 중앙부(기대(210)와 링크(240A)의 관절과, 링크(240A)와 링크(250A)의 관절의 사이)에 회전 가능하게 접속되어 있다. 또한, 링크(240A)의 일단은, 기대(210)에 대하여 회전 가능하게 접속되어 있다. 이에 의해, 기대(210), 구동 암(220), 링크(230A), 링크(240A)는, 4절 링크 기구를 형성하여, 기대(210)에 대하여 구동 암(220)을 회전시킴으로써, 기대(210)에 대하여 링크(240A)를 회전시킬 수 있도록 구성되어 있다.
또한, 링크(240A), 링크(250A), 피크(260A)는 제1암을 형성한다. 링크(240A)의 일단은, 기대(210)에 대하여 회전 가능하게 접속되어 있다. 링크(240A)의 타단과 링크(250A)의 일단은, 회전 가능하게 접속되어 있다. 링크(250A)의 타단과 피크(260A)의 기부는, 회전 가능하게 접속되어 있다. 피크(260A)는, 웨이퍼(W)를 보유 지지하는 보유 지지부를 갖는다. 또한, 기대(210)와 링크(240A)의 관절, 링크(240A)와 링크(250A)의 관절, 링크(250A)와 피크(260A)의 관절은, 각각 타이밍 벨트(도시하지 않음)로 접속되어 있어, 1개의 관절을 움직임으로써, 다른 관절이 연동해서 움직이도록 구성되어 있다. 이에 의해, 기대(210)에 대하여 링크(240A)를 회전시킴으로써, 제1암을 신축(도 2 및 도 3 참조)시킬 수 있도록 구성되어 있다. 즉, 구동 암(220)의 회전 동작에 수반하여, 제1암을 신축(도 2 및 도 3 참조)시킬 수 있도록 구성되어 있다.
마찬가지로, 구동 암(220)의 타단과 링크(230B)의 일단은, 회전 가능하게 접속되어 있다. 링크(230B)의 타단은, 링크(240B)의 중앙부(기대(210)와 링크(240B)의 관절과, 링크(240B)와 링크(250B)의 관절의 사이)에 회전 가능하게 접속되어 있다. 또한, 링크(240B)의 일단은, 기대(210)에 대하여 회전 가능하게 접속되어 있다. 이에 의해, 기대(210), 구동 암(220), 링크(230B), 링크(240B)는, 4절 링크 기구를 형성하여, 기대(210)에 대하여 구동 암(220)을 회전시킴으로써, 기대(210)에 대하여 링크(240B)를 회전시킬 수 있도록 구성되어 있다.
마찬가지로, 링크(240B), 링크(250B), 피크(260B)는 제2암을 형성한다. 링크(240B)의 일단은, 기대(210)에 대하여 회전 가능하게 접속되어 있다. 링크(240B)의 타단과 링크(250B)의 일단은, 회전 가능하게 접속되어 있다. 링크(250B)의 타단과 피크(260B)의 기부는, 회전 가능하게 접속되어 있다. 피크(260B)는, 웨이퍼(W)를 보유 지지하는 보유 지지부를 갖는다. 또한, 기대(210)와 링크(240B)의 관절, 링크(240B)와 링크(250B)의 관절, 링크(250B)와 피크(260B)의 관절은, 각각 타이밍 벨트(도시하지 않음)로 접속되어 있어, 1개의 관절을 움직임으로써, 다른 관절이 연동해서 움직이도록 구성되어 있다. 이에 의해, 기대(210)에 대하여 링크(240B)를 회전시킴으로써, 제2암을 신축시킬 수 있도록 구성되어 있다. 즉, 구동 암(220)의 회전 동작에 수반하여, 제2암을 신축시킬 수 있도록 구성되어 있다.
또한, 반송 유닛(20)은, 제1암 및 제2암 중, 한쪽 암을 신장시켰을 때, 다른 쪽 암이 오므라들도록 구성되어 있다.
이어서, 평면 모터(10) 및 반송 유닛(20)의 베이스(21, 22)에 대해서, 도 4를 사용해서 또한 설명한다. 도 4는, 기판 반송 장치(125)의 구동 원리를 설명하는 사시도이다.
평면 모터(10)에는, 복수의 코일(10a)이 배열되어 있다. 코일(10a)은, 전류가 공급됨으로써 자장을 발생시킨다. 제어부(160)(도 1 참조)는, 각 코일(10a)에 통전하는 전류값을 개별로 제어 가능하게 구성되어 있다.
베이스(21)는, 예를 들어 원환 형상으로 형성된다. 또한, 베이스(21)는, 기대(210)(도 2, 3 참조)와 접속된다. 또한, 베이스(21)는, 복수의 영구 자석(제1 자석)(21a)이 배열되어 있다. 코일(10a)이 생성하는 자장에 의해, 베이스(21)는 평면 모터(10) 위에서 자기 부상한다. 또한, 코일(10a)이 생성하는 자장에 의해, 베이스(21)는 평면 모터(10) 위를 이동, 회전한다.
베이스(22)는, 예를 들어 원주 형상으로 형성되고, 원환 형상의 베이스(21)의 내측에 배치된다. 또한, 베이스(22)는, 베이스(21)와 동축으로 배치되어, 베이스(21)에 대하여 회전 가능하게 배치되어 있다. 또한, 베이스(22)는, 기대(210)를 관통하여, 구동 암(220)(도 2, 3 참조)과 접속된다. 또한, 베이스(22)는, 복수의 영구 자석(제2 자석)(22a)이 배열되어 있다. 코일(10a)이 생성하는 자장에 의해, 베이스(22)는 평면 모터(10) 위에서 자기 부상한다. 또한, 코일(10a)이 생성하는 자장에 의해, 베이스(22)는 평면 모터(10) 위를 이동, 회전한다.
이와 같은 구성에 의해, 제어부(160)(도 1 참조)는, 평면 모터(10)의 각 코일(10a)의 전류값을 제어함으로써, 베이스(21, 22)의 위치, 배향, 부상량을 제어할 수 있도록 구성되어 있다. 또한, 제어부(160)는, 평면 모터(10)의 각 코일(10a)의 전류값을 제어함으로써, 베이스(21)에 대하여 베이스(22)를 회전시킬 수 있도록 구성되어 있다.
도 5는, 기판 반송 장치(125)의 측면 모식도의 일례이다. 또한, 베이스(21, 22)는 단면도로서 나타내고 있다. 또한, 각 관절의 회전축을 일점쇄선으로 나타내고 있다.
평면 모터(10)는, 진공 반송실(120)의 바닥부(121)에 마련되어 있다. 또한, 평면 모터(10)는, 진공 분위기로 되는 진공 반송실(120)의 바닥 벽면을 개재해서 대기 분위기의 공간에 배치되어 있어도 된다. 이에 의해, 코일(10a)에 통전했을 때의 발열을 대기에 방열할 수 있다. 이 경우, 진공 반송실(120)의 바닥 벽면은, 자장을 통과시키는 재료로 형성되어 있다.
여기서, 베이스(21)는 기대(210)와 접속되어 있다. 또한, 베이스(22)는 구동 암(220)과 접속되어 있다.
제어부(160)(도 1 참조)는, 베이스(21, 22)의 위치, 배향, 부상량을 제어함으로써, 반송 유닛(20)을 평면 모터(10) 위에서 이동 및 회전시킬 수 있다. 즉, 베이스(21, 22)를 동일 방향이면서 또한 동일 회전 속도로 회전시킴으로써, 반송 유닛(20)을 선회 동작시킬 수 있다. 또한, 베이스(21, 22)를 동일 방향이면서 또한 동일 속도로 이동시킴으로써, 반송 유닛(20)을 이동시킬 수 있다.
또한, 제어부(160)(도 1 참조)는, 베이스(21, 22)의 위치, 배향, 부상량을 제어함으로써, 베이스(21)에 대하여 베이스(22)를 회전시키는, 바꾸어 말하면, 기대(210)에 대하여 구동 암(220)을 회전시킬 수 있다. 이에 의해, 제1암 및 제2암을 신축시킬 수 있다. 또한, 제1암 및 제2암의 신축량은, 베이스(21)에 대한 베이스(22)의 상대적인 회전으로 제어한다. 또한, 제1암 및 제2암이 신장되는 배향은, 기대(210)의 배향(베이스(21, 22)를 동일 방향이면서 또한 동일 회전 속도로 회전)으로 제어한다.
또한, 제어부(160)(도 1 참조)는, 베이스(21, 22)의 부상량을 제어함으로써, 반송 유닛(20)을 상하 이동시킬 수 있는, 바꾸어 말하면, 피크(260)를 상하 이동시킬 수 있다. 이에 의해, 예를 들어 피크(260)에 보유 지지한 웨이퍼(W)를 적재대(111)에 전달하는 동작, 피크(260)로 적재대(111)로부터 웨이퍼(W)를 수취하는 동작 등을 행할 수 있다.
또한, 진공 반송실(120) 내에는, 복수의 반송 유닛(20)이 마련되어 있어도 된다. 이 경우, 피크(260)에 웨이퍼(W)를 보유 지지한 한쪽의 반송 유닛(20)을 하강시키거나, 및/또는, 피크(260)로 웨이퍼(W)를 수취하는 다른 쪽의 반송 유닛(20)을 상승시킴으로써, 반송 유닛(20)간에 웨이퍼(W)의 전달을 할 수 있다.
도 6은, 웨이퍼(W)의 반송 시의 기판 반송 장치(125)의 측면도의 일례이다. 도 6의 백색 화살표로 나타내는 바와 같이, 반송 유닛(20)의 이동 방향의 전후에서 부상량을 제어하여, 반송 유닛(20)을 경사지게 해서 이동시켜도 된다. 예를 들어, 가속 시에 있어서, 반송 유닛(20)의 이동 방향의 전방측 부상량을 후방측 부상량보다도 작게 해도 된다. 이에 의해, 반송 유닛(20)은, 전방으로 경사진 자세로 할 수 있어, 피크(260)도 전방으로 경사진 자세로 할 수 있다.
여기서, 웨이퍼(W)의 반송 시의 관성력을 F라 하고, 반송 유닛(20)의 경사각을 θ라 하자. 전방으로 경사진 반송 유닛(20)에 있어서, 웨이퍼(W)의 반송 시의 관성력(F)은, 피크(260)의 적재면에 대하여 수직인 성분(Fsinθ)과, 피크(260)의 적재면에 대하여 수평한 성분(Fcosθ)을 갖게 할 수 있다. 이에 의해, 웨이퍼(W)를 수평하게 운반하는 반송 유닛(20)(도 5 참조)과 비교하여, 피크(260)의 적재면에 대하여 수평한 성분을 저감할 수 있다. 바꾸어 말하면, 반송 중인 웨이퍼(W)의 어긋남을 방지하면서, 반송 유닛(20)을 보다 고속으로 이동시킬 수 있다.
또한, 가속 시에는 반송 유닛(20)이 전방으로 경사지는 것으로서 설명했지만, 마찬가지로, 감속 시에는 반송 유닛(20)이 후방으로 경사져도 된다.
이상, 본 실시 형태에 따른 기판 반송 장치(125)에 의하면, 반송 유닛(20)의 동작(반송 유닛(20)의 이동, 회전, 경사 및 암의 신축)을 제어할 수 있다. 이에 의해 웨이퍼(W)를 반송할 수 있다.
또한, 본 실시 형태에 따른 기판 반송 장치(125)에 의하면, 제1암 및 제2암을 구동하기 위한 회전 모터, 진공 반송실(120) 내에 배치되어 회전 모터를 대기 분위기에서 수용하는 기밀실, 기밀실의 시일 부재를 불필요하게 할 수 있다. 이에 의해, 진공 반송실(120) 내에 배치되는 회전 모터 등이 불필요하게 되어, 반송 유닛(20)의 높이를 낮게 할 수 있다. 또한, 진공 분위기의 진공 반송실(120) 내와 대기 분위기의 공간(예를 들어, 기밀실)을 구획하는 벽면을 관통하는 회전 모터의 회전축부 등을 없앨 수 있으므로, 진공 반송실(120) 내의 진공도를 향상시킬 수 있다.
또한, 회전 모터와 접속하는 케이블과 수용하는 덕트 암도 삭감할 수 있다. 이에 의해, 진공 반송실(120)의 높이를 낮게 할 수 있다.
또한, 본 실시 형태에 따른 기판 반송 장치(125)에 의하면, 반송 유닛을 진공 반송실(120)의 길이 방향으로 이동시키는 직진 기구(예를 들어, 리니어 가이드, 볼 나사)를 삭감할 수 있다. 이에 의해, 진공 분위기에 노출되는 리니어 가이드, 볼 나사 등으로부터 발생하는 파티클(예를 들어, 그리스, 마모분 등)을 방지할 수 있다. 또한, 본 실시 형태에 따른 기판 반송 장치(125)에 의하면, 반송 유닛(20)은 자기 부상해서 이동할 수 있으므로, 파티클의 발생을 더욱 삭감할 수 있다.
진공 반송실(120)의 바닥부(121)에 평면 모터(10)가 마련되고, 반송 유닛(20)은, 바닥부(121) 위를 이동하는 구성을 예로 들어 설명했지만, 이것에 한정되는 것은 아니다. 도 7은, 기판 반송 장치(125)의 다른 일례의 측면도이다. 도 8은, 기판 반송 장치(125)의 다른 일례의 평면도이다.
도 7에 도시하는 바와 같이, 진공 반송실(120)에서는, 바닥부(121)에 평면 모터(제1 평면 모터)(10A)가 마련되어, 바닥부(121) 위를 반송 유닛(제1 반송 유닛)(20A)이 이동한다. 또한, 진공 반송실(120)에서는, 천장부(122)에 평면 모터(제2 평면 모터)(10B)가 마련되어, 천장부(122)를 따라 반송 유닛(제2 반송 유닛)(20B)이 이동한다. 또한, 반송 유닛(20B)은, 천장부(122)의 평면 모터(10B)에 의해 자기로 흡인되어, 천장부(122)와의 사이에 간격을 두고 부상하고 있다.
이에 의해, 도 8에 도시하는 바와 같이, 바닥부(121)의 반송 유닛(20A)의 한쪽 피크를 처리실(110) 내에 삽입함과 함께, 천장부(122)의 반송 유닛(20B)의 한쪽 피크를 처리실(110) 내에 삽입할 수 있다. 이에 의해, 2매의 웨이퍼(W)의 전달이나 수취를 동시에 행할 수 있다. 이에 의해, 웨이퍼(W)의 반송 시간을 삭감할 수 있다.
또한, 반송 유닛(20A, 20B)의 동작은 이것에 한정되는 것은 아니다. 예를 들어, 반송 유닛(20A, 20B) 중, 한쪽 반송 유닛의 암이, 적재대(111)로부터 처리가 끝난 웨이퍼(W)를 수취하는 동작을 행하고, 다른 쪽 반송 유닛의 암이 적재대(111)에 미처리 웨이퍼(W)를 전달하는 동작을 행하도록 해도 된다. 이에 의해, 1회의 게이트 밸브(112)의 개방으로 웨이퍼(W)의 수취와 웨이퍼(W)의 전달을 행할 수 있으므로, 웨이퍼(W)의 반송 시간을 삭감할 수 있다.
도 9는, 기판 처리 시스템의 다른 일례의 구성을 도시하는 평면도이다. 도 9에 도시하는 기판 처리 시스템에 있어서, 2개의 진공 반송실(120)이 연결되어 있다. 각 진공 반송실(120)에는, 4개의 처리실(110)이 접속되어 있다. 도 9에서, 파선으로 나타내는 바와 같이, 반송 유닛(20)은 진공 반송실(120)간을 이동할 수 있다. 한쪽 진공 반송실(120)의 평면 모터(10) 위로부터, 다른 쪽 진공 반송실(120)의 평면 모터(10)로 이동할 수 있다. 이에 의해, 기판 반송 장치(125)는, 기판 처리 시스템의 다양한 레이아웃에 대응할 수 있다. 예를 들어, 진공 반송실(120)을 나중에 증설한 경우에도, 반송 유닛(20)은, 증설된 진공 반송실(120)로 이동할 수 있어, 증설된 진공 반송실(120)과 인접하는 처리실(110)에 웨이퍼(W)를 반송할 수 있다.
이상, 기판 처리 시스템(100)에 대해서 설명했지만, 본 개시는 상기 실시 형태 등에 한정되는 것은 아니고, 특허 청구 범위에 기재된 본 개시의 요지의 범위 내에서, 다양한 변형, 개량이 가능하다.

Claims (9)

  1. 반송실에 마련되고, 배열된 코일을 갖는 평면 모터와,
    상기 평면 모터 위를 이동하는 반송 유닛과,
    상기 코일의 통전을 제어하는 제어부를 포함하고,
    상기 반송 유닛은,
    배열된 제1 자석을 갖고, 상기 평면 모터 위를 이동하는 제1 베이스와,
    배열된 제2 자석을 갖고, 상기 평면 모터 위를 이동하고, 상기 제1 베이스와 동축으로 배치되는 제2 베이스와,
    상기 제1 베이스에 대하여 상기 제2 베이스를 회전시킴으로써 신축하는 적어도 하나의 암
    을 포함하는, 기판 반송 장치.
  2. 제1항에 있어서, 상기 반송 유닛은,
    상기 제1 베이스와 접속되는 기대와,
    상기 제2 베이스와 접속되어, 상기 기대에 대하여 회전 가능한 구동 암과,
    상기 구동 암의 동작에 수반하여 신축하는 상기 적어도 하나의 암을 포함하는, 기판 반송 장치.
  3. 제2항에 있어서, 상기 적어도 하나의 암은 한 쌍의 암을 갖고,
    상기 구동 암의 동작에 수반하여, 상기 한 쌍의 암 중의 한쪽의 암이 신장되고, 상기 한쌍의 암 중의 다른 쪽의 암이 오므라드는, 기판 반송 장치.
  4. 제1항 내지 제3항 중 어느 한 항에 있어서, 상기 적어도 하나의 암은, 기판을 보유 지지하는 피크를 포함하고,
    상기 제어부는,
    상기 제1 베이스 및 상기 제2 베이스의 부상량을 제어하여, 상기 피크를 승강시키는, 기판 반송 장치.
  5. 제4항에 있어서, 상기 제어부는,
    상기 반송 유닛을 이동시킬 때, 상기 제1 베이스 및 상기 제2 베이스의 부상량을 제어하여, 상기 제1 베이스 및 상기 제2 베이스를 경사지게 하여, 상기 피크를 경사지게 하는, 기판 반송 장치.
  6. 복수의 방과,
    상기 방을 연결하는 반송실과,
    상기 반송실에 마련되는, 제1항 내지 제5항 중 어느 한 항에 기재된 기판 반송 장치
    를 포함하는, 기판 처리 시스템.
  7. 제6항에 있어서, 상기 반송실은, 진공 분위기의 진공 반송실인, 기판 처리 시스템.
  8. 제7항에 있어서, 상기 평면 모터는,
    상기 진공 반송실의 벽면을 개재하여 대기 분위기의 공간에 배치되는, 기판 처리 시스템.
  9. 제6항 내지 제8항 중 어느 한 항에 있어서, 상기 평면 모터는,
    상기 반송실의 바닥부에 배치되는 제1 평면 모터와,
    상기 반송실의 천장부에 배치되는 제2 평면 모터를 포함하고,
    상기 반송 유닛은,
    상기 제1 평면 모터 위를 이동하는 제1 반송 유닛과,
    상기 제2 평면 모터 위를 이동하는 제2 반송 유닛
    을 포함하는, 기판 처리 시스템.
KR1020220022722A 2021-03-02 2022-02-22 기판 반송 장치 및 기판 처리 시스템 KR20220124093A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2021-032793 2021-03-02
JP2021032793A JP2022133867A (ja) 2021-03-02 2021-03-02 基板搬送装置及び基板処理システム

Publications (1)

Publication Number Publication Date
KR20220124093A true KR20220124093A (ko) 2022-09-13

Family

ID=83116360

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020220022722A KR20220124093A (ko) 2021-03-02 2022-02-22 기판 반송 장치 및 기판 처리 시스템

Country Status (3)

Country Link
US (1) US11948822B2 (ko)
JP (1) JP2022133867A (ko)
KR (1) KR20220124093A (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210119185A (ko) * 2020-03-24 2021-10-05 주식회사 원익아이피에스 이송로봇 및 이를 포함하는 기판처리시스템
JP2022107906A (ja) * 2021-01-12 2022-07-25 東京エレクトロン株式会社 基板搬送装置、基板搬送方法、および基板処理システム

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005317656A (ja) 2004-04-27 2005-11-10 Tokyo Electron Ltd 真空処理装置

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0537771U (ja) 1991-10-24 1993-05-21 三菱重工業株式会社 磁気浮上搬送装置
US20020182036A1 (en) * 2001-06-04 2002-12-05 Applied Materials, Inc. Semiconductor wafer handling robot for linear transfer chamber
TWI676227B (zh) 2015-01-23 2019-11-01 美商應用材料股份有限公司 半導體工藝設備
JP6760560B2 (ja) * 2016-08-29 2020-09-23 株式会社ダイヘン 搬送ロボット
DE102016224951A1 (de) * 2016-12-14 2018-06-14 Robert Bosch Gmbh Beförderungsvorrichtung mit einem Stator zur kontrollierten Beförderung eines Transportkörpers relativ zum Stator
DE102018006259A1 (de) * 2018-06-14 2019-12-19 Robert Bosch Gmbh Beförderungsvorrichtung zum Befördern mindestens eines Wafers
JP7370233B2 (ja) * 2019-11-29 2023-10-27 東京エレクトロン株式会社 基板搬送装置及び基板処理システム
JP7296862B2 (ja) * 2019-11-29 2023-06-23 東京エレクトロン株式会社 基板搬送装置及び基板処理システム
US11565402B2 (en) * 2020-03-09 2023-01-31 Applied Materials, Inc. Substrate transfer devices, systems and methods of use thereof
JP7433159B2 (ja) * 2020-07-30 2024-02-19 東京エレクトロン株式会社 真空搬送装置、基板処理システム、および基板処理方法
JP2022107906A (ja) * 2021-01-12 2022-07-25 東京エレクトロン株式会社 基板搬送装置、基板搬送方法、および基板処理システム
JP2022142568A (ja) * 2021-03-16 2022-09-30 東京エレクトロン株式会社 基板を処理する装置及び基板を搬送する方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005317656A (ja) 2004-04-27 2005-11-10 Tokyo Electron Ltd 真空処理装置

Also Published As

Publication number Publication date
US11948822B2 (en) 2024-04-02
US20220285191A1 (en) 2022-09-08
JP2022133867A (ja) 2022-09-14

Similar Documents

Publication Publication Date Title
JP5139253B2 (ja) 真空処理装置及び真空搬送装置
KR20220124093A (ko) 기판 반송 장치 및 기판 처리 시스템
US20230377927A1 (en) Substrate transfer apparatus and substrate processing system
KR101887110B1 (ko) 빠른 교환 로봇을 가진 컴팩트 기판 운송 시스템
JP2008258650A (ja) マルチレベル基板処理装置
US11476139B2 (en) Substrate process apparatus
JP7296862B2 (ja) 基板搬送装置及び基板処理システム
KR19980063977A (ko) 자기 연결 웨이퍼 추출 플랫폼
JP2004289036A (ja) 真空処理装置
JP7433159B2 (ja) 真空搬送装置、基板処理システム、および基板処理方法
CN114765121A (zh) 基板搬送装置、基板搬送方法以及基板处理系统
US11990357B2 (en) Substrate transport apparatus, substrate transport method, and substrate processing system
US11701785B2 (en) Substrate transport with mobile buffer
KR102583167B1 (ko) 반송 장치, 반송 방법 및 반송 시스템
US20220319889A1 (en) Apparatus for transporting substrate, system for processing substrate, and method of transporting substrate

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right