KR20220109317A - 성막 방법 및 처리 장치 - Google Patents

성막 방법 및 처리 장치 Download PDF

Info

Publication number
KR20220109317A
KR20220109317A KR1020220007120A KR20220007120A KR20220109317A KR 20220109317 A KR20220109317 A KR 20220109317A KR 1020220007120 A KR1020220007120 A KR 1020220007120A KR 20220007120 A KR20220007120 A KR 20220007120A KR 20220109317 A KR20220109317 A KR 20220109317A
Authority
KR
South Korea
Prior art keywords
gas
forming
sicn
sin
plasma
Prior art date
Application number
KR1020220007120A
Other languages
English (en)
Inventor
다케시 오야마
기와무 이토
야마토 도네가와
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20220109317A publication Critical patent/KR20220109317A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

SiCN층 상에 플라스마를 사용하여 SiN층을 형성할 때의 SiCN층에 대한 대미지를 억제할 수 있는 기술을 제공한다.
본 개시의 일 양태에 의한 성막 방법은, 기판에 열 ALD에 의해 SiCN 시드층을 형성하는 공정과, 상기 SiCN 시드층 상에 열 ALD에 의해 SiN 보호층을 형성하는 공정과, 상기 SiN 보호층 상에 플라스마 ALD에 의해 SiN 벌크층을 형성하는 공정을 갖는다.

Description

성막 방법 및 처리 장치{FILM FORMING METHOD AND PROCESS APPARATUS}
본 개시는, 성막 방법 및 처리 장치에 관한 것이다.
처리 가스로서 암모니아 가스, 실란계 가스 및 탄화수소 가스를 사용하고, 실란계 가스를 간헐적으로 공급하도록 하여 실리콘 질화막을 성막하는 방법이 알려져 있다(예를 들어, 특허문헌 1 참조).
일본 특허 공개 제2005-012168호 공보
본 개시는, SiCN층 상에 플라스마를 사용하여 SiN층을 형성할 때의 SiCN층에 대한 대미지를 억제할 수 있는 기술을 제공한다.
본 개시의 일 양태에 의한 성막 방법은, 기판에 열 ALD에 의해 SiCN 시드층을 형성하는 공정과, 상기 SiCN 시드층 상에 열 ALD에 의해 SiN 보호층을 형성하는 공정과, 상기 SiN 보호층 상에 플라스마 ALD에 의해 SiN 벌크층을 형성하는 공정을 갖는다.
본 개시에 의하면, SiCN층 상에 플라스마를 사용하여 SiN층을 형성할 때의 SiCN층에 대한 대미지를 억제할 수 있다.
도 1은 실시 형태의 처리 장치의 일례를 도시하는 개략도 (1).
도 2는 실시 형태의 처리 장치의 일례를 도시하는 개략도 (2).
도 3은 실시 형태의 성막 방법의 일례를 도시하는 흐름도.
도 4는 실시 형태의 성막 방법의 일례를 도시하는 공정 단면도.
도 5는 열 ALD에 의해 SiCN 시드층을 형성하는 공정의 일례를 도시하는 도면.
도 6은 열 ALD에 의해 SiN 보호층을 형성하는 공정의 일례를 도시하는 도면.
도 7은 플라스마 ALD에 의해 SiN 벌크층을 형성하는 공정의 일례를 도시하는 도면.
도 8은 플라스마 ALD에 의해 SiN 벌크층을 형성하는 공정의 다른 일례를 도시하는 도면.
도 9는 Si/SiCN 적층체를 NH3 플라스마에 노출시켰을 때의 반응 설명도.
도 10은 Si/SiCN/SiN 보호층 적층체를 NH3 플라스마에 노출시켰을 때의 반응의 설명도.
도 11은 SiCN 시드층의 플라스마 내성을 평가한 결과를 도시하는 도면.
도 12는 SiCN 시드층의 막 조성을 평가한 결과를 도시하는 도면.
이하, 첨부된 도면을 참조하면서, 본 개시의 한정적이지 않은 예시의 실시 형태에 대하여 설명한다. 첨부된 전체 도면 중, 동일하거나 또는 대응하는 부재 또는 부품에 대해서는, 동일하거나 또는 대응하는 참조 부호를 붙이고, 중복되는 설명을 생략한다.
〔처리 장치〕
도 1 및 도 2를 참조하여, 실시 형태의 처리 장치의 일례에 대하여 설명한다.
처리 장치(100)는 하단이 개구된 천장이 있는 원통체상의 처리 용기(1)를 갖는다. 처리 용기(1) 전체는, 예를 들어 석영에 의해 형성되어 있다. 처리 용기(1) 내의 상단 근방에는, 석영에 의해 형성된 천장판(2)이 마련되어 있고, 천장판(2)의 하측의 영역이 밀봉되어 있다. 처리 용기(1)의 하단의 개구에는, 원통체상으로 성형된 금속제의 매니폴드(3)가 O링 등의 시일 부재(4)를 통하여 연결되어 있다.
매니폴드(3)는 처리 용기(1)의 하단을 지지하고 있고, 매니폴드(3)의 하방으로부터 다수매(예를 들어, 25매 내지 150매)의 기판(W)을 다단으로 적재한 보트(5)가 처리 용기(1) 내에 삽입된다. 이와 같이 처리 용기(1) 내에는, 상하 방향을 따라서 간격을 갖고 다수매의 기판(W)이 대략 수평하게 수용된다. 보트(5)는 예를 들어 석영에 의해 형성되어 있다. 보트(5)는 3개의 로드(6)를 갖고(도 4 참조), 로드(6)에 형성된 홈(도시하지 않음)에 의해 다수매의 기판(W)이 지지된다. 기판(W)은, 예를 들어 반도체 웨이퍼이면 된다.
보트(5)는 석영에 의해 형성된 보온통(7)을 개재하여 테이블(8) 상에 적재되어 있다. 테이블(8)은 매니폴드(3)의 하단의 개구를 개폐하는 금속(스테인리스)제의 덮개(9)를 관통하는 회전축(10) 상에 지지된다.
회전축(10)의 관통부에는, 자성 유체 시일(11)이 마련되어 있어, 회전축(10)을 기밀하게 밀봉하고, 또한 회전 가능하게 지지하고 있다. 덮개(9)의 주변부와 매니폴드(3)의 하단 사이에는, 처리 용기(1) 내의 기밀성을 유지하기 위한 시일 부재(12)가 마련되어 있다.
회전축(10)은 예를 들어 보트 엘리베이터 등의 승강 기구(도시하지 않음)에 지지된 암(13)의 선단에 설치되어 있고, 보트(5)와 덮개(9)는 일체로서 승강하고, 처리 용기(1) 내에 대하여 삽입 이탈된다. 또한, 테이블(8)을 덮개(9)측에 고정하여 마련하고, 보트(5)를 회전시키지 않고 기판(W)의 처리를 행하게 해도 된다.
처리 장치(100)는 처리 용기(1) 내에 처리 가스, 퍼지 가스 등의 소정의 가스를 공급하는 가스 공급부(20)를 갖는다.
가스 공급부(20)는 가스 공급관(21, 22, 24)을 갖는다. 가스 공급관(21, 22, 24)은, 예를 들어 석영에 의해 형성되어 있고, 매니폴드(3)의 측벽을 내측으로 관통하여 상방으로 굴곡되어서 수직으로 연장된다. 가스 공급관(21, 22)의 수직 부분에는, 보트(5)의 기판 지지 범위에 대응하는 상하 방향의 길이에 걸쳐서, 각각 복수의 가스 구멍(21a, 22a)이 소정 간격으로 형성되어 있다. 각 가스 구멍(21a, 22a)은, 수평 방향으로 가스를 토출한다. 가스 공급관(24)은 예를 들어 석영에 의해 형성되어 있고, 매니폴드(3)의 측벽을 관통하여 마련된 짧은 석영관을 포함한다. 또한, 도시된 예에서는, 가스 공급관(21)은 2개, 가스 공급관(22, 24)은 각각 1개 마련되어 있다.
가스 공급관(21)은 그 수직 부분이 처리 용기(1) 내에 마련되어 있다. 가스 공급관(21)에는, 가스 배관을 통하여 실리콘 함유 가스 공급원으로부터 실리콘 함유 가스가 공급된다. 가스 배관에는, 유량 제어기 및 개폐 밸브가 마련되어 있다. 이에 의해, 실리콘 함유 가스는, 실리콘 함유 가스 공급원으로부터 가스 배관 및 가스 공급관(21)을 통하여, 소정의 유량으로 처리 용기(1) 내에 공급된다.
실리콘 함유 가스로서는, 예를 들어 헥사클로로디실란(HCD), 모노실란 [SiH4], 디실란 [Si2H6], 디클로로실란(DCS), 헥사에틸아미노디실란, 헥사메틸디실라잔(HMDS), 테트라클로로실란(TCS), 디실릴아민(DSA), 트리시릴아민(TSA) 및 비스터셔리부틸아미노실란(BTBAS)으로 이루어지는 군에서 선택되는 1 또는 2 이상의 가스를 이용할 수 있다.
또한, 가스 공급관(21)에는, 가스 배관을 통하여 탄소 함유 가스 공급원으로부터 탄소 함유 가스가 공급된다. 가스 배관에는, 유량 제어기 및 개폐 밸브가 마련되어 있다. 이에 의해, 탄소 함유 가스는, 탄소 함유 가스 공급원으로부터 가스 배관 및 가스 공급관(21)을 통하여, 소정의 유량으로 처리 용기(1) 내에 공급된다.
탄소 함유 가스로서는, 예를 들어 아세틸렌(C2H2), 에틸렌(C2H4), 프로필렌(C3H6), 메탄(CH4), 에탄(C2H6), 프로판(C3H8) 및 부탄(C4H10)으로 이루어지는 군에서 선택되는 1 또는 2 이상의 가스를 이용할 수 있다.
가스 공급관(22)은 그 수직 부분이 후술하는 플라스마 생성 공간에 마련되어 있다. 가스 공급관(22)에는, 가스 배관을 통하여 질소 함유 가스 공급원으로부터 질소 함유 가스가 공급된다. 가스 배관에는, 유량 제어기 및 개폐 밸브가 마련되어 있다. 이에 의해, 질소 함유 가스는, 질소 가스 공급원으로부터 가스 배관 및 가스 공급관(22)을 통하여, 소정의 유량으로 플라스마 생성 공간에 공급되고, 플라스마 생성 공간에 있어서 플라스마화되어서 처리 용기(1) 내에 공급된다.
질소 함유 가스로서는, 예를 들어 암모니아(NH3), 디아젠(N2H2), 히드라진(N2H4) 및 모노메틸히드라진(CH3(NH)NH2) 등의 유기 히드라진 화합물로 이루어지는 군에서 선택되는 1 또는 2 이상의 가스를 이용할 수 있다.
또한, 가스 공급관(22)에는, 가스 배관을 통하여 수소 가스 공급원으로부터 수소(H2) 가스가 공급된다. 가스 배관에는, 유량 제어기 및 개폐 밸브가 마련되어 있다. 이에 의해, H2 가스는, 수소 가스 공급원으로부터 가스 배관 및 가스 공급관(22)을 통하여, 소정의 유량으로 플라스마 생성 공간에 공급되고, 플라스마 생성 공간에 있어서 플라스마화되어서 처리 용기(1) 내에 공급된다.
가스 공급관(24)에는, 가스 배관을 통하여 퍼지 가스 공급원으로부터 퍼지 가스가 공급된다. 가스 배관에는, 유량 제어기 및 개폐 밸브가 마련되어 있다. 이에 의해, 퍼지 가스는, 퍼지 가스 공급원으로부터 가스 배관 및 가스 공급관(24)을 통하여, 소정의 유량으로 처리 용기(1) 내에 공급된다. 퍼지 가스로서는, 예를 들어 질소(N2), 아르곤(Ar) 등의 불활성 가스를 이용할 수 있다. 또한, 퍼지 가스는, 가스 공급관(21, 22)의 적어도 하나로부터 공급되도록 해도 된다.
처리 용기(1)의 측벽의 일부에는, 플라스마 생성 기구(30)가 형성되어 있다. 플라스마 생성 기구(30)는 NH3 가스를 플라스마화하여 질화를 위한 활성종(반응종)을 생성한다. 플라스마 생성 기구(30)는 H2 가스를 플라스마화하여 수소(H) 라디칼을 생성한다. 플라스마 생성 기구(30)는 Cl2 가스를 플라스마화하여 염소(Cl) 라디칼을 생성한다.
플라스마 생성 기구(30)는 플라스마 구획벽(32), 한쌍의 플라스마 전극(33), 급전 라인(34), RF 전원(35) 및 절연 보호 커버(36)를 갖는다.
플라스마 구획벽(32)은 처리 용기(1)의 외벽에 기밀하게 용접되어 있다. 플라스마 구획벽(32)은 예를 들어 석영에 의해 형성된다. 플라스마 구획벽(32)은 단면 오목형을 이루고, 처리 용기(1)의 측벽에 형성된 개구(31)를 덮는다. 개구(31)는 보트(5)에 지지되어 있는 모든 기판(W)을 상하 방향으로 커버할 수 있도록, 상하 방향으로 가늘고 길게 형성된다. 플라스마 구획벽(32)에 의해 규정됨과 함께 처리 용기(1) 내와 연통하는 내측 공간, 즉, 플라스마 생성 공간에는, 가스 공급관(22)이 배치되어 있다. 가스 공급관(21)은 플라스마 생성 공간의 밖의 처리 용기(1)의 내측벽을 따른 기판(W)에 가까운 위치에 마련되어 있다. 도시된 예에서는, 개구(31)를 사이에 둔 위치에 2개의 가스 공급관(21)이 배치되어 있지만, 이것에 한정되지 않고, 예를 들어 2개의 가스 공급관(21)의 한쪽만이 배치되어 있어도 된다.
한 쌍의 플라스마 전극(33)은 각각 가늘고 긴 형상을 갖고, 플라스마 구획벽(32)의 양측의 벽의 외면에, 상하 방향을 따라서 대향 배치되어 있다. 각 플라스마 전극(33)의 하단에는, 급전 라인(34)이 접속되어 있다.
급전 라인(34)은 각 플라스마 전극(33)과 RF 전원(35)을 전기적으로 접속한다. 도시된 예에서는, 급전 라인(34)은 일단부가 각 플라스마 전극(33)의 짧은 변의 측부인 하단에 접속되어 있고, 타단부가 RF 전원(35)과 접속되어 있다.
RF 전원(35)은 각 플라스마 전극(33)의 하단에 급전 라인(34)을 통하여 접속되고, 한쌍의 플라스마 전극(33)에 예를 들어 13.56MHz의 RF 전력을 공급한다. 이에 의해, 플라스마 구획벽(32)에 의해 규정된 플라스마 생성 공간 내에, RF 전력이 인가된다. 가스 공급관(22)으로부터 토출된 질소 함유 가스는, RF 전력이 인가된 플라스마 생성 공간 내에 있어서 플라스마화되어, 이에 의해 생성된 질화를 위한 활성종이 개구(31)를 통하여 처리 용기(1)의 내부에 공급된다. 가스 공급관(22)으로부터 토출된 H2 가스는, RF 전력이 인가된 플라스마 생성 공간 내에 있어서 플라스마화되어, 이에 의해 생성된 수소 라디칼이 개구(31)를 통하여 처리 용기(1)의 내부에 공급된다.
절연 보호 커버(36)는 플라스마 구획벽(32)의 외측에, 해당 플라스마 구획벽(32)을 덮도록 하여 설치되어 있다. 절연 보호 커버(36)의 내측 부분에는, 냉매 통로(도시하지 않음)가 마련되어 있고, 냉매 통로에 냉각된 N2 가스 등의 냉매를 흘림으로써 플라스마 전극(33)이 냉각된다. 플라스마 전극(33)과 절연 보호 커버(36) 사이에는, 플라스마 전극(33)을 덮도록 실드(도시하지 않음)가 마련되어 있어도 된다. 실드는, 예를 들어 금속 등의 양도체(良導體)에 의해 형성되고, 접지된다.
개구(31)에 대향하는 처리 용기(1)의 측벽 부분에는, 처리 용기(1) 내를 진공 배기하기 위한 배기구(40)가 마련되어 있다. 배기구(40)는 보트(5)에 대응하여 상하로 가늘고 길게 형성되어 있다. 처리 용기(1)의 배기구(40)에 대응하는 부분에는, 배기구(40)를 덮도록 단면 U자상으로 성형된 배기구 커버 부재(41)가 설치되어 있다. 배기구 커버 부재(41)는 처리 용기(1)의 측벽을 따라서 상방으로 연장되어 있다. 배기구 커버 부재(41)의 하부에는, 배기구(40)를 통하여 처리 용기(1)를 배기하기 위한 배기 배관(42)이 접속되어 있다. 배기 배관(42)에는, 처리 용기(1) 내의 압력을 제어하는 압력 제어 밸브(43) 및 진공 펌프 등을 포함하는 배기 장치(44)가 접속되어 있고, 배기 장치(44)에 의해 배기 배관(42)을 통하여 처리 용기(1) 내가 배기된다.
처리 용기(1)의 주위에는, 원통체상의 가열 기구(50)가 마련되어 있다. 가열 기구(50)는 처리 용기(1) 및 그 내부의 기판(W)을 가열한다.
처리 장치(100)는 제어부(60)를 갖는다. 제어부(60)는 예를 들어 처리 장치(100)의 각 부의 동작을 제어함으로써, 후술하는 성막 방법을 실시한다. 제어부(60)는 예를 들어 컴퓨터 등이면 된다. 처리 장치(100)의 각 부의 동작을 행하는 컴퓨터의 프로그램은 기억 매체에 기억되어 있다. 기억 매체는, 예를 들어 플렉시블 디스크, 콤팩트 디스크, 하드 디스크, 플래시 메모리, DVD 등이면 된다.
〔성막 방법〕
도 3 내지 도 8을 참조하여, 실시 형태의 성막 방법에 대해서, 전술한 처리 장치(100)에 의해 실시되는 경우를 예로 들어 설명한다. 단, 실시 형태의 성막 방법은, 전술한 처리 장치(100)와는 다른 장치에 의해서도 실시 가능하다.
실시 형태의 성막 방법은, 도 3에 도시된 바와 같이, 열 ALD에 의해 SiCN 시드층을 형성하는 공정 S10, 열 ALD에 의해 SiN 보호층을 형성하는 공정 S20 및 플라스마 ALD에 의해 SiN 벌크층을 형성하는 공정 S30을 갖는다.
열 ALD에 의해 SiCN 시드층을 형성하는 공정 S10, 열 ALD에 의해 SiN 보호층을 형성하는 공정 S20 및 플라스마 ALD에 의해 SiN 벌크층을 형성하는 공정 S30은, 예를 들어 처리 장치(100)와 동일한 처리 용기(1) 내에서 실시된다.
열 ALD에 의해 SiCN 시드층을 형성하는 공정 S10, 열 ALD에 의해 SiN 보호층을 형성하는 공정 S20 및 플라스마 ALD에 의해 SiN 벌크층을 형성하는 공정 S30은, 예를 들어 기판(W)을 450℃ 내지 630℃로 가열한 상태에서 실시된다.
열 ALD에 의해 SiCN 시드층을 형성하는 공정 S10에서는, 도 4의 (a)에 도시하는 바와 같이, 실리콘 함유 가스와 탄소 함유 가스와 질소 함유 가스의 반응을 열에 의해 행하는 열 ALD(Atomic Layer Deposition)에 의해, 기판(W) 상에 SiCN 시드층(101)을 형성한다. 바꾸어 말하면, 열 ALD에 의해 SiCN 시드층을 형성하는 공정 S10에서는, 실리콘 함유 가스, 탄소 함유 가스 및 질소 함유 가스를 플라스마화하지 않고, 기판(W) 상에 SiCN 시드층(101)을 형성한다. 기판(W)은, 예를 들어 표면에 하지로서 SiO2막이 형성된 실리콘 웨이퍼이면 된다.
본 실시 형태에 있어서, 열 ALD에 의해 SiCN 시드층을 형성하는 공정 S10은, 도 5에 도시되는 바와 같이, 퍼지 스텝 S11, HCD 공급 스텝 S12, 퍼지 스텝 S13, C2H4 공급 스텝 S14, 퍼지 스텝 S15 및 Th-NH3 공급 스텝 S16을 포함한다. 그리고, 퍼지 스텝 S11, HCD 공급 스텝 S12, 퍼지 스텝 S13, C2H4 공급 스텝 S14, 퍼지 스텝 S15 및 Th-NH3 공급 스텝 S16은, 기판(W) 상에 원하는 막 두께의 SiCN 시드층(101)이 형성될 때까지 이 순으로 반복된다. 반복 횟수는, 예를 들어 1회 내지 20회여도 된다.
퍼지 스텝 S11에서는, 처리 용기(1) 내의 분위기를 퍼지 가스 분위기로 치환한다. 구체적으로는, 배기 장치(44)에 의해 처리 용기(1) 내를 배기하면서, 가스 공급관(24)으로부터 처리 용기(1) 내에 퍼지 가스를 공급함으로써, 처리 용기(1) 내를 퍼지 가스 분위기로 치환한다.
HCD 공급 스텝 S12에서는, 기판(W)에 실리콘 함유 가스의 일례인 HCD 가스를 공급한다. 구체적으로는, 가스 공급관(21)으로부터 처리 용기(1) 내에 HCD 가스를 공급한다. 이에 의해, 기판(W)의 표면에 HCD 가스가 흡착된다.
퍼지 스텝 S13에서는, 처리 용기(1) 내의 분위기를 퍼지 가스 분위기로 치환한다. 구체적으로는, 배기 장치(44)에 의해 처리 용기(1) 내를 배기하면서, 가스 공급관(24)으로부터 처리 용기(1) 내에 퍼지 가스를 공급함으로써, 처리 용기(1) 내를 퍼지 가스 분위기로 치환한다.
C2H4 공급 스텝 S14에서는, 기판(W)에 탄소 함유 가스의 일례인 C2H4 가스를 공급한다. 구체적으로는, 가스 공급관(22)으로부터 처리 용기(1) 내에 C2H4 가스를 공급함으로써, C2H4 가스를 기판(W)에 공급한다. 이에 의해, 기판(W)의 표면에 흡착한 HCD 가스가 탄화된다.
퍼지 스텝 S15에서는, 처리 용기(1) 내의 분위기를 퍼지 가스 분위기로 치환한다. 구체적으로는, 배기 장치(44)에 의해 처리 용기(1) 내를 배기하면서, 가스 공급관(24)으로부터 처리 용기(1) 내에 퍼지 가스를 공급함으로써, 처리 용기(1) 내를 퍼지 가스 분위기로 치환한다.
Th-NH3 공급 스텝 S16에서는, 기판(W)에 질소 함유 가스의 일례인 NH3 가스를 공급한다. 구체적으로는, 가스 공급관(22)으로부터 처리 용기(1) 내에 NH3 가스를 공급함으로써, NH3 가스를 기판(W)에 공급한다. 이에 의해, 기판(W)의 표면에 흡착한 HCD 가스가 질화된다.
열 ALD에 의해 SiN 보호층을 형성하는 공정 S20에서는, 도 4의 (b)에 도시하는 바와 같이, 실리콘 함유 가스와 질소 함유 가스의 반응을 열에 의해 행하는 열 ALD에 의해, SiCN 시드층(101) 상에 SiN 보호층(102)을 형성한다. 바꾸어 말하면, 열 ALD에 의해 SiN 보호층을 형성하는 공정 S20에서는, 실리콘 함유 가스 및 질소 함유 가스를 플라스마화하지 않고, SiCN 시드층(101) 상에 SiN 보호층(102)을 형성한다.
본 실시 형태에 있어서, 열 ALD에 의해 SiN 보호층을 형성하는 공정 S20은, 도 6에 도시하는 바와 같이, 퍼지 스텝 S21, HCD 공급 스텝 S22, 퍼지 스텝 S23 및 Th-NH3 공급 스텝 S24를 포함한다. 그리고, 퍼지 스텝 S21, HCD 공급 스텝 S22, 퍼지 스텝 S23 및 Th-NH3 공급 스텝 S24는, SiCN 시드층(101) 상에 원하는 막 두께의 SiN 보호층(102)이 형성될 때까지 이 순으로 반복된다. 반복 횟수는, 예를 들어 5회 내지 20회여도 된다.
SiN 보호층(102)의 막 두께는, 2㎚ 이상인 것이 바람직하다. 이에 의해, 플라스마 ALD에 의해 SiN 보호층(102) 상에 SiN 벌크층(103)을 형성할 때의 SiCN 시드층(101)에 대한 대미지를 대폭 억제할 수 있다. 또한, 열 ALD에 의해 형성되는 SiN층은 플라스마 ALD에 의해 형성되는 SiN층에 비하여 막질이 나쁘기 때문에, 얇은 쪽이 바람직하고, 예를 들어 3㎚ 이하인 것이 바람직하다.
퍼지 스텝 S21, HCD 공급 스텝 S22, 퍼지 스텝 S23 및 Th-NH3 공급 스텝 S24는, 각각 퍼지 스텝 S11, HCD 공급 스텝 S12, 퍼지 스텝 S13 및 Th-NH3 공급 스텝 S16과 동일해도 된다.
플라스마 ALD에 의해 SiN 벌크층을 형성하는 공정 S30에서는, 도 4의 (c)에 도시하는 바와 같이, 실리콘 함유 가스와 질소 함유 가스의 반응을 플라스마에 의한 어시스트로 행하는 플라스마 ALD에 의해, SiN 보호층(102) 상에 SiN 벌크층(103)을 형성한다.
본 실시 형태에 있어서, 플라스마 ALD에 의해 SiN 벌크층을 형성하는 공정 S30은, 도 7에 도시하는 바와 같이, 퍼지 스텝 S31, DCS 공급 스텝 S32, 퍼지 스텝 S33 및 PE-NH3 공급 스텝 S34를 포함한다. 그리고, 퍼지 스텝 S31, DCS 공급 스텝 S32, 퍼지 스텝 S33 및 PE-NH3 공급 스텝 S34는, SiN 보호층(102) 상에 원하는 막 두께의 SiN 벌크층(103)이 형성될 때까지 이 순으로 반복된다.
퍼지 스텝 S31 및 퍼지 스텝 S33은, 각각 퍼지 스텝 S11 및 퍼지 스텝 S13과 동일해도 된다.
DCS 공급 스텝 S32에서는, 기판(W)에 실리콘 함유 가스의 일례인 DCS 가스를 공급한다. 구체적으로는, 가스 공급관(21)으로부터 처리 용기(1) 내에 DCS 가스를 공급한다. 이에 의해, 기판(W)의 표면에 DCS 가스가 흡착된다.
PE-NH3 공급 스텝 S34에서는, 기판(W)을, 질소 함유 가스의 일례인 NH3 가스로부터 생성한 플라스마에 노출시킨다. 구체적으로는, 가스 공급관(22)으로부터 처리 용기(1) 내에 NH3 가스를 공급함과 함께 RF 전원(35)으로부터 한쌍의 플라스마 전극(33)에 RF 전력을 인가함으로써, NH3 가스를 플라스마화하여 질화를 위한 활성종을 생성하여 기판(W)에 공급한다. 이에 의해, 기판(W)의 표면에 흡착한 DCS 가스가 질화된다.
또한, 플라스마 ALD에 의해 SiN 벌크층을 형성하는 공정 S30은, 도 8에 도시하는 바와 같이, 퍼지 스텝 S31, DCS 공급 스텝 S32, 퍼지 스텝 S33 및 PE-NH3 공급 스텝 S34에 추가로, HRP 스텝 S35 및 퍼지 스텝 S36을 더 포함하고 있어도 된다. 이 경우, 퍼지 스텝 S31, DCS 공급 스텝 S32, 퍼지 스텝 S33, HRP 스텝 S35, 퍼지 스텝 S36 및 PE-NH3 공급 스텝 S34는, SiN 보호층(102) 상에 원하는 막 두께의 SiN 벌크층(103)이 형성될 때까지 이 순으로 반복된다. HRP 스텝 S35를 추가함으로써, SiN 벌크층(103)의 막질이 향상된다.
HRP 스텝 S35에서는, 기판(W)을 H2 가스로부터 생성된 플라스마에 노출시키는 HRP(Hydrogen Radical Purge)를 행한다. 본 실시 형태에 있어서, 가스 공급관(22)으로부터 처리 용기(1) 내에 H2 가스를 공급함과 함께 RF 전원(35)으로부터 한쌍의 플라스마 전극(33)에 RF 전력을 인가함으로써, H2 가스를 플라스마화하여 수소 라디칼을 생성하여 기판(W)에 공급한다.
퍼지 스텝 S36에서는, 처리 용기(1) 내의 분위기를 퍼지 가스 분위기로 치환한다. 구체적으로는, 배기 장치(44)에 의해 처리 용기(1) 내를 배기하면서, 가스 공급관(24)으로부터 처리 용기(1) 내에 퍼지 가스를 공급함으로써, 처리 용기(1) 내를 퍼지 가스 분위기로 치환한다.
이상에서 설명한 바와 같이 실시 형태의 성막 방법에 의하면, SiCN 시드층(101) 상에 플라스마 ALD에 의해 SiN 벌크층(103)을 형성하기 전에, 열 ALD에 의해 SiN 보호층(102)을 형성한다. 이에 의해, SiN 보호층(102)이 플라스마 ALD에 의해 SiN 벌크층(103)을 형성할 때의 플라스마를 블로킹하는 역할을 하여, SiCN 시드층(101)의 막질을 유지할 수 있다. 즉, SiCN 시드층(101) 상에 플라스마를 사용하여 SiN 벌크층(103)을 형성할 때의 SiCN 시드층(101)에 대한 대미지를 억제할 수 있다.
또한, 상기 실시 형태의 성막 방법에서는, 공정 S10 및 공정 S20과, 공정 S30에 있어서, 다른 종류의 실리콘 함유 가스를 사용하는 경우를 설명했지만, 본 개시는 이것에 한정되지 않는다. 예를 들어, 공정 S10, 공정 S20 및 공정 S30에 있어서, 동일한 종류의 실리콘 함유 가스를 사용해도 된다. 또한 예를 들어, 공정 S10과 공정 S20과 공정 S30에 있어서, 모두 다른 종류의 실리콘 함유 가스를 사용해도 된다.
또한, 상기 실시 형태의 성막 방법에서는, 공정 S10, 공정 S20 및 공정 S30을 동일한 처리 용기(1) 내에서 실시하는 경우를 설명했지만, 본 개시는 이것에 한정되지 않는다.
〔메커니즘〕
도 9 및 도 10을 참조하여, 실시 형태의 성막 방법에 의해 기판(W) 상에 형성되는 SiCN 시드층(101) 상에 플라스마를 사용하여 SiN 벌크층(103)을 성막할 때에 SiCN 시드층(101)에 대한 대미지를 억제할 수 있는 메커니즘에 대하여 설명한다.
처음에, 도 9를 참조하여, SiCN 시드층(101) 상에 SiN 보호층(102)이 형성되어 있지 않은 경우에 대하여 설명한다. 도 9의 (a)에 도시하는 바와 같이, Si/SiCN 적층체를 NH3 플라스마에 노출시키면, 플라스마 중의 라디칼, 이온 등의 활성종과 반응하여, SiCN 중에 포함되는 탄소(C)가 CHx가 되어서 탈리(휘발)한다. 그 결과, 도 9의 (b)에 도시하는 바와 같이, SiCN의 막 두께가 영역(A)으로 나타내지는 분만큼 감소한다.
이어서, 도 10을 참조하여, SiCN 시드층(101) 상에 SiN 보호층(102)이 형성되어 있는 경우에 대하여 설명한다. 도 10의 (a)에 도시하는 바와 같이, Si/SiCN/SiN 보호층 적층체를 NH3 플라스마에 노출한 경우, SiCN의 표면을 덮는 SiN 보호층에 의해, 플라스마 중의 라디칼, 이온 등의 활성종이 SiCN과 반응하는 것이 방지된다. 그 때문에, SiCN 중에 포함되는 탄소(C)가 CHx가 되어서 탈리(휘발)하는 것을 방지할 수 있다. 또한, SiN 보호층에는 탄소(C)가 포함되어 있지 않다. 그 때문에, SiN 보호층이 NH3 플라스마에 노출되더라도 탄소의 탈리가 발생하지 않아, SiN 보호층은 거의 대미지를 받지 않는다. 그 결과, SiCN에 대한 대미지를 억제할 수 있다.
〔실시예〕
도 11 및 도 12를 참조하여, SiCN 시드층의 플라스마 내성을 평가한 실시예에 대하여 설명한다.
먼저, 기판에 열 ALD에 의해 SiCN 시드층을 형성하였다. 구체적으로는, 도 5에 도시되는 처리를 행함으로써, 기판에 SiCN 시드층을 형성하였다.
이어서, 기판에 플라스마 ALD에 의해 SiCN 시드층을 형성하였다. 구체적으로는, 도 5에 도시되는 처리에 있어서의 Th-NH3 공급 스텝 S16을, NH3 가스로부터 생성한 플라스마에 기판을 노출시키는 스텝으로 변경하고, 기판에 SiCN 시드층을 형성하였다.
계속해서, 기판에 형성된 각각의 SiCN 시드층의 WER을 측정하였다. WER은, SiCN 시드층을 0.5%의 DHF로 에칭했을 때의 에칭 레이트이다. 또한, 기판에 형성된 각각의 SiCN층의 막 조성을 측정하였다.
도 11은, SiCN 시드층의 플라스마 내성을 평가한 결과를 도시하는 도면이다. 도 11 중, 좌측의 그래프는 열 ALD에 의해 형성된 SiCN 시드층(Th-SiCN)의 WER[Å/min]을 나타내고, 우측의 그래프는 플라스마 ALD에 의해 형성된 SiCN 시드층(PE-SiCN)의 WER[Å/min]을 나타낸다.
도 11에 도시하는 바와 같이, 열 ALD에 의해 형성된 SiCN 시드층의 WER은 1.79인 데 반해, 플라스마 ALD에 의해 형성된 SiCN 시드층의 WER은 7.47임을 알 수 있다. 즉, 플라스마 ALD에 의해 형성된 SiCN 시드층은, 열 ALD에 의해 형성된 SiCN 시드층보다도 WER이 4배 정도 크다는 것을 알 수 있다. 이 결과로부터, SiCN층을 형성할 때에 플라스마를 사용하면, SiCN층의 막질이 저하됨을 보여준다.
도 12는, SiCN 시드층의 막 조성을 평가한 결과를 도시하는 도면이다. 도 12 중, 좌측의 그래프는 열 ALD에 의해 형성된 SiCN 시드층(Th-SiCN)의 막 조성[%]을 나타내고, 우측의 그래프는 플라스마 ALD에 의해 형성된 SiCN 시드층(PE-SiCN)의 막 조성[%]을 나타낸다.
도 12에 도시하는 바와 같이, 열 ALD에 의해 형성된 SiCN 시드층에 포함되는 탄소(C) 농도는 7% 정도인 데 반해, 플라스마 ALD에 의해 형성된 SiCN 시드층의 탄소(C) 농도는 1% 정도임을 알 수 있다. 즉, 플라스마 ALD에 의해 형성된 SiCN 시드층은, 열 ALD에 의해 형성된 SiCN 시드층보다도 탄소 농도가 현저하게 낮다는 것을 알 수 있다. 이 결과로부터, SiCN 시드층을 형성할 때에 플라스마를 사용하면, SiCN 시드층에 포함되는 탄소(C) 농도가 저하됨을 보여준다.
이상의 결과로부터, SiCN 시드층이 플라스마에 노출되면, SiCN 시드층에 포함되는 탄소(C) 농도가 저하되고, 이에 의해, 막질이 저하되는 것으로 생각된다.
금회 개시된 실시 형태는 모든 점에서 예시이며 제한적인 것은 아니라고 생각되어야 한다. 상기 실시 형태는, 첨부된 청구범위 및 그 취지를 일탈하지 않고, 다양한 형태로 생략, 치환, 변경되어도 된다.
상기 실시 형태에서는, 처리 장치가 복수의 기판에 대하여 한번에 처리를 행하는 뱃치식의 장치인 경우를 설명했지만, 본 개시는 이것에 한정되지 않는다. 예를 들어, 처리 장치는 기판을 1매씩 처리하는 매엽식의 장치여도 된다. 또한, 예를 들어 처리 장치는 처리 용기 내의 회전 테이블 상에 배치한 복수의 기판을 회전 테이블에 의해 공전시키고, 제1 가스가 공급되는 영역과 제2 가스가 공급되는 영역을 차례로 통과시켜서 기판에 대하여 처리를 행하는 세미 배치식의 장치여도 된다.

Claims (10)

  1. 기판에 열 ALD에 의해 SiCN 시드층을 형성하는 공정과,
    상기 SiCN 시드층 상에 열 ALD에 의해 SiN 보호층을 형성하는 공정과,
    상기 SiN 보호층 상에 플라스마 ALD에 의해 SiN 벌크층을 형성하는 공정
    을 갖는 성막 방법.
  2. 제1항에 있어서, 상기 SiCN 시드층을 형성하는 공정은,
    상기 기판에 실리콘 함유 가스를 공급하는 스텝과,
    상기 기판에 탄소 함유 가스를 공급하는 스텝과,
    상기 기판에 질소 함유 가스를 공급 스텝
    을 포함하는, 성막 방법.
  3. 제2항에 있어서, 상기 SiCN 시드층을 형성하는 공정에 있어서,
    상기 실리콘 함유 가스는 HCD 가스이고, 상기 탄소 함유 가스는 C2H4 가스이며,
    상기 질소 함유 가스는 NH3 가스인,
    성막 방법.
  4. 제1항 내지 제3항 중 어느 한 항에 있어서, 상기 SiN 보호층을 형성하는 공정은,
    상기 기판에 실리콘 함유 가스를 공급하는 스텝과,
    상기 기판에 질소 함유 가스를 공급하는 스텝
    을 포함하는, 성막 방법.
  5. 제4항에 있어서, 상기 SiN 보호층을 형성하는 공정에 있어서,
    상기 실리콘 함유 가스는 HCD 가스이며, 상기 질소 함유 가스는 NH3 가스인,
    성막 방법.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서, 상기 SiN 벌크층을 형성하는 공정은,
    상기 기판에 실리콘 함유 가스를 공급하는 스텝과,
    상기 기판을 질소 함유 가스로부터 생성한 플라스마에 노출시키는 스텝
    을 포함하는, 성막 방법.
  7. 제6항에 있어서, 상기 SiN 벌크층을 형성하는 공정에 있어서,
    상기 실리콘 함유 가스는 DCS 가스이며, 상기 질소 함유 가스는 NH3 가스인,
    성막 방법.
  8. 제1항 내지 제7항 중 어느 한 항에 있어서, 상기 SiN 벌크층을 형성하는 공정은, 상기 기판을 H2 가스로부터 생성한 플라스마에 노출시키는 스텝을 더 포함하는,
    성막 방법.
  9. 제1항 내지 제8항 중 어느 한 항에 있어서, 상기 SiCN 시드층을 형성하는 공정, 상기 SiN 보호층을 형성하는 공정 및 상기 SiN 벌크층을 형성하는 공정은, 동일한 처리 용기 내에서 실시되는,
    성막 방법.
  10. 기판을 수용하는 처리 용기와,
    상기 처리 용기 내에 처리 가스를 공급하는 가스 공급부와,
    상기 처리 용기 내를 배기하는 배기부와,
    제어부
    를 구비하고,
    상기 제어부는,
    상기 처리 용기 내에 기판을 수용하여 해당 기판에 열 ALD에 의해 SiCN 시드층을 형성하는 공정과,
    상기 SiCN 시드층 상에 열 ALD에 의해 SiN 보호층을 형성하는 공정과,
    상기 SiN 보호층 상에 플라스마 ALD에 의해 SiN 벌크층을 형성하는 공정
    을 실행하도록 상기 가스 공급부 및 상기 배기부를 제어하도록 구성되는,
    처리 장치.
KR1020220007120A 2021-01-28 2022-01-18 성막 방법 및 처리 장치 KR20220109317A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2021-011976 2021-01-28
JP2021011976A JP2022115397A (ja) 2021-01-28 2021-01-28 成膜方法及び処理装置

Publications (1)

Publication Number Publication Date
KR20220109317A true KR20220109317A (ko) 2022-08-04

Family

ID=82496018

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020220007120A KR20220109317A (ko) 2021-01-28 2022-01-18 성막 방법 및 처리 장치

Country Status (3)

Country Link
US (1) US20220238335A1 (ko)
JP (1) JP2022115397A (ko)
KR (1) KR20220109317A (ko)

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005012168A (ja) 2003-05-26 2005-01-13 Tokyo Electron Ltd シリコン窒化膜の成膜方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005012168A (ja) 2003-05-26 2005-01-13 Tokyo Electron Ltd シリコン窒化膜の成膜方法

Also Published As

Publication number Publication date
US20220238335A1 (en) 2022-07-28
JP2022115397A (ja) 2022-08-09

Similar Documents

Publication Publication Date Title
JP5190307B2 (ja) 成膜方法、成膜装置及び記憶媒体
JP5852147B2 (ja) 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
JP4506677B2 (ja) 成膜方法、成膜装置及び記憶媒体
US9732426B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP6086933B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
US10090149B2 (en) Method of manufacturing semiconductor device by forming and modifying film on substrate
JP5886381B2 (ja) 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
US9741555B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
US20140080319A1 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
US10074535B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP6490374B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP2015170614A (ja) 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
JP2015230945A (ja) 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
US20210198787A1 (en) Film forming method and system
JP2017168788A (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP6151335B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP2017183392A (ja) 基板処理装置、半導体装置の製造方法および記録媒体
KR20200112692A (ko) 처리 장치 및 처리 방법
KR20220109317A (ko) 성막 방법 및 처리 장치
JP6339236B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP7457818B2 (ja) 基板処理装置、半導体装置の製造方法、プログラム、補助プレートおよび基板保持具
US20220223403A1 (en) Deposition method and plasma processing apparatus
JP7342138B2 (ja) 基板処理装置、プラズマ生成装置、半導体装置の製造方法、プラズマ生成方法およびプログラム
WO2024070825A1 (ja) 膜形成方法及び基板処理装置

Legal Events

Date Code Title Description
A201 Request for examination