KR20220029282A - 고 채널 카운트 광자들에 대한 광 재분배 층들 - Google Patents

고 채널 카운트 광자들에 대한 광 재분배 층들 Download PDF

Info

Publication number
KR20220029282A
KR20220029282A KR1020200157796A KR20200157796A KR20220029282A KR 20220029282 A KR20220029282 A KR 20220029282A KR 1020200157796 A KR1020200157796 A KR 1020200157796A KR 20200157796 A KR20200157796 A KR 20200157796A KR 20220029282 A KR20220029282 A KR 20220029282A
Authority
KR
South Korea
Prior art keywords
optical
multiplexers
lanes
wavelengths
layer
Prior art date
Application number
KR1020200157796A
Other languages
English (en)
Other versions
KR102540194B1 (ko
Inventor
존 파커
Original Assignee
쥬니퍼 네트워크스, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 쥬니퍼 네트워크스, 인크. filed Critical 쥬니퍼 네트워크스, 인크.
Publication of KR20220029282A publication Critical patent/KR20220029282A/ko
Application granted granted Critical
Publication of KR102540194B1 publication Critical patent/KR102540194B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/12007Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind forming wavelength selective elements, e.g. multiplexer, demultiplexer
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B10/00Transmission systems employing electromagnetic waves other than radio-waves, e.g. infrared, visible or ultraviolet light, or employing corpuscular radiation, e.g. quantum communication
    • H04B10/50Transmitters
    • H04B10/501Structural aspects
    • H04B10/503Laser transmitters
    • H04B10/505Laser transmitters using external modulation
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B10/00Transmission systems employing electromagnetic waves other than radio-waves, e.g. infrared, visible or ultraviolet light, or employing corpuscular radiation, e.g. quantum communication
    • H04B10/07Arrangements for monitoring or testing transmission systems; Arrangements for fault measurement of transmission systems
    • H04B10/075Arrangements for monitoring or testing transmission systems; Arrangements for fault measurement of transmission systems using an in-service signal
    • H04B10/079Arrangements for monitoring or testing transmission systems; Arrangements for fault measurement of transmission systems using an in-service signal using measurements of the data signal
    • H04B10/0799Monitoring line transmitter or line receiver equipment
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/12004Combinations of two or more optical elements
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/122Basic optical elements, e.g. light-guiding paths
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/13Integrated optical circuits characterised by the manufacturing method
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/42Coupling light guides with opto-electronic elements
    • G02B6/4201Packages, e.g. shape, construction, internal or external details
    • G02B6/4204Packages, e.g. shape, construction, internal or external details the coupling comprising intermediate optical elements, e.g. lenses, holograms
    • G02B6/4215Packages, e.g. shape, construction, internal or external details the coupling comprising intermediate optical elements, e.g. lenses, holograms the intermediate optical elements being wavelength selective optical elements, e.g. variable wavelength optical modules or wavelength lockers
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B10/00Transmission systems employing electromagnetic waves other than radio-waves, e.g. infrared, visible or ultraviolet light, or employing corpuscular radiation, e.g. quantum communication
    • H04B10/40Transceivers
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B10/00Transmission systems employing electromagnetic waves other than radio-waves, e.g. infrared, visible or ultraviolet light, or employing corpuscular radiation, e.g. quantum communication
    • H04B10/50Transmitters
    • H04B10/501Structural aspects
    • H04B10/506Multiwavelength transmitters
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04BTRANSMISSION
    • H04B10/00Transmission systems employing electromagnetic waves other than radio-waves, e.g. infrared, visible or ultraviolet light, or employing corpuscular radiation, e.g. quantum communication
    • H04B10/60Receivers
    • H04B10/66Non-coherent receivers, e.g. using direct detection
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12035Materials
    • G02B2006/12061Silicon
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12035Materials
    • G02B2006/12069Organic material
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12083Constructional arrangements
    • G02B2006/12085Integrated
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12083Constructional arrangements
    • G02B2006/12121Laser
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12133Functions
    • G02B2006/12142Modulator
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12133Functions
    • G02B2006/12147Coupler
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12133Functions
    • G02B2006/1215Splitter
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12133Functions
    • G02B2006/12164Multiplexing; Demultiplexing
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12166Manufacturing methods

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Optics & Photonics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Electromagnetism (AREA)
  • Signal Processing (AREA)
  • Optical Communication System (AREA)
  • Optical Integrated Circuits (AREA)
  • Optical Couplings Of Light Guides (AREA)
  • Semiconductor Lasers (AREA)
  • Optical Modulation, Optical Deflection, Nonlinear Optics, Optical Demodulation, Optical Logic Elements (AREA)

Abstract

고 채널 카운트 광 트랜시버들이 공유된 레이저들을 갖는 광자 집적 회로(PIC)들에서 구현되어, 변조에 앞서 다수의 레인들 사이에서 각각의 레이저의 광을 분할할 수 있다. 그러한 PIC들에서 도파로 교차들을 감소시키기 위해, 송신기 및 자체 테스트 기능성이 별개의 디바이스 층들 사이에 분산될 수도 있다. 다양한 유리한 송신기 회로부 레이아웃들이 개시된다.

Description

고 채널 카운트 광자들에 대한 광 재분배 층들{OPTICAL REDISTRIBUTION LAYERS FOR HIGH-CHANNEL-COUNT-PHOTONICS}
본 개시내용은 일반적으로 고 채널 카운트(high-channel-count) 광자 집적 회로(photonic integrated circuit)(PIC)들에 관한 것으로, 특히 광 트랜시버 회로(optical transceiver circuit)들에 관한 것이다.
광 통신 네트워크들은, 통신 링크의 일단(one end) 상에서 데이터를 광 신호들로 인코딩하기 위한, 예를 들어, 레이저들 및 광 변조기들을 포함하는 광 송신기들, 및 타단(other end)에서 광 신호들로부터 데이터를 재생성하기 위한, 예를 들어, 포토다이오드들로 구현되는 광 수신기들을 사용한다. 송신기들 및 수신기들이 동일한 광자 회로에 종종 집적되어, 통신 네트워크에서 노드로서 기능하도록 광 신호들을 전송하는 것과 수신하는 것 양측 모두를 할 수 있는 광 트랜시버를 형성한다. 동일한 광 섬유를 통해, 다수의 통신 채널들에 대응하는 다수의 광 신호들을 전송하는 것을 용이하게 하기 위해, 트랜시버 회로들은 다수의 파장들에서의 광 신호들을 생성하고 이들을 멀티-채널 출력 신호들로 멀티플렉싱할 수도 있다. 추가로, 각각의 파장에서, 상이한 송신기 출력 포트들에서 상이한 광 섬유들을 통해 상이한 목적지들로의 송신을 위해 다수의 광 신호들이 생성될 수도 있다. 예를 들어, 트랜시버는, 4개의 멀티플렉싱된 멀티-채널 광 출력 신호들에 대해, 16개의 통신 채널들을 4개의 상이한 파장들에서 각각 4개씩 지원하도록 구성될 수도 있다. 부가적으로, 외부 광 소스들 및 광검출기들에 대한 필요성 없이 송신기 및 수신기 기능성을 테스트할 목적들을 위해, 트랜시버 회로들은, 트랜시버가 그의 정상 동작 모드(또는 "미션 모드(mission mode)")로부터 테스트 모드로 스위칭될 때, 트랜시버 회로로부터의 광 신호들을 포토다이오드들로 라우팅하는 루프백 경로들을 포함할 수도 있다. 증가하는 데이터량들에 대한 용량 수요들을 충족시키기 위해 채널 카운트들이 증가함에 따라, 트랜시버 회로들은, 특히 자체 테스트 기능성(self-test functionality)을 갖는 트랜시버들에 있어서, 점점 더 복잡해지고 비용이 많이 든다.
고 채널 카운트 광 트랜시버들과 연관된 도전과제들을 해결하는 다양한 실시예들이 첨부 도면들을 참조하여 본 명세서에 설명된다.
도 1a 내지 도 1e는 다양한 실시예들에 따른, 다수의 디바이스 층들을 포함하는 PIC에 대한 예시적인 제조 프로세스를, 다층 기판들의 개략적인 측단면도들의 시퀀스와 함께, 예시한다.
도 2는 일 실시예에 따른, 상이한 쌍들의 파장들에 대한 멀티플렉서들이 컬럼(column)을 따라, 파장별로 교번하여 배열되는 개략적인 예시적인 송신기 회로부 레이아웃이다.
도 3은 일 실시예에 따른, 상이한 쌍들의 파장들에 대한 멀티플렉서들이 컬럼을 따라, 파장별로 공간적으로 그룹화되어 배열되는 개략적인 예시적인 송신기 회로부 레이아웃이다.
도 4는 일 실시예에 따른, 상이한 쌍들의 파장들에 대한 멀티플렉서들이 2개의 각각의 로우(row)들에 배열되고, 멀티플렉서들 이후의 대칭 도파로 분배(symmetric waveguide distribution)를 이용하는 개략적인 예시적인 송신기 회로부 레이아웃이다.
도 5는 일 실시예에 따른, 상이한 쌍들의 파장들에 대한 멀티플렉서들이 2개의 각각의 로우들에 배열되고, 멀티플렉서들 이후의 비대칭 도파로 분배를 이용하는 개략적인 예시적인 송신기 회로부 레이아웃이다.
도 6은 일 실시예에 따른 개략적인 예시적인 루프백 회로부 레이아웃이다.
본 명세서에는 공유된 레이저 소스들을 갖는 광 트랜시버 PIC들이 설명되어 있는데, 이는 데이터가 변조에 의해 전달되기 전에 각각의 개별 레이저에 의한 광 출력이 다수의 레인들 사이에서 분할되어, 다수의 레인들과 연관된 상이한 통신 채널들에 대한 레이저 파장에서의 광 신호들을 생성한다는 것을 의미한다. 공유된 레이저 소스들은 이들이 레이저들의 수 및 이들과 연관된 풋프린트, 전력 소비, 및 비용을 상당히 감소시킨다는 점에서 고 채널 카운트 PIC들에게 유리하다. 예를 들어, 많은 종래의 트랜시버 회로들에서 사용되는 바와 같은 16개의 레이저들보다는 오히려, 4개의 레인들 사이에서 출력들이 각각 분할되는 4개의 레이저들로 4-파장, 16-채널 트랜시버가 구현될 수도 있다. 분할 레이저 광의 충분한 광 세기들을 달성하기 위해, 변조에 앞서, 일반적으로 레이저들보다 더 저렴한 반도체 광 증폭기(semiconductor optical amplifier)(SOA)들에 의해 광이 증폭될 수도 있다.
공유된 레이저 소스들의 사용은 일반적으로, 상당한 광 손실들을 야기하는 다수의 도파로 교차들과 함께, 광 디바이스들 사이의 광을 레이저들로부터 출력 포트들로, 또는 자체 테스트 모드에서는, 포토다이오드들로 라우팅하기 위한 더 복잡한 도파로 구성들의 대가가 따른다. 도파로 교차들의 수를 최소화하는 회로 아키텍처들 및 도파로 레이아웃들이 바람직하다. 다양한 실시예들에 따르면, 공유된 레이저들을 갖는 광 트랜시버들에서의 도파로 교차들의 수는 2개(또는 그 이상)의 디바이스 층들 사이에 송신기 및 자체 테스트 기능성을 분산시킴으로써 감소된다. 더 구체적으로는, 일부 실시예들에서, 산화물 또는 다른 유전체 층에 의해 분리되는 2개의 실리콘 도파로 층들을 포함하는 실리콘-온-절연체(silicon-on-insulator)(SOI) 기판 상에 트랜시버 PIC가 형성된다. 상부 실리콘 도파로 층은, 그것에 본딩되는 화합물 반도체(예를 들어, III-V) 구조체들의 층과 함께, 수신기들의 포토다이오드들뿐만 아니라, 레이저들, SOA들, 광 변조기들, 멀티플렉서들, 및 출력 커플러들을 포함하는 송신기 회로부를 구현하는 제1 하이브리드 디바이스 층을 형성한다. 저부 실리콘 도파로 층은 수신기 포토다이오드들로의 루프백 경로들이 구현되는 제2 디바이스 층으로서 기능하는데, 이때 포토다이오드들 아래의 격자 커플러들이, 면외(out-of-plane) 광을, 화합물 반도체 층에 형성될 수도 있는 포토다이오드들로 지향시킨다.
도 1a 내지 도 1e는 다양한 실시예들에 따른, 다수의 디바이스 층들을 포함하는 PIC에 대한 예시적인 제조 프로세스를, 다층 기판들의 측단면도들의 시퀀스와 함께, 예시한다. 다수의 실리콘 도파로 층들을 갖는 기판은 도 1a에 도시된 2개의 실리콘 기반 기판들(100, 102)로부터 제조될 수 있다. 하나의 기판(100)은, 두꺼운 실리콘 핸들(104), 핸들(104)의 상부 상의 (예를 들어, 실리콘 이산화물의) 매립 산화물 또는 다른 유전체 층(106), 및 유전체 층(106)의 상부 상의 얇은 실리콘 디바이스 층(108)을 포함하는 통상적인 SOI 기판이다. 다른 기판(102)은, 산화물(예를 들어, 실리콘 이산화물) 또는 다른 유전체 층(112)에 의해 코팅되는 두꺼운 실리콘 층(110)을 포함하는데, 이때 실리콘 층(110)에서의 주입된 결함들(114)(예를 들어, 수소를 포함함)의 층이 유전체 층(112)에 가깝다.
도 1b에 도시된 바와 같이, 다층 PIC의 저부 실리콘 도파로 층(116)으로서 기능할, SOI 기판(100)의 실리콘 디바이스 층(108)이 패터닝되어, 광 송신기 회로부로부터 루프백 회로부로 그리고 루프백 회로부로부터 수신기들까지 광을 커플링하기 위한 광 커플러들뿐만 아니라, 모든 채널들에 대한 루프백 경로들을 포함하는 광 루프백 회로부를 형성한다. 패터닝은, 예를 들어, 본 기술분야의 통상의 기술자들에게 알려져 있는 포토리소그래피 패터닝 및 에칭 프로세스들을 사용하여 수행될 수도 있고, 그 다음에 산화물(예를 들어, 실리콘 이산화물) 또는 다른 유전체 충전물(dielectric fill)(118)을 제공하여 균일한 두께의 디바이스 층을 형성하는 것이 이어진다. 주입된 결함들(114)을 갖는 기판(102)이, 도 1b에 도시된 바와 같이, 뒤집혀질 수도 있고, 도 1c에 도시된 바와 같이, SOI 기판(102)의 패터닝된 실리콘 디바이스 층(108)에 유전체 층(112)을 아래로 하여 본딩될 수도 있다. 그 후에, 두꺼운 실리콘 층(110)이, 예를 들어, 잘 알려져 있는 스마트 컷 프로세스(smart cut process)를 사용하여 주입된 결함들(114)의 층을 따라 파단되어, 상부 실리콘 도파로 층(120)으로서 기능할 얇은 실리콘 층을 제자리에 남겨둘 수 있다. 얇은 층의 실리콘을 지지체, 예를 들어, 이 경우에는 SOI 기판(100) 상에 전사시키기 위한 다른 옵션은 본드 및 에치 백 SOI(bond and etch back SOI)(BESOI)이고, 여기서 이온 주입 보조 파단(ion-implant-assisted break)이 사용되지 않지만, 그 대신에, 전사된 실리콘 기판이 원하는 두께에 도달될 때까지 에칭된다.
도 1d는 상부 및 저부 실리콘 도파로 층들(120, 116)을 포함하는 결과적인 다층 구조체(122)를 도시한다. 저부 실리콘 도파로 층(116)과 마찬가지로, 상부 실리콘 도파로 층(120)은 종래의 포토리소그래피 패터닝 및 에칭 프로세스들에 의해 패터닝되어, 예를 들어, 수동 디바이스 구조체들(예컨대, 도파로들)을 형성하고, 산화물 또는 다른 유전체 충전물(124)로 평탄화될 수도 있다. 일단 상부 실리콘 도파로 층(120)이 이와 같이 패터닝되었다면, 정밀한 재료 조성이 상이한 다수의 서브층들을 종종 포함하는, III-V 재료(예컨대, 예를 들어, 인듐 인화물(InP), 인듐 비화물(InAs), 갈륨 비화물(GaAs), 갈륨 질화물(GaN), 또는 인듐 안티몬화물(InSb)) 또는 일부 다른 반도체 화합물 재료의 다이가 상부 실리콘 도파로 층(120)에 (직접적으로 또는 그 사이의 얇은 본딩 층을 통해) 본딩되고, 포토리소그래피 패터닝 및 에칭에 의해 패터닝되어 도 1e에 예시된 (예를 들어, 레이저들, 변조기들, 포토다이오드들 등을 위한) 능동 디바이스 구조체들의 층(126)을 형성할 수도 있다. 추가 제조 단계들은, 다양한 광학 능동 디바이스 구조체들(예컨대, 레이저들, 증폭기들, 전기 흡수 변조기(electro-absorption modulator)(EAM)들, 및 포토다이오드들에 사용되는 바와 같은 다이오드 구조체들), 히터들, 또는 다른 전기 제어 디바이스들을 전기 단자들에 연결하기 위한 디바이스 금속화 및 금속 트레이스들, 비아들, 및 본딩 패드들을 생성하기 위해, 일부 경우들에서 유전체 층들과 교번하는, 금속 층들의 퇴적 및 패터닝을 포함한다. 종합적으로, 패터닝된 상부 실리콘 도파로 및 화합물 반도체 층들(120, 126)은, 광학 디바이스들의 전기 커넥션들과 함께, 광 송신기 회로부를 구현한다.
다양한 실시예들은, 특히, 상부 실리콘 도파로 층(120)에서 구현되는 송신기 회로부에서, 적은 수의 도파로 교차들을 위해 설계되는 트랜시버 회로의 유리한 아키텍처들을 제공한다. 루프백 회로부가 미션 모드 동안 사용되지 않기 때문에, 루프백 회로부에서 도파로 교차들을 최소화하는 것은 일반적으로 덜 중요하고, PIC 밖으로의 그리고 그 안으로의 커플링 손실들을 갖지 않는 것, 외부 채널 손실들 또는 분산이 없는 것, 그리고 다중경로 간섭을 생성할 수 있는 외부 반사들이 없는 것은 훨씬 더 양호한 성능으로 시작하게 하여, 부가된 손실들에 대해 더 잘 견디도록 만든다.
도 2 내지 도 5는 공유된 레이저들을 갖는 다파장 트랜시버의 송신기 회로부의 다양한 레이아웃들을 예시한다. 구체적으로는, 도시된 예들에서, 트랜시버는, 4개의 각각의 파장들 λ 0, λ 1, λ 2, 및 λ 3에서 광을 방출하는 4개의 레이저들을 포함하고, 4개의 레이저들 각각으로부터의 광은 총 16개의 레인들에 대해 4개의 레인들 사이로 분할된다. 그러나, 아래에 논의되는 일반적인 설계 원리들뿐만 아니라, 각각의 설계에 대한 레인당 도파로 교차들의 결과적인 수들이 더 많은 수들의 레이저들(
Figure pat00001
)로 그리고 더 많거나 또는 더 적은 수들의 레인들(총 M개의 레인들, 파장당
Figure pat00002
개의 레인들,
Figure pat00003
)로 일반화할 수 있고, 파장당 상이한 수들의 레이저들 및/또는 레인들을 수용하기 위해 예시된 레이아웃들을 확장하는 방법이 본 기술분야의 통상의 기술자들에게 쉽게 명백할 것이다.
도 2 내지 도 5의 송신기 회로부 레이아웃들에서, 4개의 파장들에 걸친 멀티플렉싱이 2개의 스테이지들로 달성된다. 제1 스테이지에서, 2×1 멀티플렉서들 각각은 2개 쌍들의 파장들 중 하나의 쌍의 2개의 파장들에 걸친 광을 조합한다, 예를 들어, λ 0λ 1 또는 λ 2λ 3을 조합한다. 제2 스테이지에서, 부가적인 2×1 멀티플렉서들 각각은 제1 스테이지에 의해 출력되는 부분적으로 멀티플렉싱된 광 신호들 중 2개를 조합하여, 4개의 파장들 모두를 포함하는 광 송신기 출력 신호들을 생성한다. 다양한 실시예들에 따르면, 출력 신호들을 송신하는 광 출력 커플러들은 제2 스테이지의 멀티플렉서들로서의 기능을 겸한다. 그것은, 물론, 대안적으로, 각각의 출력 커플러에 선행하여 전용 멀티플렉서를 사용하는 것이 또한 가능하다. 더 많은 수들의 파장들을 수용하기 위해, 부가적인 멀티플렉서 스테이지들이 원칙적으로 부가될 수도 있다. 대안적으로, 고차 멀티플렉서들이 사용될 수도 있다. 아래에 제공되는 도파로 교차들의 수에 대한 일반화된 공식들의 목적들을 위해, 제1 스테이지에서의 멀티플렉서들이 각각
Figure pat00004
개의 파장들에 걸쳐 멀티플렉싱하도록 구성된다고 가정된다. 예를 들어, 8개의 파장들을 사용하는 트랜시버의 경우, 제1 멀티플렉싱 스테이지는, λ 0 내지 λ 3 또는 λ 4 내지 λ 7 중 어느 하나에 걸친 광을 각각이 조합하는 4×1 멀티플렉서들로 이루어질 수도 있다.
도 2 내지 도 5는 제1 스테이지 멀티플렉서들의 배열이 상이한 4-파장, 16-레인 트랜시버에 대한 레이아웃들을, 이들의 유입 및 유출 도파로들의 도파로 교차들에 대한 영향들과 함께, 제공한다.
도 2는 일 실시예에 따른, 상이한 쌍들의 파장들에 대한 멀티플렉서들이 컬럼을 따라, 파장별로 교번하여 배열되는 개략적인 송신기 회로부 레이아웃(200)이다. 송신기 회로부는, 4개의 상이한 파장들 λ 0, λ 1, λ 2, 및 λ 3에서 광을 방출하는 4개의 레이저들(202)을 포함한다. 레이저들은, 예를 들어, 분배 피드백(distributed feedback)(DFB) 레이저 다이오드들 또는 분배 브래그 반사기(distributed Bragg reflector)(DBR) 레이저들일 수도 있고, 에피택셜 성장된 III-V(예를 들어, GaAs 또는 InP) 다이(본 명세서에서는 또한 "에피 다이(epi die)"라고도 함)에서 생성될 수도 있다. 전형적으로, 각각의 레이저 파장에 대해 별개의 본딩된 에피 다이가 사용된다. 레이저들(202)의 광 출력 신호들은, 예를 들어, Y-정션(Y-junction)들, 멀티모드 간섭계들(multimode interferometer)(MMI), 또는 일부 다른 타입의 광 스플리터들의 2-스테이지 캐스케이드에서, 4개의 레인들 사이로 각각 분할된다. 2개의 분할 스테이지들 사이에 위치된 8개의 SOA들(204)은 충분한 신호 레벨들을 달성하기 위해 광을 증폭시킨다. SOA들의 부가적인 층이, 광 변조기들(206)에 선행하여, 제2 분할 스테이지 이후에 광을 다시 증폭시킬 수도 있지만, 실제로는 종종 필요하지 않다.
하이브리드 실리콘-화합물 반도체 PIC들에서, 각각의 파장에 대해 단일의 공유된 레이저를 사용하면 제조 비용을 상당히 감소시킬 수 있는데, 이는 4개의 레인들에 대한 하나의 레이저 및 2개의 SOA들의 분할(총 3개의 다이오드들)이 4개의 레이저들(총 4개의 다이오드들)을 대체시킬 수 있고, SOA에 대해 요구되는 에피가 레이저에 대한 것보다 더 작으며, 요구된 다이오드들의 총 수가 더 적기 때문이다. 부가적으로, 더 적은 레이저들을 사용하면, 예를 들어, 튜닝가능한 레이저들을 위한 단순화되고 저전력인 전자 제어 회로부로 인해, 전력 소비를 감소시키는데, 이는, 예를 들어, 레이저의 필터들의 히터 기반 파장 제어가 4개의 독립적인 레이저들보다는 오히려 단지 단일 레이저에 대해서만 요구되기 때문이다. 레이저들(202)보다 상당히 더 저렴한 SOA들(204)의 부가된 비용이 통상적으로, 레이저들을 공유함으로써 달성되는 비용 절감들을 소실시키지 않는다. 일부 실시예들에서, 각각의 파장에 대한 레이저(202) 및 연관된 (2개의) SOA들(204)은 동일한 에피 다이에 형성되어, 잠재적으로 추가의 비용 절감들을 제공한다. 다른 실시예들에서, 레이저들(202) 및 SOA들(204)에 대해 별개의 에피 다이가 사용되어, 각각의 디바이스 기능에 대해 미세 튜닝되는 상이한 서브층 구조체들을 용이하게 한다. SOA들(204) 이후의 동일한 파장 레인들의 그룹화는 각각의 파장 그룹에 대해 단일 변조기 에피 다이가 사용되게 하는데(예를 들어, 단일 에피 다이로 4개의 λ 0 레인들 모두에 대한 레인들을 구현함), 이는 또한 에피 비용을 크게 감소시킨다.
송신기 회로부는, 16개의 레인들 각각에 대한 광 변조기(206), 즉, 파장 λ 0에서 변조된 광 신호들을 생성하기 위한 4개의 광 변조기들(206), λ 1에 대한 4개의 변조기들(206), λ 2에 대한 4개의 변조기들, 및 λ 3에 대한 4개의 변조기들을 포함한다. 광 변조기들(206)은, 예를 들어, EAM들에 의해, 또는 하나 또는 양측 모두의 간섭계 암(interferometer arm)들에 위상 시프터들을 포함하는 마흐-젠더 간섭계(Mach-Zehnder interferometer)(MZI)들에 의해 구현될 수도 있다. 차례로, 위상 시프터들은, 예를 들어, 간섭계 암들을 형성하는 본딩된 III-V 도파로들에서 또는 실리콘 도파로들에서 p-n 또는 p-i-n 정션들에 의해 구현될 수도 있다.
변조기들(206)에 의해 출력되는 변조된 광 신호들이, 상기에 언급된 바와 같이, 2개의 스테이지들에서, 4개의 파장들에 대응하는 4개의 채널들을 각각이 포함하는 광 송신기 출력 신호들로 멀티플렉싱된다. 제1 스테이지에서, 8개의 2×1 멀티플렉서들(208, 210)은 16개의 변조된 광 신호들을 8개의 부분적으로 멀티플렉싱된 신호들로 부분적으로 조합한다. 멀티플렉서들(208, 210)은, 파장들 λ 0λ 1과 연관된 2개의 레인들에서 변조된 신호들을 조합하는 하나의 멀티플렉서(208), 및 파장들 λ 2λ 3과 연관된 2개의 레인들에서 신호들을 조합하는 하나의 멀티플렉서(210)를 각각이 포함하는 멀티플렉서들의 쌍들로서 생각될 수도 있다. 그 후에, 각각의 쌍의 멀티플렉서들(208, 210)에 의해 출력되는 부분적으로 멀티플렉싱된 신호들이, 제2 스테이지에서, 도시된 바와 같은, 내재된 멀티플렉싱 기능성을 보유하는 연관된 출력 커플러(212)에 의해, 또는 대안적으로 출력 커플러에 선행하는 연관된 제2 스테이지 멀티플렉서에 의해 조합된다. 예를 들어, 임의의 주어진 파장과 연관된 레인들 및 변조기들(206)의 각각의 세트에서의 레인들 및 연관된 변조기들(206)을, 컬럼을 따르는 이들의 배열 순서로 1부터 4까지 넘버링하면, 제1 쌍(214)의 멀티플렉서들(208, 210) 및 연관된 제1 출력 커플러는 4개의 파장들과 연관된 4개 세트들의 레인들 및 변조기들 중 제1 레인들 및 제1 변조기들과 연관된 변조된 광 신호들을 조합하고; 제2 쌍(216)의 멀티플렉서들(208, 210) 및 연관된 제2 출력 커플러는 4개 세트들의 레인들 및 변조기들 중 제2 레인들 및 제2 변조기들과 연관된 변조된 광 신호들을 조합하는 것 등을 한다. 멀티플렉서들(208, 210)은, 예를 들어, MZI들에 의해 구현될 수도 있다. 4개 초과의 파장들을 갖는 트랜시버들에 대해, 제1 스테이지에서의 멀티플렉서들 각각이 2개 초과의 파장들에 걸친 광 신호들을 조합하는 경우, 어레이 도파로 격자(arrayed waveguide grating)(AWG)들이 그 대신에 사용될 수도 있다. 출력 커플러들(212)이, 예를 들어, 격자 커플러들, 에치 터닝 미러(etch turning mirror)들, 또는 단일 모드 섬유를 정렬하기 위한 에칭된 실리콘 v-홈들을 갖는 스폿-사이즈 컨버터들일 수도 있다.
도 2에(그리고 동일하게 도 3 내지 도 5에) 도시된 바와 같이, 레이저들(202)은 모두, 참조의 편의를 위해 단일 라인 - 이하 임의로 "컬럼"이라고 지칭됨 - 을 따라 배열된다. 연관된 SOA들(204)은 제2 컬럼, 즉, 제1 라인에 일반적으로 평행한 제2 라인을 따라 배열된다. 16개의 레인들과 연관된 광 변조기들(206)이 제3 컬럼(일반적으로 레이저들(202) 및 SOA들(204)의 컬럼들에 평행함)을 따라, 파장별로 공간적으로 그룹화되어 배열되어, 이들은 출력 신호들이 변조되는 레이저들(202) 및 SOA들(204)에 가깝게 포지셔닝될 수 있도록 한다. 그 결과, 확인될 수 있는 바와 같이, 레이저 출력들을 SOA 입력들에 그리고 SOA 출력들을 변조기 입력들에 커플링하는 도파로들이 어떠한 교차들 없이 배열될 수 있다. 그러나, 변조된 광 신호들을 다수의 파장들에 걸쳐 멀티플렉싱하기 위해, 도시된 바와 같이, 제4 컬럼에 배열될 수도 있는, 출력 커플러들(212)과 변조기들(206)의 컬럼 사이의 일부 도파로 재분배가 사용되고, 도파로 교차들(예를 들어, 교차(213))이 불가피해진다. 도파로 교차들의 수 및 위치가 제1 스테이지의 멀티플렉서들(208, 210)의 특정 배열에 좌우된다.
레이아웃(200)에서, 파장들 λ 0λ 1을 조합하는 멀티플렉서들(208) 및 파장들 λ 2λ 3을 조합하는 멀티플렉서들(210)이 단일 컬럼을 따라 교번하여 배열되는데, 이때 다수의 파장들에 대한 레인들의 세트들 중 제1 내지 제4 레인들과 각각 연관된 멀티플렉서들(208, 210)의 제1 내지 제4 쌍들(214, 216) 등이 순차적으로 배열된다. 이 구성의 결과로서, 도파로 재분배가 변조기들(206)과 제1 멀티플렉서 스테이지 사이에서 전적으로 발생하고, 멀티플렉서들(208, 210)의 출력들은 추가의 도파로 교차들 없이 출력 커플러들(212)에 연결될 수 있다. 이 구성은 각각의 부가적인 레인에 대해 부가적인 쌍의 멀티플렉서들을 부가시킴으로써 파장당 더 많은 수의 레인들로, 그리고 고차 멀티플렉서들을 사용함으로써 더 많은 수의 파장들로 간단하게 확장될 수 있다.
Figure pat00005
개의 파장들 및 파장당 m ≥ 2개의 레인들을 이용하면, 총
Figure pat00006
개의 레인들의 경우, 이 설계를 이용하는 임의의 레인에 대한 도파로 교차의 최대 수 X max는 다음과 같다:
Figure pat00007
Figure pat00008
그리고 M = 16의 경우, 도 2에 도시된 바와 같이, 이것은 주어진 레인과 연관된 최대 9개의 도파로 교차들에 이른다. (도파로 교차는 그것이 레인에 대한 변조기(206)와 그 변조기(206)에 의해 출력되는 변조된 신호를 수신하는 출력 커플러(212) 사이의 광 경로를 따라 어디에서나 발생하는 경우 레인과 연관된 것으로 간주된다.)
도 3은 일 실시예에 따른, 상이한 쌍들의 파장들에 대한 멀티플렉서들이 컬럼을 따라, 파장별로 공간적으로 그룹화되어 배열되는 개략적인 송신기 회로부 레이아웃(300)이다. 이 레이아웃(300)과 도 2의 레이아웃(200) 사이의 유일한 차이는, 결과적인 도파로 구성과 함께, 제1 멀티플렉싱 스테이지를 나타내는 컬럼 내의 멀티플렉서들(208, 210)의 순서화이다. 여기서, 멀티플렉서들(208, 210)의 쌍들(214, 216) 등이 분할된다. 파장들 λ 0λ 1을 조합하는 모든 멀티플렉서들(208)은 컬럼의 하나의 절반에서 함께 그룹화되어, 이들이 연관된 레인들 및 변조기들(206)과 동일한 방식으로 순서화된다. 유사하게, 파장들 λ 2λ 3을 조합하는 모든 멀티플렉서들(210)은 컬럼의 다른 절반에서 함께 그룹화되어, 이들이 연관된 레인들 및 변조기들(206)과 동일한 방식으로 마찬가지로 순서화된다. 확인될 수 있는 바와 같이, 이 레이아웃은 제1 멀티플렉서 스테이지 전과 후 양측 모두에 도파로 재분배 그리고 이에 따라 도파로 교차들을 발생시킨다. 그러나, 유리하게는, 개별 레인에 대한 도파로 교차들의 최대 수는 단지 다음과 같다:
Figure pat00009
이는,
Figure pat00010
그리고 M = 16의 경우, 6에 이른다.
도 4는 일 실시예에 따른, 상이한 쌍들의 파장들에 대한 멀티플렉서들(402, 404)이 2개의 각각의 로우들에 배열되고, 멀티플렉서들(402, 404) 이후의 대칭 도파로 분배를 이용하는 개략적인 송신기 회로부 레이아웃(400)이다. 파장들 λ 0λ 1을 조합하는 멀티플렉서들(402)이 하나의 로우에서 함께 그룹화되고, 파장들 λ 2λ 3을 조합하는 멀티플렉서들(404)이, 예를 들어, 도시된 바와 같이, 제1 로우에 실질적으로 평행하고 그와 수평으로 정렬되는 다른 로우에서 함께 그룹화된다. 용어 "로우"는, 멀티플렉서들(402) 또는 멀티플렉서들(404)의 정렬이, 컬럼들에 배열된 것으로 언급되는 변조기들(206), 레이저(202) 등의 정렬에 일반적으로 수직인 방향으로 있다는 것을 나타낸다. 멀티플렉서들(402, 404)은 도 2 및 도 3의 레이아웃들(200, 300)에서의 멀티플렉서들(208, 210)과는 상이한 조합들의 레인들과 연관된 변조된 광 신호들을 멀티플렉싱한다는 것에 주목한다. 예를 들어, 레이아웃(200)에서의 제1 쌍(214)의 멀티플렉서들(208, 210)이 λ 0, λ 1, λ 2, 및 λ 3과 연관된 세트들의 제1 레인들로부터 그의 입력을 수신한다는 점에서, 레이아웃(400)에서의 제1 멀티플렉싱 스테이지를 구성하는 2개의 로우들에서의 제1(최좌측) 멀티플렉서들(402, 404)은 λ 0과 연관된 제1 레인과 λ 1과 연관된 마지막 레인을, 그리고 λ 2와 연관된 제1 레인과 λ 3과 연관된 제4(마지막) 레인을 각각 조합한다. 유사하게, 2개의 로우들에서의 제2 멀티플렉서들(402, 404)은 λ 0과 연관된 제2 레인과 λ 1과 연관된 제3 레인을, 그리고 λ 2와 연관된 제2 레인과 λ 3과 연관된 마지막 레인 등등을 조합한다. 이 구성은 각각의 로우를 따라 멀티플렉서들(402 또는 404)을 광 변조기들(206)에 커플링하는 도파로들(406)이 네스팅되게(nested) 하여, 각각의 로우들에 대해 네스팅된 세트들의 도파로들을 형성하여(예를 들어, 이때 최좌측 멀티플렉서들(402, 404)에 입력들을 제공하는 도파로들이 각각의 세트들에서의 최외측 도파로들임), 이들 사이의 임의의 도파로 교차들을 회피하게 한다. (그러나, 도파로 교차들은, 멀티플렉서들(402, 404)을 변조기들(206)에 커플링하는 도파로들(406)과, 멀티플렉서들(402, 404)를 출력 커플러들(212)에 커플링하는 도파로들(408) 사이에 존재한다.)
추가로, 2개의 최좌측 멀티플렉서들(402, 404)은 출력 커플러들(212)에 의해 구현되는 제2 멀티플렉싱 스테이지에서 출력들이 조합되는 한 쌍의 멀티플렉서들을 형성하지 않는다는 것에 주목한다. 오히려, 하나의 로우에서의 최좌측 멀티플렉서(402) 및 다른 로우의 최우측 멀티플렉서(404)는 제1(최상부) 출력 커플러(212)에 연결된 제1 쌍을 형성하고; 제1 로우에서의 제2 멀티플렉서(402) 및 제2 로우에서의 제3 멀티플렉서(404)(양측 모두는 좌측으로부터의 것임)는 (상부로부터) 제2 출력 커플러(212)에 연결된 제2 쌍을 형성하고; 제1 로우에서의 제3 멀티플렉서(402) 및 제2 로우에서의 제2 멀티플렉서(404)는 제3 출력 커플러(212)에 연결된 제3 쌍을 형성하고; 제1 로우에서의 제4(그리고 마지막) 멀티플렉서(402) 및 제2 로우에서의 제1 멀티플렉서(404)는 마지막 출력 커플러(212)에 연결된 제4(그리고 마지막) 쌍을 형성한다. 멀티플렉서들(402, 404)의 쌍들이 연관된 출력 커플러들(212)의 순서로 넘버링되면, 제1 로우를 따르는 멀티플렉서들(402)의 순서는 제2 로우를 따르는 멀티플렉서들(404)의 순서와 반대 방향으로 된다. 멀티플렉서들(402, 404)을 출력 커플러들(212)에 커플링하는 도파로들(408)은 제1 로우와 제2 로우 사이의 수평 축에 대해 대칭적으로 구성된다. 이 레이아웃(400)에서 개별 레인에 대한 도파로 교차들의 최대 수는 도 3의 레이아웃(300)에 대한 것과 동일하다:
Figure pat00011
이는,
Figure pat00012
그리고 M = 16의 경우, 6에 이른다.
도 5는 일 실시예에 따른, 상이한 쌍들의 파장들에 대한 멀티플렉서들(402, 404)이 2개의 각각의 로우들에 배열되고, 멀티플렉서들(402, 404) 이후의 비대칭 도파로 분배를 이용하는 개략적인 송신기 회로부 레이아웃(500)이다. 이 레이아웃(500)은, 동일한 출력 커플러(212)에 연결된 한 쌍의 멀티플렉서들(402, 404)을 형성하는, λ 0λ 1을 조합하는 멀티플렉서(402) 및 λ 2λ 3을 조합하는 멀티플렉서(404)가 수평으로 정렬된다는 점에서, 도 4에 도시된 선행 레이아웃(400)과는 상이하다. 예를 들어, 최좌측 멀티플렉서들(402, 404)은 제1 출력 커플러(212)에 의해 각각의 송신기 출력 신호로 출력들이 멀티플렉싱되는 제1 쌍(502)을 형성한다. 제1 멀티플렉싱 스테이지 이후의 이 도파로 재분배는, 도시된 바와 같이, 멀티플렉서들(402, 404)을 출력 커플러들(212)에 커플링하는 도파로들(504)의 비대칭 구성으로 달성된다. 이 레이아웃(500)에서 개별 레인에 대한 도파로 교차들의 최대 수는 다음과 같다:
Figure pat00013
이는,
Figure pat00014
그리고 M = 16의 경우, 4개의 레이아웃들(200, 300, 400, 500) 중에서 가장 낮은 수인 4와 동일하다.
다양한 실시예들에서, 광 트랜시버 PIC들에는 변조된 광 신호들을 송신기의 출력 포트들보다는 오히려 수신기들로 선택적으로 라우팅할 수 있는 루프백 회로부를 통해 구현되는 자체 테스트 기능성이 제공된다. 출력 커플러들(212)에 선행하는 멀티플렉싱 스테이지를 갖는 회로 아키텍처들에서, 멀티플렉서들(예를 들어, 208, 210, 402, 404)은 이러한 목적을 위해 스위치들로서의 기능을 겸할 수 있다. 예를 들어, 송신기 회로부 레이아웃들(200, 300, 400, 500) 각각에 도시된 바와 같이, 멀티플렉서들(208, 210, 402, 404) 각각은, 2개의 출력 포트들, 즉, 멀티플렉싱된 광 신호를 출력 커플러들(212) 중 하나에 제공하기 위한 미션 모드 포트, 및 멀티플렉싱된 신호를 루프백 회로부에 커플링하는 커플러(510)(도 5에 라벨링됨)에 신호들을 제공하는 자체 테스트 포트를 갖는다. 멀티플렉서들(208, 210, 402, 404)을 구현하는 MZI들 또는 AWG들의 간섭계 암들에서의 위상 시프터들이 2개의 포트들 사이에서 멀티플렉싱된 신호들을 스위칭하는 데 사용될 수 있다.
송신기 회로부에 대해 사용되는 것과 동일한 실리콘 도파로 층에 루프백 회로부를 구현하면 바람직하지 않은 도파로 교차들을 부가시킬 것이다. 레이아웃들(200, 300, 400)에서는, 단일 레인에 대한 교차들의 최대 수가 동일하게 유지되지만(그리고 부가적인 도파로 교차들이 도파로 재분배로부터 발생되는 더 적은 도파로 교차들을 갖는 레인들을 따를 것이지만), 레이아웃(500)은 레인당 4개의 부가된 도파로 교차들(즉,
Figure pat00015
)의 증가를 겪어서, 4-파장, 16-채널 케이스에 대한 교차들의 수가 2배로 될 것이다. 본 명세서에 따라, 별개의 도파로 층에서 루프백 기능성을 구현함으로써, 그러한 부가된 도파로 교차들이 회피되어, 교차 유도된 광 손실들과 관련하여 레이아웃(500)이 일반적으로 바람직하게 될 수 있다. (대안적인 레이아웃들(200, 300, 400)은 다른 이점들을 제공할 수도 있다는 것에 주목한다.)
도 6은 일 실시예에 따른 개략적인 예시적인 루프백 회로부 레이아웃(600)이다. 도시된 루프백 회로부는 도 5의 송신기 회로부 레이아웃(500)과 함께 사용하도록 구성되고, 그에 따라 송신기 회로부에서의 멀티플렉서들의 자체 테스트 출력 포트들에서 광 커플러들(510)의 포지션들과 매칭하는 포지션들에서의 광 커플러들(610)을 포함한다. 다시 말해, 광 커플러들(610)은 상부 실리콘 도파로 층(120)의 광 커플러들(510) 바로 아래의 저부 실리콘 도파로 층(116)에 위치된다. 한 쌍의 커플러들(510, 610)은, 예를 들어, 2개의 격자 커플러들에 의해 형성될 수도 있는데, 하나는 상부 층(120)에서의 면내(in-plane) 광을 저부 층(116)을 향해 곧바로 아래로 재지향시키고, 다른 하나는 면외 광을 수신하고 그것을 도파로 층들(116)의 평면으로 재지향시킨다. 대안적으로, 상부 및 저부 도파로 층들(120, 116)에서의 2개의 소멸 커플링된(evanescently coupled) 도파로 섹션들은 함께 커플러들(510, 610)의 기능성을 제공할 수도 있다.
루프백 회로부는, 트랜시버 회로부로부터 수신되는 부분적으로 멀티플렉싱된 광 신호들 각각에 대해 하나씩 광 스플리터들(612)을 포함할 수도 있는데, 이 광 스플리터들(612)은 각각의 신호의 일 부분을 분할하고 그것을 격자 커플러(614)에 제공하고, 이 격자 커플러(614)는 광을 PIC 밖으로 그리고, 예를 들어, 광 신호가 유래되는 각각의 레이저(102)를 캘리브레이션하기 위해 사용될 수도 있는 스펙트럼 분석기와 같은 캘리브레이션 장비로 커플링시킨다. 각각의 스플리터(612)의 다른 출력은, 예를 들어, MZI로 구현되는 광 스위치(616)(또는 스위치들의 캐스케이드)에 연결되는데, 이 광 스위치(616)는 자체 테스트 모드에서 턴 온되지만, 미션 모드 동안 턴 오프되어 송신기에서 생성된 광이 수신기 포토다이오드들(618)에 도달하여 이들의 동작과 간섭하는 것을 방지한다. 자체 테스트 모드에서, 광 신호들은 부분적으로 멀티플렉싱된 광 신호들의 2개의 파장 성분들을 분리하는 디멀티플렉서들(620)로 전달된다. 결과적인 16개의 디멀티플렉싱된 광 신호들은, 예를 들어, 격자 커플러들을 통해, 또는 먼저 상부 실리콘 층에 다시 커플링시킨 후에 상부 실리콘 도파로를 포토다이오드들(618)에 커플링시킴으로써, 상부 실리콘 도파로 층 위의 III-V 층에 통상적으로 형성되는 포토다이오드들(618)에 커플링된다. 포토다이오드들(618)은, 예를 들어, 애벌란시 포토다이오드(avalanche photodiode)(APD)들일 수도 있는데, 이 애벌란시 포토다이오드(APD)들은, 예를 들어, 전형적인 비-애벌란시 포토다이오드보다 2 내지 10배 더 높은, 더 높은 전기 바이어스에서 동작하고, 생성된 전자 및 정공 쌍들이 더 높은 전기 바이어스에 의해 가속될 때 전기 이득을 제공하고 결정 격자에 영향을 미쳐서, 수신된 전기 신호를 증폭시키는 부가적인 전자 및 정공 캐리어들을 생성한다. APD들은 측정된 광 신호들의 낮은 진폭을 보상하는 데 사용되고, 광검출기(618) 이후의 트랜스임피던스 증폭기에서의 고 이득 스테이지들 또는 광 수신기에서의 훨씬 더 크고 더 높은 전력 소비의 SOA들을 대체시킬 수 있다.
전술한 설명은, 도파로 층들 양측 모두에 대한 예시적인 레이아웃들과 함께, 2개의 실리콘 도파로 층들 사이에서 송신기와 루프백 회로부를 분리하는 2-층 광 트랜시버 PIC들을 제조하는 방법을 제공한다. 개시된 2-층 구성은, 적은 수들의 도파로 교차를 위해 설계된 송신기 회로부에서의 도파로 재분배와 함께, 다수의 레인들 사이에서 레이저들을 공유하는 것을 용이하게 하는데, 이는 비용, 전력, 및 사이즈 이익들을 수반한다. 본 기술분야의 통상의 기술자들에 의해 쉽게 인식되는 바와 같이, 특정 제안된 레이아웃들은 단지 예들일 뿐이고, 기본 설계 원리들 및 특성들(예컨대, 예를 들어, 도 4 및 도 5의 대칭 대 비대칭 도파로 분배)을 여전히 준수하는 디바이스들 및 도파로들의 구체적인 배열로부터의 수정들 및 편차들이 가능할 수도 있다.
다음의 넘버링된 예들은 예시적인 실시예들이다:
1. 광자 집적 회로(PIC)로서, 제1 실리콘 도파로 층을 포함하는 디바이스 층; 유전체 층에 의해 제1 실리콘 도파로 층으로부터 분리되는 제2 실리콘 도파로 층; 디바이스 층에 형성되는 광 송신기 회로부 - 광 송신기 회로부는 다수의 각각의 파장들에서 광을 방출하도록 구성되는 다수의 레이저들을 포함하고, 광 송신기 회로부는 각각의 파장과 연관된 다수의 레인들 사이에서 레이저들 각각으로부터의 광을 분할하고, 레인들 각각에서의 광을 광학적으로 변조하여 각각의 레인과 연관된 변조된 광 신호를 생성하고, 변조된 광 신호들을 다수의 파장들에 걸쳐 멀티플렉싱하여 다수의 광 송신기 출력 신호들을 생성하도록 구성됨 -; 및, 제2 실리콘 도파로 층에 형성되는 광 루프백 회로부를 포함하고, 광 루프백 회로부는 광 송신기 회로부에 광학적으로 커플링되고, 각각의 레인과 연관된 변조된 광 신호를, 레인과 연관된 포토다이오드에 선택적으로 커플링하도록 구성되는, PIC.
2. 예 1에 있어서, 다수의 파장들은 적어도 4개의 파장들을 포함하고, 변조된 광 신호들은 제1 및 제2 멀티플렉싱 스테이지들에서 멀티플렉싱되고, 제1 멀티플렉싱 스테이지는, 변조된 광 신호들을 다수의 파장들의 제1 서브세트에 걸쳐 제1 멀티플렉싱된 광 신호들로 멀티플렉싱하도록 구성되는 제1 멀티플렉서들, 및 변조된 광 신호들을 다수의 파장들의 제2 서브세트에 걸쳐 제2 멀티플렉싱된 광 신호들로 멀티플렉싱하도록 구성되는 제2 멀티플렉서들을 포함하고, 제2 멀티플렉싱 스테이지는 제1 멀티플렉싱된 신호들 및 제2 멀티플렉싱된 신호들을 광 송신기 출력 신호들로 멀티플렉싱하도록 구성되는, PIC.
3. 예 2에 있어서, 제2 멀티플렉싱 스테이지는 광 출력 커플러들에 의해 구현되는, PIC.
4. 예 2 또는 예 3에 있어서, 각각의 레인에서의 광은 레인과 연관된 광 변조기에 의해 변조되고, 광 변조기들은 제1 컬럼을 따라, 파장별로 그룹화되어 배열되고, 광 송신기 회로부는, 제1 멀티플렉싱 스테이지의 제1 및 제2 멀티플렉서들을 광 변조기들에 커플링하는 도파로들, 및 제1 멀티플렉싱 스테이지의 제1 및 제2 멀티플렉서들을 제2 멀티플렉싱 스테이지에 커플링하는 도파로들을 더 포함하는, PIC.
5. 예 4에 있어서, 제1 및 제2 광 멀티플렉서들은 제2 컬럼에 배열되고, 도파로들은 제1 및 제2 컬럼들 사이에서만 교차하는, PIC.
6. 예 5에 있어서, 제1 및 제2 광 멀티플렉서들은 제2 컬럼을 따라 교번하는, PIC.
7. 예 5 또는 예 6에 있어서, 다수의 파장들은
Figure pat00016
개의 파장들로 이루어지고 레인들은 M개의 레인들로 이루어지고, 레인들 각각에 대해, 레인과 연관된 도파로 교차들의 수는
Figure pat00017
을 초과하지 않는, PIC.
8. 예 4에 있어서, 제1 및 제2 광 멀티플렉서들은 제2 컬럼에 배열되고, 각각이 제2 컬럼을 따라 함께 그룹화되는, PIC.
9. 청구항 제8항에 있어서, 다수의 파장들은
Figure pat00018
개의 파장들로 이루어지고 레인들은 M개의 레인들로 이루어지고, 레인들 각각에 대해, 레인과 연관된 도파로 교차들의 수는
Figure pat00019
을 초과하지 않는, PIC.
10. 예 4에 있어서, 제1 멀티플렉서들은 제1 로우에 배열되고 제2 멀티플렉서들은 제2 로우에 배열되는, PIC.
11. 예 10에 있어서, 제1 멀티플렉싱 스테이지의 제1 및 제2 멀티플렉서들을 광 변조기들에 커플링하는 도파로들은 각각 제1 및 제2 로우들에 대해 네스팅된 세트들의 도파로들을 형성하는, PIC.
12. 예 11에 있어서, 제1 멀티플렉싱 스테이지의 제1 및 제2 멀티플렉서들을 제2 멀티플렉싱 스테이지에 커플링하는 도파로들은 로우들 사이의 축에 대해 대칭적으로 그리고 로우들에 평행하게 구성되는, PIC.
13. 예 12에 있어서, 다수의 파장들은
Figure pat00020
개의 파장들로 이루어지고 레인들은 M개의 레인들로 이루어지고, 레인들 각각에 대해, 레인과 연관된 도파로 교차들의 수는
Figure pat00021
을 초과하지 않는, PIC.
14. 예 11에 있어서, 제1 멀티플렉싱 스테이지의 제1 및 제2 멀티플렉서들을 제2 멀티플렉싱 스테이지에 커플링하는 도파로들은 로우들 사이의 축에 대해 비대칭적으로 그리고 로우들에 평행하게 구성되는, PIC.
15. 예 14에 있어서, 다수의 파장들은
Figure pat00022
개의 파장들로 이루어지고 레인들은 M개의 레인들로 이루어지고, 레인들 각각에 대해, 레인과 연관된 도파로 교차들의 수는
Figure pat00023
을 초과하지 않는, PIC.
16. 예 1 내지 예 15 중 어느 한 예에 있어서, 디바이스 층은, 제1 실리콘 도파로 층 상에 형성되는 III-V 반도체 구조체들을 포함하는, PIC.
17. 송신기 회로부 및 루프백 회로부를 포함하는 광 트랜시버를 제조하는 방법으로서, 실리콘-온-절연체 기판의 실리콘 층을 패터닝하여 그 안에 루프백 회로부를 형성하는 단계; 유전체 층으로 코팅되는 실리콘 기판을, 유전체 층을 아래로 하여 패터닝된 실리콘 층 상에 본딩하는 단계; 유전체 층의 상부 상에 제2 실리콘 층을 생성하기 위해 주입된 결함들의 층을 따라 실리콘 기판을 파단하는 단계; 제2 실리콘 층을 패터닝하여 송신기 회로부의 수동 디바이스 구조체들을 형성하는 단계; 및 하나 이상의 III-V 다이를 패터닝된 제2 실리콘 층에 본딩하고 본딩된 III-V를 패터닝하여 송신기 회로부의 능동 디바이스 구조체들을 형성하는 단계를 포함하는, 방법.
18. 광자 집적 회로(PIC)로서, 제1 실리콘 도파로 층을 포함하는 디바이스 층; 유전체 층에 의해 제1 실리콘 도파로 층으로부터 분리되는 제2 실리콘 도파로 층; 디바이스 층에 형성되는 광 송신기 회로부 - 광 송신기 회로부는 4개의 각각의 파장들에서 광을 방출하도록 구성되는 4개의 레이저들을 포함하고, 광 송신기 회로부는 4개의 레인들 사이에서 레이저들 각각으로부터의 광을 분할하여 16개의 레인들을 생성하고, 16개의 레인들 각각에서의 광을 광학적으로 변조하여 각각의 레인과 연관된 변조된 광 신호를 생성하고, 변조된 광 신호들을 4개의 파장들에 걸쳐 멀티플렉싱하여 4개의 광 송신기 출력 신호들을 생성하도록 구성됨 -; 및, 제2 실리콘 도파로 층에 형성되는 광 루프백 회로부를 포함하고, 광 루프백 회로부는 광 송신기 회로부에 광학적으로 커플링되고, 각각의 레인과 연관된 변조된 광 신호를, 레인과 연관된 포토다이오드에 선택적으로 커플링하도록 구성되는, PIC.
19. 예 18에 있어서, 송신기 회로부는: 변조된 광 신호들을 생성하기 위해 컬럼을 따라 배열되는 광 변조기들, 제1 로우를 따라 배열되고 4개의 파장들 중 2개와 연관된 변조된 광 신호들을 제1 멀티플렉싱된 광 신호들로 멀티플렉싱하도록 구성되는 4개의 제1 멀티플렉서들, 및 제2 로우를 따라 배열되고 4개의 파장들 중 다른 2개와 연관된 변조된 광 신호들을 제2 멀티플렉싱된 광 신호들로 멀티플렉싱하도록 구성되는 4개의 제2 멀티플렉서들을 포함하는 제1 멀티플렉싱 스테이지, 제1 멀티플렉싱된 광 신호들 및 제2 멀티플렉싱된 광 신호들을 광 송신기 출력 신호들로 멀티플렉싱하도록 구성되는 제2 멀티플렉싱 스테이지, 제1 및 제2 멀티플렉서들을 광 변조기들에 커플링하는 제1 도파로들 - 제1 도파로들은 제1 및 제2 로우들에 대해 네스팅된 세트들의 도파로들을 형성함 -, 및 제1 및 제2 멀티플렉서들을 제2 멀티플렉싱 스테이지에 커플링하는 제2 도파로들을 더 포함하고, 제2 도파로들은 로우들 사이의 축에 대해 비대칭적으로 그리고 로우들에 평행하게 구성되는, PIC.
20. 예 19에 있어서, 레인들 각각에 대해, 레인과 연관된 도파로 교차들의 수는 4를 초과하지 않는, PIC.
본 발명의 청구 대상이 특정 예시적인 실시예들을 참조하여 설명되었지만, 본 발명의 청구 대상의 보다 넓은 범주로부터 벗어남이 없이 이들 실시예들에 대해 다양한 수정들 및 변경들이 이루어질 수도 있다는 것이 명백할 것이다. 이에 따라, 본 명세서 및 도면들은 제한적인 의미보다는 오히려 예시적인 의미로 간주되어야 한다.

Claims (20)

  1. 광자 집적 회로(photonic integrated circuit)(PIC)로서,
    제1 실리콘 도파로 층(first silicon waveguide layer)을 포함하는 디바이스 층;
    유전체 층에 의해 상기 제1 실리콘 도파로 층으로부터 분리되는 제2 실리콘 도파로 층;
    상기 디바이스 층에 형성되는 광 송신기 회로부 - 상기 광 송신기 회로부는 다수의 각각의 파장들에서 광을 방출하도록 구성되는 다수의 레이저들을 포함하고, 상기 광 송신기 회로부는 각각의 파장과 연관된 다수의 레인들 사이에서 상기 레이저들 각각으로부터의 광을 분할하고, 상기 레인들 각각에서의 광을 광학적으로 변조하여 각각의 레인과 연관된 변조된 광 신호를 생성하고, 상기 변조된 광 신호들을 다수의 파장들에 걸쳐 멀티플렉싱하여 다수의 광 송신기 출력 신호들을 생성하도록 구성됨 -; 및,
    상기 제2 실리콘 도파로 층에 형성되는 광 루프백 회로부
    를 포함하고,
    상기 광 루프백 회로부는 상기 광 송신기 회로부에 광학적으로 커플링되고, 각각의 레인과 연관된 변조된 광 신호를 상기 레인과 연관된 포토다이오드에 선택적으로 커플링하도록 구성되는, PIC.
  2. 제1항에 있어서,
    상기 다수의 파장들은 적어도 4개의 파장들을 포함하고, 상기 변조된 광 신호들은 제1 및 제2 멀티플렉싱 스테이지들에서 멀티플렉싱되고, 상기 제1 멀티플렉싱 스테이지는, 상기 변조된 광 신호들을 상기 다수의 파장들의 제1 서브세트에 걸쳐 제1 멀티플렉싱된 광 신호들로 멀티플렉싱하도록 구성되는 제1 멀티플렉서들, 및 상기 변조된 광 신호들을 상기 다수의 파장들의 제2 서브세트에 걸쳐 제2 멀티플렉싱된 광 신호들로 멀티플렉싱하도록 구성되는 제2 멀티플렉서들을 포함하고, 상기 제2 멀티플렉싱 스테이지는 상기 제1 멀티플렉싱된 신호들 및 상기 제2 멀티플렉싱된 신호들을 상기 광 송신기 출력 신호들로 멀티플렉싱하도록 구성되는, PIC.
  3. 제2항에 있어서,
    상기 제2 멀티플렉싱 스테이지는 광 출력 커플러들에 의해 구현되는, PIC.
  4. 제2항에 있어서,
    각각의 레인에서의 광은 상기 레인과 연관된 광 변조기에 의해 변조되고, 상기 광 변조기들은 제1 컬럼(first column)을 따라, 파장별로 그룹화되어 배열되고, 상기 광 송신기 회로부는, 상기 제1 멀티플렉싱 스테이지의 제1 및 제2 멀티플렉서들을 상기 광 변조기들에 커플링하는 도파로들, 및 상기 제1 멀티플렉싱 스테이지의 제1 및 제2 멀티플렉서들을 상기 제2 멀티플렉싱 스테이지에 커플링하는 도파로들을 더 포함하는, PIC.
  5. 제4항에 있어서,
    상기 제1 및 제2 광 멀티플렉서들은 제2 컬럼에 배열되고, 상기 도파로들은 상기 제1 및 제2 컬럼들 사이에서만 교차하는, PIC.
  6. 제5항에 있어서,
    상기 제1 및 제2 광 멀티플렉서들은 상기 제2 컬럼을 따라 교번하는, PIC.
  7. 제5항에 있어서,
    상기 다수의 파장들은
    Figure pat00024
    개의 파장들로 이루어지고 상기 레인들은 M개의 레인들로 이루어지고, 상기 레인들 각각에 대해, 상기 레인과 연관된 도파로 교차들(crossings)의 수는
    Figure pat00025
    을 초과하지 않는, PIC.
  8. 제4항에 있어서,
    상기 제1 및 제2 광 멀티플렉서들은 제2 컬럼에 배열되고, 각각이 상기 제2 컬럼을 따라 함께 그룹화되는, PIC.
  9. 제8항에 있어서,
    상기 다수의 파장들은
    Figure pat00026
    개의 파장들로 이루어지고 상기 레인들은 M개의 레인들로 이루어지고, 상기 레인들 각각에 대해, 상기 레인과 연관된 도파로 교차들의 수는
    Figure pat00027
    을 초과하지 않는, PIC.
  10. 제4항에 있어서,
    상기 제1 멀티플렉서들은 제1 로우(first row)에 배열되고 상기 제2 멀티플렉서들은 제2 로우에 배열되는, PIC.
  11. 제10항에 있어서,
    상기 제1 멀티플렉싱 스테이지의 제1 및 제2 멀티플렉서들을 상기 광 변조기들에 커플링하는 상기 도파로들은 각각 상기 제1 및 제2 로우들에 대해 네스팅된(nested) 세트들의 도파로들을 형성하는, PIC.
  12. 제11항에 있어서,
    상기 제1 멀티플렉싱 스테이지의 제1 및 제2 멀티플렉서들을 상기 제2 멀티플렉싱 스테이지에 커플링하는 상기 도파로들은 로우들 사이의 축에 대해 대칭적으로 그리고 로우들에 평행하게 구성되는, PIC.
  13. 제12항에 있어서,
    상기 다수의 파장들은
    Figure pat00028
    개의 파장들로 이루어지고 상기 레인들은 M개의 레인들로 이루어지고, 상기 레인들 각각에 대해, 상기 레인과 연관된 도파로 교차들의 수는
    Figure pat00029
    을 초과하지 않는, PIC.
  14. 제11항에 있어서,
    상기 제1 멀티플렉싱 스테이지의 제1 및 제2 멀티플렉서들을 상기 제2 멀티플렉싱 스테이지에 커플링하는 상기 도파로들은 로우들 사이의 축에 대해 비대칭적으로 그리고 로우들에 평행하게 구성되는, PIC.
  15. 제14항에 있어서,
    상기 다수의 파장들은
    Figure pat00030
    개의 파장들로 이루어지고 상기 레인들은 M개의 레인들로 이루어지고, 상기 레인들 각각에 대해, 상기 레인과 연관된 도파로 교차들의 수는
    Figure pat00031
    을 초과하지 않는, PIC.
  16. 제1항에 있어서,
    상기 디바이스 층은 상기 제1 실리콘 도파로 층 상에 형성되는 III-V 반도체 구조체들을 포함하는, PIC.
  17. 송신기 회로부 및 루프백 회로부를 포함하는 광 트랜시버를 제조하는 방법으로서,
    실리콘-온-절연체(silicon-on-insulator) 기판의 실리콘 층을 패터닝하여 그 안에 상기 루프백 회로부를 형성하는 단계;
    유전체 층으로 코팅되는 실리콘 기판을, 상기 유전체 층을 아래로 하여 상기 패터닝된 실리콘 층 상에 본딩하는 단계;
    상기 유전체 층의 상부 상에 제2 실리콘 층을 생성하기 위해 주입된 결함들의 층을 따라 상기 실리콘 기판을 파단하는 단계;
    상기 제2 실리콘 층을 패터닝하여 상기 송신기 회로부의 수동 디바이스 구조체들을 형성하는 단계; 및
    하나 이상의 III-V 다이를 상기 패터닝된 제2 실리콘 층에 본딩하고 상기 본딩된 III-V를 패터닝하여 상기 송신기 회로부의 능동 디바이스 구조체들을 형성하는 단계
    를 포함하는, 방법.
  18. 광자 집적 회로(PIC)로서,
    제1 실리콘 도파로 층을 포함하는 디바이스 층;
    유전체 층에 의해 상기 제1 실리콘 도파로 층으로부터 분리되는 제2 실리콘 도파로 층;
    상기 디바이스 층에 형성되는 광 송신기 회로부 - 상기 광 송신기 회로부는 4개의 각각의 파장들에서 광을 방출하도록 구성되는 4개의 레이저들을 포함하고, 상기 광 송신기 회로부는 4개의 레인들 사이에서 상기 레이저들 각각으로부터의 광을 분할하여 16개의 레인들을 생성하고, 상기 16개의 레인들 각각에서의 광을 광학적으로 변조하여 각각의 레인과 연관된 변조된 광 신호를 생성하고, 상기 변조된 광 신호들을 4개의 파장들에 걸쳐 멀티플렉싱하여 4개의 광 송신기 출력 신호들을 생성하도록 구성됨 -; 및,
    상기 제2 실리콘 도파로 층에 형성되는 광 루프백 회로부
    를 포함하고,
    상기 광 루프백 회로부는 상기 광 송신기 회로부에 광학적으로 커플링되고, 상기 각각의 레인과 연관된 변조된 광 신호를 상기 레인과 연관된 포토다이오드에 선택적으로 커플링하도록 구성되는, PIC.
  19. 제18항에 있어서,
    상기 송신기 회로부는:
    상기 변조된 광 신호들을 생성하기 위해 컬럼을 따라 배열되는 광 변조기들,
    제1 로우를 따라 배열되고 상기 4개의 파장들 중 2개와 연관된 변조된 광 신호들을 제1 멀티플렉싱된 광 신호들로 멀티플렉싱하도록 구성되는 4개의 제1 멀티플렉서들, 및 제2 로우를 따라 배열되고 상기 4개의 파장들 중 다른 2개와 연관된 변조된 광 신호들을 제2 멀티플렉싱된 광 신호들로 멀티플렉싱하도록 구성되는 제2 멀티플렉서들을 포함하는 제1 멀티플렉싱 스테이지,
    상기 제1 멀티플렉싱된 광 신호들 및 상기 제2 멀티플렉싱된 광 신호들을 상기 광 송신기 출력 신호들로 멀티플렉싱하도록 구성되는 제2 멀티플렉싱 스테이지,
    상기 제1 및 제2 멀티플렉서들을 상기 광 변조기들에 커플링하는 제1 도파로들 - 상기 제1 도파로들은 상기 제1 및 제2 로우들에 대해 네스팅된 세트들의 도파로들을 형성함 -, 및
    상기 제1 및 제2 멀티플렉서들을 상기 제2 멀티플렉싱 스테이지에 커플링하는 제2 도파로들
    을 더 포함하고,
    상기 제2 도파로들은 로우들 사이의 축에 대해 비대칭적으로 그리고 로우들에 평행하게 구성되는, PIC.
  20. 제19항에 있어서,
    상기 레인들 각각에 대해, 상기 레인과 연관된 도파로 교차들의 수는 4를 초과하지 않는, PIC.
KR1020200157796A 2020-08-31 2020-11-23 고 채널 카운트 광자들에 대한 광 재분배 층들 KR102540194B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/008,516 2020-08-31
US17/008,516 US11251874B1 (en) 2020-08-31 2020-08-31 Optical redistribution layers for high-channel-count photonics

Publications (2)

Publication Number Publication Date
KR20220029282A true KR20220029282A (ko) 2022-03-08
KR102540194B1 KR102540194B1 (ko) 2023-06-02

Family

ID=73543199

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200157796A KR102540194B1 (ko) 2020-08-31 2020-11-23 고 채널 카운트 광자들에 대한 광 재분배 층들

Country Status (6)

Country Link
US (2) US11251874B1 (ko)
EP (1) EP3961938A1 (ko)
JP (1) JP7259149B2 (ko)
KR (1) KR102540194B1 (ko)
CN (1) CN114114529B (ko)
TW (1) TW202223462A (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11251874B1 (en) * 2020-08-31 2022-02-15 Juniper Networks, Inc. Optical redistribution layers for high-channel-count photonics
EP4239910A1 (en) * 2022-03-03 2023-09-06 EFFECT Photonics B.V. Photonic integrated circuit, opto-electronic system and method

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013185218A1 (en) * 2012-06-13 2013-12-19 Onechip Photonics Inc. Space- wavelength division multiplexing transmitter and receiver photonic integrated circuits
KR20150013900A (ko) * 2012-06-04 2015-02-05 마이크론 테크놀로지, 인크 실리콘-온-절연체 기판 상의 도파로의 광학 격리를 제공하는 방법 및 구조물
US10637584B1 (en) * 2019-02-28 2020-04-28 Elenion Technologies, Llc Optical transceiver with integrated optical loopback

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6134033A (en) * 1998-02-26 2000-10-17 Tyco Submarine Systems Ltd. Method and apparatus for improving spectral efficiency in wavelength division multiplexed transmission systems
JP2000174397A (ja) 1998-12-02 2000-06-23 Nec Corp 多波長光源装置及びその発振周波数制御方法
AU2002342020A1 (en) * 2001-10-09 2003-04-22 Infinera Corporation Transmitter photonic integrated circuit
US6768827B2 (en) * 2002-01-16 2004-07-27 The Regents Of The University Of California Integrated optical router
US8050525B2 (en) * 2006-10-11 2011-11-01 Futurewei Technologies, Inc. Method and system for grating taps for monitoring a DWDM transmitter array integrated on a PLC platform
CN102144179B (zh) 2008-09-04 2013-06-12 惠普开发有限公司 损耗得以减少的介电波导相交点
KR20110048614A (ko) * 2009-11-03 2011-05-12 삼성전자주식회사 게이트 구조물 및 그 형성 방법
KR20110080666A (ko) * 2010-01-06 2011-07-13 삼성전자주식회사 반도체 콘택 구조 및 형성 방법
JP5712582B2 (ja) * 2010-12-02 2015-05-07 富士通株式会社 光送信器および光送信装置
KR20120070836A (ko) 2010-12-22 2012-07-02 한국전자통신연구원 다파장 광 발생 장치
US9485026B2 (en) * 2011-09-16 2016-11-01 OE Solutions Co., Ltd. Scheme for remote control of the wavelength of a tunable transmitter in a smart transceiver
WO2013086047A1 (en) 2011-12-06 2013-06-13 Cornell University Integrated multi-chip module optical interconnect platform
US9548809B1 (en) 2013-07-11 2017-01-17 Inphi Corporation Built-in self test for loopback on communication system on chip
EP2905913B1 (en) * 2014-02-05 2017-07-12 Aurrion, Inc. Photonic transceiver architecture with loopback functionality
US9960888B2 (en) * 2014-06-26 2018-05-01 Luxtera, Inc. Method and system for an optoelectronic built-in self-test system for silicon photonics optical transceivers
US9912408B2 (en) 2014-10-28 2018-03-06 Luxtera, Inc. Method and system for silicon photonics wavelength division multiplexing transceivers
JP2017059933A (ja) 2015-09-15 2017-03-23 日本電気株式会社 通信システム、基地局及びアンテナ制御方法
US11057114B2 (en) * 2016-08-04 2021-07-06 Acacia Communications, Inc. Optical loopback circuits for transceivers and related methods
JP6801395B2 (ja) 2016-11-25 2020-12-16 富士通株式会社 多波長レーザ装置及び波長多重通信システム
CN106646783A (zh) * 2017-02-14 2017-05-10 上海新微科技服务有限公司 硅基wdm光收发模块
US10527796B2 (en) * 2018-04-30 2020-01-07 Juniper Networks, Inc. Photonic input/output coupler alignment
WO2020069458A1 (en) * 2018-09-28 2020-04-02 Sunpower Corporation Solar cells having hybrid architectures including differentiated p-type and n-type regions
US20200232878A1 (en) 2019-01-17 2020-07-23 Elenion Technologies, Llc Testing of integrated optical mixers
JP7234805B2 (ja) * 2019-06-03 2023-03-08 富士通株式会社 波長変換装置及び波長変換方法
US11251874B1 (en) * 2020-08-31 2022-02-15 Juniper Networks, Inc. Optical redistribution layers for high-channel-count photonics

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150013900A (ko) * 2012-06-04 2015-02-05 마이크론 테크놀로지, 인크 실리콘-온-절연체 기판 상의 도파로의 광학 격리를 제공하는 방법 및 구조물
JP2015526883A (ja) * 2012-06-04 2015-09-10 マイクロン テクノロジー, インク. シリコンオンインシュレータ基板上に導波路の光分離を提供する方法および構造
WO2013185218A1 (en) * 2012-06-13 2013-12-19 Onechip Photonics Inc. Space- wavelength division multiplexing transmitter and receiver photonic integrated circuits
US10637584B1 (en) * 2019-02-28 2020-04-28 Elenion Technologies, Llc Optical transceiver with integrated optical loopback

Also Published As

Publication number Publication date
US20220069916A1 (en) 2022-03-03
KR102540194B1 (ko) 2023-06-02
CN114114529A (zh) 2022-03-01
JP7259149B2 (ja) 2023-04-18
TW202223462A (zh) 2022-06-16
US11251874B1 (en) 2022-02-15
JP2022041794A (ja) 2022-03-11
US20220123840A1 (en) 2022-04-21
CN114114529B (zh) 2023-05-02
EP3961938A1 (en) 2022-03-02

Similar Documents

Publication Publication Date Title
US10615903B2 (en) Method and system for a polarization immune wavelength division multiplexing demultiplexer
US10809459B2 (en) Integrated polarization splitter and rotator including a PDL tuning region
US6594409B2 (en) WDM transmitter or receiver including an array waveguide grating and active optical elements
US9164300B2 (en) Reconfigurable optical networks
US20170163000A1 (en) Photonic Integrated Circuit Including Compact Lasers With Extended Tunability
EP0853440A2 (en) Optical cross-connect system
US20120170933A1 (en) Core-selective optical switches
US20220123840A1 (en) Optical redistribution layers for high-channel-count photonics
US20170163001A1 (en) Photonic Integrated Circuit Including Compact Lasers With Extended Tunability
US9256084B2 (en) Polarization beam splitter
US20170207603A1 (en) Laser arrays comprising compact lasers with extended tunability
US8260096B2 (en) Photonic integrated circuit having bent active components
US20230353251A1 (en) Photonic Integrated Circuit for High-Wavelength-Channel-Count Wavelength-Division-Multiplexed Systems
US11811455B2 (en) Device and method for processing an optical signal
Xiao et al. Experimental demonstration of SiPh Flex-LIONS for bandwidth-reconfigurable optical interconnects
US11561348B2 (en) Optical alignment systems and methods
Aalto et al. Fast 100-channel wavelength selectors integrated on silicon
JP2004072690A (ja) 光通信システム
JPH1155222A (ja) 光導波路デバイス
Rohit et al. Monolithic multiband wavelength router for fast reconfigurable data networking

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
GRNT Written decision to grant