KR20210100760A - 광학 스택 증착 및 온-보드 계측 - Google Patents

광학 스택 증착 및 온-보드 계측 Download PDF

Info

Publication number
KR20210100760A
KR20210100760A KR1020217025180A KR20217025180A KR20210100760A KR 20210100760 A KR20210100760 A KR 20210100760A KR 1020217025180 A KR1020217025180 A KR 1020217025180A KR 20217025180 A KR20217025180 A KR 20217025180A KR 20210100760 A KR20210100760 A KR 20210100760A
Authority
KR
South Korea
Prior art keywords
transfer chamber
chamber
processing
layer
metrology unit
Prior art date
Application number
KR1020217025180A
Other languages
English (en)
Inventor
밍웨이 주
지하오 양
나그 비. 파티반드라
다니엘 딜
용 카오
웨이민 젱
렌징 젱
에드워드 부디아르토
수렌더 쿠마르 구루사미
토드 에간
니란잔 알. 카스기웨일
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20210100760A publication Critical patent/KR20210100760A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0625Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of absorption or reflection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/20Pc systems
    • G05B2219/26Pc applications
    • G05B2219/2602Wafer processing

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Automation & Control Theory (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

균일하고 정확한 층들을 갖는 광학 스택을 형성하기 위한 방법 및 장치가 제공된다. 광학 스택을 형성하기 위해 사용되는 프로세싱 툴은, 밀폐 환경 내에서 제1 이송 챔버, 온-보드 계측 유닛 및 제2 이송 챔버를 포함한다. 제1 복수의 프로세싱 챔버들이 제1 이송 챔버 또는 제2 이송 챔버에 커플링된다. 온-보드 계측 유닛은 제1 이송 챔버와 제2 이송 챔버 사이에 배치된다. 온-보드 계측 유닛은, 광학 스택의 개별 층들을 주변 환경에 노출시키지 않고 이러한 층들의 하나 이상의 광학 특성들을 측정하도록 구성된다.

Description

광학 스택 증착 및 온-보드 계측
[0001] 본 개시내용의 실시예들은 일반적으로, 프로세싱 툴에서 광학 스택들의 층들을 증착 및 측정하는 것에 관한 것이다.
[0002] 프로세싱 툴은, 광학 스택들을 형성하기 위하여 기판들 상에 유전체 층들 또는 막들과 같은 재료들을 증착하기 위해 사용되는 다양한 증착 챔버들을 포함할 수 있다. 광학 스택들을 형성할 때, 막들은 일반적으로, 가능한 한 균일하고 정확하게 증착된다. 막이 균일하고 정확하게 증착되었는지를 결정하기 위해, 스택은 외부 계측을 사용하여 측정되도록 증착 챔버로부터 제거된다. 그러나, 외부 계측 조립체를 활용하는 것은 막들을 공기에 노출시켜서, 막들의 특성들이 바람직하지 않게 변화하게 한다. 변화하는 막들의 특성들은 다양한 에러들 또는 결함들을 초래하여서, 이를테면 막의 표면 또는 인터페이스가 열화되게 하거나 또는 불균등해지게 할 수 있다. 더욱이, 막들을 측정하기 위해 프로세싱 툴 환경으로부터 스택을 제거하는 것은 시간 소모적일 수 있으며, 이는 생산 시간을 감소시키고 스루풋을 감소시킨다.
[0003] 그러므로, 균일한 층들을 갖는 광학 스택들을 형성하기 위해 그리고 광학 스택 층들 내의 에러들 또는 결함들을 최소화하기 위해 프로세싱 툴 내에 통합된 신뢰성 있고 정확하며 정밀한 계측을 위한 장치 및 절차가 필요하다.
[0004] 균일하고 정확한 층들을 갖는 광학 스택을 형성하기 위한 방법 및 장치가 제공된다. 광학 스택을 형성하기 위해 사용되는 프로세싱 툴은, 밀폐(enclosed) 환경 내에서 제1 이송 챔버, 온-보드 계측 유닛(on-board metrology unit) 및 제2 이송 챔버를 포함한다. 제1 복수의 프로세싱 챔버들이 제1 이송 챔버 또는 제2 이송 챔버에 커플링된다. 온-보드 계측 유닛은 제1 이송 챔버와 제2 이송 챔버 사이에 배치된다. 온-보드 계측 유닛은, 광학 스택의 개별 층들을 주변 환경에 노출시키지 않고 이러한 층들의 하나 이상의 광학 특성들을 측정하도록 구성된다.
[0005] 일 실시예에서, 프로세싱 툴은, 제1 이송 챔버, 제1 이송 챔버에 커플링된 제2 이송 챔버 ―하나 이상의 프로세싱 챔버들이 제1 이송 챔버 또는 제2 이송 챔버에 커플링됨―, 및 제1 이송 챔버와 제2 이송 챔버 사이에 배치된 온-보드 계측 유닛을 포함한다. 제1 이송 챔버, 제2 이송 챔버 및 온-보드 계측 유닛은 밀폐 환경에 배치된다.
[0006] 다른 실시예에서, 광학 스택을 형성하는 방법은, 제1 프로세싱 챔버에서 기판 상에 제1 층을 증착하는 단계, 온-보드 계측 유닛을 사용하여 제1 층의 하나 이상의 특성들을 측정하는 단계, 후속 층들에서의 보정(correction)을 위해 제1 층의 하나 이상의 에러들을 결정하는 단계, 및 제2 프로세싱 챔버에서 제1 층 상에 제2 층을 증착하는 단계를 포함한다. 방법은, 온-보드 계측 유닛을 사용하여 제2 층의 하나 이상의 특성들을 측정하는 단계를 더 포함한다. 제1 프로세싱 챔버, 제2 프로세싱 챔버 및 온-보드 계측 유닛은 밀폐 환경에 배치된다.
[0007] 또 다른 실시예에서, 프로세싱 툴은, 하나 이상의 전면 개방 통합 포드(front opening unified pod)들에 커플링된 공장 인터페이스, 및 공장 인터페이스에 커플링된 제1 이송 챔버를 포함한다. 제1 이송 챔버는 로봇 아암(robotic arm)들의 제1 세트를 포함한다. 프로세싱 툴은, 제1 이송 챔버에 커플링된 제2 이송 챔버를 더 포함한다. 제2 이송 챔버는 로봇 아암들의 제2 세트를 포함한다. 프로세싱 툴은, 제1 이송 챔버 또는 제2 이송 챔버에 커플링된 복수의 챔버들을 더 포함한다. 복수의 챔버들은 제1 복수의 프로세싱 챔버들 및 복수의 지지 챔버들을 포함한다. 프로세싱 툴은, 제1 이송 챔버와 제2 이송 챔버 사이에 배치된 온-보드 계측 유닛을 더 포함한다. 제1 이송 챔버, 제2 이송 챔버 및 온-보드 계측 유닛은 진공 환경에 배치된다.
[0008] 본 개시내용의 위에서 언급된 특징들이 상세히 이해될 수 있는 방식으로, 위에서 간략히 요약된 본 개시내용의 더욱 상세한 설명이 실시예들을 참조함으로써 이루어질 수 있으며, 이 실시예들 중 일부는 첨부된 도면들에 예시된다. 그러나, 첨부된 도면들은 예시적인 실시예들만을 예시하므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 하며, 다른 동일하게 유효한 실시예들을 허용할 수 있다는 것이 주목되어야 한다.
[0009] 도 1은 일 실시예에 따른, 광학 스택을 형성하기 위해 사용되는 프로세싱 툴을 예시한다.
[0010] 도 2는 일 실시예에 따른, 온-보드 계측 유닛을 활용하여 광학 스택을 형성하는 방법을 예시한다.
[0011] 도 3a-도 3b는 일 실시예에 따른, 외부 계측을 활용하여 형성되는 광학 스택의 다양한 도면들을 예시한다.
[0012] 도 4a-도 4b는 다른 실시예에 따른, 온-보드 계측 유닛을 활용하여 형성되는 광학 스택의 다양한 도면들을 예시한다.
[0013] 이해를 용이하게 하기 위해, 도면들에 대해 공통인 동일한 엘리먼트들을 지정하기 위해 가능한 경우 동일한 참조 번호들이 사용되었다. 일 실시예의 엘리먼트들 및 특징들이 추가적인 언급 없이 다른 실시예들에 유익하게 통합될 수 있다는 것이 고려된다.
[0014] 균일하고 정확한 층들을 갖는 광학 스택을 형성하기 위한 방법 및 장치가 제공된다. 광학 스택을 형성하기 위해 사용되는 프로세싱 툴은, 밀폐 환경 내에서 제1 이송 챔버, 온-보드 계측 유닛 및 제2 이송 챔버를 포함한다. 제1 복수의 프로세싱 챔버들이 제1 이송 챔버 또는 제2 이송 챔버에 커플링된다. 온-보드 계측 유닛은 제1 이송 챔버와 제2 이송 챔버 사이에 배치된다. 온-보드 계측 유닛은, 광학 스택의 개별 층들을 주변 환경에 노출시키지 않고 이러한 층들의 하나 이상의 광학 특성들을 측정하도록 구성된다.
[0015] 도 1은 본 개시내용의 구현들에 따른, 광학 스택을 형성하기 위해 사용되는 예시적인 프로세싱 툴(100)의 평면도를 예시한다.
[0016] 프로세싱 툴(100)은 일반적으로, 전면 개방 통합 포드("FOUP(front opening unified pod)")(122)로부터, 프로세싱될 기판들(예컨대, 300 mm 직경의 웨이퍼들)의 하나 이상의 카세트들을 수용하도록 구성된 공장 인터페이스(102)를 포함한다. FOUP(122)은, 기판들을 일시적으로 그리고 휴대가능하게 저장하도록 구성된 하나 이상의 기판 캐리어들을 갖는다. 공장 인터페이스(102)는 특히 대기(atmospheric) 로봇, 하나 이상의 로드 포트들, 홀딩 스테이션, 로크 로드(lock load) 챔버, 및/또는 배치(batch) 경화 챔버와 같은 도시되지 않은 다양한 컴포넌트들을 포함할 수 있다. 공장 인터페이스(102)는 공장의 주변 환경과, 복수의 프로세싱 챔버들(108A-108G)을 포함하는 프로세싱 툴(100)의 내부 밀폐 환경 사이의 전이(transition)를 제공한다. 프로세싱 툴(100)의 내부 밀폐 환경은 진공 환경 또는 가압된 환경일 수 있다. 프로세싱 툴(100)의 내부 밀폐 환경은, 공기를 포함하는 주위 주변 환경으로부터 분리된다.
[0017] 공장 인터페이스(102)는, 기판들이 공장 인터페이스(102)로 그리고 공장 인터페이스(102)로부터 이송될 때, 프로세싱된 그리고 프로세싱되지 않은 기판들을 지지하도록 구성된 하나 이상의 버퍼들(104)에 커플링된다. 일단 하나 이상의 프로세싱되지 않은 기판들이 버퍼(104) 상에 배치되면, 로봇 아암들(112)의 제1 세트는 기판들을 제1 이송 챔버(106)로 수송하도록 구성된다. 로봇 아암들(112)의 제1 세트는 하나 이상의 아암들을 포함할 수 있다. 제1 이송 챔버(106)는 하나 이상의 프로세싱 챔버들(108A-108B)에 커플링될 수 있다. 제1 이송 챔버(106)는 추가로, 하나 이상의 지지 챔버들(124)에 커플링된다. 하나 이상의 지지 챔버들(124)은 특히 탈기 챔버, 저장 챔버, 버퍼 챔버, 가열 및/또는 냉각 챔버(들)를 포함할 수 있다. 로봇 아암들(112)의 제1 세트는, 제1 이송 챔버(106)로부터 하나 이상의 프로세싱 챔버들(108A-108B) 및 지지 챔버들(124)로, 그리고 이러한 하나 이상의 프로세싱 챔버들(108A-108B) 및 지지 챔버들(124)로부터 기판들을 수송하도록 구성된다.
[0018] 로봇 아암들(112)의 제1 세트는 추가로, 제1 이송 챔버(106)로부터 제1 냉각 챔버(120)의 최상부에 또는 밑에 장착된 온-보드 계측(OBM; on-board metrology) 유닛(110)으로 기판들을 수송하도록 구성된다. 제2 냉각 챔버(114)가 OBM 유닛(110) 위에 또는 아래에 배치된 제1 냉각 챔버(120)에 인접하게 배치된다. OBM 유닛(110), 제1 냉각 챔버(120) 및 제2 냉각 챔버(114)는 제1 이송 챔버(106)와 제2 이송 챔버(116) 사이에 배치된다. OBM(110), 제1 냉각 챔버(120) 및 제2 냉각 챔버(114)는 제1 이송 챔버(106)를 제2 이송 챔버(116)에 연결하는 패스 스루(pass through) 챔버에 배치될 수 있다. 제1 이송 챔버(106), 제2 이송 챔버(116), 제1 냉각 챔버(120) 및 제2 냉각 챔버(114)는 균일한 바디이다. 일 실시예에서, OBM 유닛(110)은 제1 이송 챔버(106) 또는 제2 이송 챔버(116)에 커플링된 별개의 챔버 ―이러한 별개의 챔버는 이를테면 지지 챔버(124) 또는 프로세싱 챔버(108C)를 대체함― 에 배치된다.
[0019] 제2 이송 챔버(116)는 로봇 아암들(118)의 제2 세트를 포함한다. 로봇 아암들(118)의 제2 세트는 하나 이상의 아암들을 포함할 수 있다. 로봇 아암들(118)의 제2 세트는, 제1 냉각 챔버(120) 및/또는 제2 냉각 챔버(114)로, 그리고 제1 냉각 챔버(120) 및/또는 제2 냉각 챔버(114)로부터 제2 이송 챔버(116)로 기판들을 수송하도록 구성된다. 로봇 아암들(118)의 제2 세트는 추가로, 제2 이송 챔버(116)로부터 제2 이송 챔버(116)에 커플링된 하나 이상의 프로세싱 챔버들(108C-108G)로, 그리고 이러한 하나 이상의 프로세싱 챔버들(108C-108G)로부터 기판들을 수송하도록 구성된다. 하나 이상의 프로세싱 챔버들(108A-108G) 중 하나 이상이 제1 이송 챔버(106) 또는 제2 이송 챔버(116) 중 단 하나에만 커플링될 수 있다.
[0020] 프로세싱 챔버들(108A-108G)은 광학 스택들을 형성하기 위해 기판들 상에 유전체 막들과 같은 층들을 증착하도록 구성된다. 프로세싱 챔버들(108A-108G)은 광학 스택들을 형성하기 위해 높은 광 투명도를 갖는 광학 막들을 증착할 수 있다. 예컨대, 막들은 특히 TiO2, Ta2O5, Nb2O5, 비정질 또는 폴리 Si, SiOxNy, AlOxNy, ITO를 포함할 수 있다. 각각의 막은 약 5 nm 내지 500 nm의 두께를 가질 수 있다.
[0021] 프로세싱 챔버들(108A-108G)은 임의의 타입의 프로세싱 챔버들, 예컨대, 화학 기상 증착(CVD; chemical vapor deposition) 챔버들, 원자 층 증착(ALD; atomic layer deposition) 챔버들, 물리 기상 증착(PVD; physical vapor deposition) 챔버들, 이온 금속 주입(IMP; ion metal implant) 챔버들, 플라즈마 에칭 챔버들, 어닐링 챔버들, 다른 퍼니스 챔버들 등일 수 있다. 일 구현에서, 프로세싱 챔버들(108A-108G)은 기판 상에 유동성 유전체 막을 증착, 어닐링, 경화 및/또는 에칭하도록 구성된다. 일 구성에서, 프로세싱 챔버들(108A-108G) 중 하나 이상이 기판 상에 유동성 유전체 재료를 증착하기 위해 사용될 수 있다. 원하는 경우, 이들 프로세싱 챔버들(108A-108G) 중 임의의 프로세싱 챔버, 또는 하나 이상의 추가 프로세싱 챔버들이 제1 이송 챔버(106) 및 제2 이송 챔버(116)에 커플링될 수 있고, 애플리케이션에 따라 산화, 막 증착, 에칭, 가열, 탈기, 애싱, 이온 주입, 계측 등과 같은 다른 통상적인 반도체 디바이스 제작 프로세스를 수행하도록 배열될 수 있다.
[0022] 광학 스택들 상의 막 또는 층의 증착에 이어서, 로봇 아암들(112)의 제1 세트 및 로봇 아암들(118)의 제2 세트는, 증착된 막 또는 층의 하나 이상의 특성들을 측정하기 위해 제1 냉각 챔버(120) 상의 OBM 유닛(110) 아래에 또는 위에 스택들을 배치하도록 구성된다. OBM(110)은, 증착된 층에 광을 비추고 증착된 층으로부터 다시 반사된 광을 수집함으로써, 증착된 층의 하나 이상의 특성들을 측정하도록 구성된다. OBM(110)은, 환경을 파괴하거나 또는 깨뜨리지 않고 증착된 층들의 특성들이 측정될 수 있도록, 내부 밀폐 환경에 밀폐될 수 있다.
[0023] 일 실시예에서, OBM 유닛(110)은 반사계(reflectometer) 및 엘립소미터 중 적어도 하나를 포함한다. OBM 유닛(110)은 복수의 광 프로브들을 포함할 수 있다. OBM 유닛(110)은 각각의 증착된 층의 광 반사 스펙트럼을 측정하도록 구성된다. OBM 유닛(110)은 추가로, 기판의 프로세싱 전에 그리고/또는 후에 막 두께, 광 반사 스펙트럼, 광 투과 스펙트럼, 광 흡수 스펙트럼, 굴절률, 구별 계수(distinction coefficient), 조성(composition), 웨이퍼 보우(bow) 및 응력과 같은 다양한 막 특성들을 측정하도록 구성된다. 프로세싱 툴(100) 상에 배치된 다양한 프로세스 및 하드웨어 엔지니어링 노브(knob)들(미도시)은 OBM 유닛(110)의 결과들에 대한 응답으로 각각의 개별 층의 균일성, 밀도, 평활도, 광 투명도, 응력 및 입자 수(particle count)를 정밀하게 제어하도록 조절될 수 있다.
[0024] OBM 유닛(110)은 추가로, 실시간 광 응답 피드백을 제공하여서, 측정된 층에서 검출된 임의의 에러들을 후속 층들이 보상할 수 있게 하도록 구성된다. OBM 유닛(110)으로부터의 결과들은 추가로, 최적의 광 스펙트럼 성능을 보장하기 위해 피드-포워드 두께 보정을 위한 알고리즘들을 개발하는 데 사용될 수 있다. 예컨대, 측정된 층이 불균일한 두께 또는 다른 두께 에러를 갖는 것으로 결정되면, 후속하여 추가되는 층들은 측정된 층의 불균일한 두께를 고려하고 그러한 에러들을 보상할 수 있다. 따라서, 후속하여 추가되는 층들은 이전에 추가된 층들의 두께 에러들을 보정할 수 있다.
[0025] 각각의 증착된 층을 측정하기 위해 OBM 유닛(110)을 활용함으로써, 개별 층 두께, 표면 거칠기, 층 균일성, 광 반사 스펙트럼 및 다른 광학 특성들과 같은, 각각의 층의 다양한 특성들이 정밀하고 정확하게 제어될 수 있다. 더욱이, OBM 유닛(110)이 프로세싱 툴(100)과 통합되기 때문에, 다양한 층들의 특성들을 측정하기 위하여, 내부 밀폐 환경이 파괴되거나 또는 깨뜨려지지 않을 것이다. 따라서, 층들이 공기 또는 다른 주변 환경에 노출되지 않아서, 입자 문제(issue)들/오염 및 표면/인터페이스 열화들이 감소될 것이다. 추가적으로, 프로세싱 툴(100) 내에 배치되어 있는 OBM 유닛(110)은, 광학 스택을 형성하는 동안 실시간 피드백이 획득될 수 있게 하여서, 두께 정확성 및 균일성을 개선시키고 스루풋을 증가시킨다.
[0026] 도 2는 일 실시예에 따른, 균일한 층들을 갖는 광학 스택을 형성하는 방법(200)을 예시한다. 방법(200)은 도 1의 프로세싱 툴(100)과 함께 활용될 수 있다.
[0027] 동작(202)에서, 기판이 프로세싱 툴의 프로세싱 챔버 내로 배치된다. 프로세싱 챔버는 임의의 타입의 프로세싱 챔버, 예컨대, CVD 챔버, ALD 챔버, PVD 챔버, IMP 챔버, 플라즈마 에칭 챔버, 어닐링 챔버, 다른 퍼니스 챔버 등일 수 있다.
[0028] 동작(204)에서, 광학 스택을 형성하기 위해 하나 이상의 층들이 기판 상에 증착된다. 하나 이상의 층들은 특히 TiO2, Ta2O5, Nb2O5, 비정질 또는 폴리 Si, SiOxNy, AlOxNy, ITO와 같은, 높은 광 투명도를 갖는 재료를 포함할 수 있다. 하나 이상의 층들 각각은 약 5-500 nm의 두께를 가질 수 있다.
[0029] 동작(206)에서, 스택은 프로세싱 챔버로부터 제거되며, 프로세싱 툴 내의 패스 스루 챔버에 배치된 OBM 유닛으로 수송된다. OBM 유닛이 프로세싱 툴 내에 배치되기 때문에, 프로세싱 챔버들과 OBM 유닛 사이에서 스택들을 이송할 때, 내부 밀폐 환경은 파괴되거나 또는 깨뜨려지지 않을 것이다. 따라서, 스택의 층들이 공기 또는 다른 주변 환경에 노출되지 않아서, 입자 문제들/오염 및 표면/인터페이스 열화들이 감소될 것이다.
[0030] 동작(208)에서, OBM 유닛을 사용하여 스택의 하나 이상의 층들의 하나 이상의 특성들이 측정된다. OBM 유닛은, 하나 이상의 층에 광을 비추고 하나 이상의 층들로부터 다시 반사된 광을 수집함으로써, 하나 이상의 층들의 하나 이상의 특성들을 측정하도록 구성된다. 하나 이상의 측정된 특성들은 두께, 광 반사 스펙트럼, 광 투과 스펙트럼, 광 흡수 스펙트럼, 굴절률, 구별 계수, 조성, 웨이퍼 보우 및 응력을 포함할 수 있다.
[0031] 동작(210)에서, 후속 층들의 보정을 위해, 측정된 하나 이상의 층들의 임의의 에러들이 측정된 특성들에 기반하여 결정된다. 에러들이 실시간으로 결정되어서, 후속 층(들)이 하나 이상의 측정된 층들에서 검출된 임의의 에러들을 보상할 수 있게 한다. 에러들은, 최적의 광 스펙트럼 성능을 보장하기 위해 피드-포워드 두께 보정을 위한 알고리즘들을 개발하는 데 사용될 수 있다.
[0032] 동작(212)에서, 스택은 OBM 유닛으로부터 제거되고, 프로세싱 툴 내의 다른 프로세싱 챔버에 배치된다. 프로세싱 챔버는 동작(202)에서 사용된 챔버와 동일한 챔버일 수 있거나, 또는 프로세싱 챔버는 프로세싱 툴 내의 상이한 챔버일 수 있다. 프로세싱 챔버는 임의의 타입의 프로세싱 챔버, 예컨대, CVD 챔버, ALD 챔버, PVD 챔버, IMP 챔버, 플라즈마 에칭 챔버, 어닐링 챔버, 다른 퍼니스 챔버 등일 수 있다.
[0033] 동작(214)에서, 하나 이상의 층들이 스택의 측정된 하나 이상의 층들 상에 증착되어서, 있다면, 동작(210)에서 결정된 에러들을 보상한다. 일 실시예에서, 증착된 하나 이상의 층들의 재료는 측정된 하나 이상의 층들의 재료와 상이한 굴절률을 갖는다. 하나 이상의 층들은 특히 TiO2, Ta2O5, Nb2O5, 비정질 또는 폴리 Si, SiOxNy, AlOxNy, ITO와 같은, 높은 광 투명도를 갖는 재료를 포함할 수 있다. 하나 이상의 층들 각각은 약 5-500 nm의 두께를 가질 수 있다.
[0034] 동작(216)에서, 광학 스택이 완전히 형성될 때까지 층들을 측정 및 추가하기 위해 동작들(206-214)이 1 번 이상 반복된다. 하나 이상의 층들이 스택에 추가될 때마다, 추가된 층들의 하나 이상의 특성들을 측정하기 위해 스택은 OBM 유닛에 배치된다. 그런 다음, 후속 층들에서의 보정을 위해, 측정된 층들의 임의의 에러들이 측정된 특성들에 기반하여 결정된다. 그런 다음, 스택은 다른 증착 챔버(또는 이전 증착 챔버)에 배치되고, 하나 이상의 층들이 측정된 층들에 다시 추가되어서, 임의의 이전에 결정된 에러들을 보상한다. 따라서, 프로세싱 툴은, 스택의 층들의 특성들을 연속적으로 측정하고 층들의 임의의 에러들을 결정하며 추가 층들을 스택에 추가하여서, 임의의 에러들을 보상한다. 동작들(206-214)을 반복할 때, 동작들(206-210)은 선택적이며, 건너뛰어질 수 있다. 따라서, 매번 OBM 유닛에 의해 측정되지 않고, 추가 층들이 스택에 추가될 수 있다. 일단 광학 스택이 완전히 형성되면, 방법(200)은 종료되고, 광학 스택은 프로세싱 툴로부터 제거된다.
[0035] 도 3a-도 3b는 일 실시예에 따른, 외부 계측 조립체를 활용하여 형성되는 광학 스택(300)의 다양한 도면들을 예시한다. 도 3a-도 3b의 광학 스택(300)을 형성하기 위해, 제1 층(304)이 기판(302) 상에 증착되었고, 외부 계측 조립체를 사용하여 제1 층(304)의 특성들을 측정하기 위해 스택(300)은 프로세싱 툴로부터 제거되었다. 제1 층(304)을 측정한 후에, 스택(300)은 프로세싱 툴의 내부 환경에 다시 들어갔고, 제2 층(306)이 제1 층(304) 상에 증착되었다. 그런 다음, 외부 계측 조립체를 사용하여 제2 층(306)의 특성을 측정하기 위해 스택(300)은 프로세싱 툴로부터 제거되었다. 제2 층(306)을 측정한 후에, 스택(300)은 프로세싱 툴의 내부 환경에 다시 들어갔고, 제3 층(308)이 제2 층(306) 상에 증착되었다. 그런 다음, 외부 계측 조립체를 사용하여 제3 층(308)의 특성을 측정하기 위해 스택(300)은 프로세싱 툴로부터 제거되었다. 층들(304, 306, 308) 중 하나가 측정되었을 때마다, 내부 환경은 파괴되거나 또는 깨뜨려졌으며, 층(304, 306, 308)은 공기 또는 다른 주변 환경에 노출되었다.
[0036] 도 3a는 외부 계측을 사용하여 형성되는 광학 스택(300)의 비확대도를 예시한다. 제1 층(304), 제2 층(306) 및 제3 층(308)은 모두 상이한 재료들을 포함할 수 있거나, 또는 제2 층(306)은 제1 층(304) 및 제3 층(308)과 상이한 재료를 포함할 수 있다. 도 3a에 도시된 바와 같이, 각각의 층(304, 306, 308) 사이의 인터페이스들(310)은 직선인 것으로 보이며, 이는 층들(304, 306, 308)이 균일하게 증착됨을 표시한다.
[0037] 도 3b는, 도 3a에서 도 3b로 라벨링된 박스 내의 스택(300)의 일부분의 확대도 또는 줌인된 도면(zoomed in view)을 예시한다. 스택(300)의 확대도는 제1 층(304)의 일부분, 제3 층(308)의 일부분, 및 제2 층(306)을 보여준다. 도 3b에 도시된 바와 같이, 층들(304, 306, 308) 사이의 인터페이스들(310)은 불균등하고 직선이 아니며, 이는 층들(304, 306, 308)이 실제로 균일하게 증착되지 않았거나 또는 거친 표면들을 갖는다는 것을 표시한다. 인터페이스들(310)의 불균일성 및 거칠기는, 외부 계측 조립체를 사용하여 측정될 때 공기 또는 다른 주변 환경에 노출된 결과일 수 있다. 스택(300)의 층들(304, 306, 308)을 공기에 노출시키는 것은 층들(304, 306, 308) 또는 인터페이스들(310)의 오염 또는 열화를 초래할 수 있고, 이는 결국, 인터페이스들(310)이 불균등해지거나 또는 불균일해지게 한다.
[0038] 도 4a-도 4b는 일 실시예에 따른, OBM 유닛을 활용하여 형성되는 광학 스택(400)의 다양한 도면들을 예시한다. OBM 유닛(110)을 갖는 프로세싱 툴(100)은 스택(400)을 형성하기 위해 사용될 수 있다. 도 4a-도 4b의 광학 스택(400)을 형성하기 위해, 제1 층(404)이 기판(402) 상에 증착되었고, 제1 층(404)의 특성들을 측정하기 위해 스택(400)은 프로세싱 툴 내의 OBM 유닛으로 이동되었다. 제1 층(404)을 측정한 후에, 제1 층(404)의 임의의 에러들이 결정되었고, 제2 층(406)이 제1 층(404) 상에 증착되어서, 결정된 에러들을 보상했다. 그런 다음, 제2 층(406)의 특성들을 측정하기 위해 스택(400)은 프로세싱 툴 내의 OBM 유닛으로 이동되었다. 제2 층(406)을 측정한 후에, 제2 층(406)의 임의의 에러들이 결정되었고, 제3 층(408)이 제2 층(406) 상에 증착되어서, 결정된 에러들을 보상했다. 그런 다음, 제3 층(408)의 특성들을 측정하기 위해 스택(400)은 프로세싱 툴 내의 OBM 유닛으로 이동되었다. 제3 층(408)을 측정한 후에, 제3 층(408)의 임의의 에러들이 결정되었다. 층들(404, 406, 408) 중 하나가 측정되었을 때마다, 스택(400)은 프로세싱 툴의 내부 밀폐 환경 내에 남아 있었고, 스택(400)은 공기에 노출되지 않았다.
[0039] 도 4a는 OBM 유닛을 활용하여 형성되는 광학 스택(400)의 비확대도를 예시한다. 제1 층(404), 제2 층(406) 및 제3 층(408)은 모두 상이한 재료들을 포함할 수 있거나, 또는 제2 층(406)은 제1 층(404) 및 제3 층(408)과 상이한 재료를 포함할 수 있다. 도 4a에 도시된 바와 같이, 각각의 층(404, 406, 408) 사이의 인터페이스들(410)은 직선인 것으로 보이며, 이는 층들(404, 406, 408)이 균일하게 증착되며 매끄러운 평평한 표면들을 갖는다는 것을 표시한다.
[0040] 도 4b는, 도 4a에서 도 4b로 라벨링된 박스 내의 스택(400)의 일부분의 확대도 또는 줌인된 도면을 예시한다. 도 4b의 배율 수준은 도 3b와 동일하다. 스택(400)의 확대도는 제1 층(404)의 일부분, 제3 층(408)의 일부분, 및 제2 층(406)을 보여준다. 도 4b에 도시된 바와 같이, 층들(404, 406, 408) 사이의 인터페이스들(410)은 불균등성이 거의 없거나 또는 불균등성이 전혀 없는 직선이며, 이는 층들(404, 406, 408)이 균일하게 증착되었음을 표시한다. 프로세싱 툴 내의 OBM 유닛을 활용하는 것은 스택(400)이 공기 또는 다른 주변 환경에 노출되는 것을 방지하고, 이는 결국, 층들(404, 406, 408) 및/또는 인터페이스들(410)이 불균등해지게 할 수 있는 열화 또는 오염을 방지한다.
[0041] 각각의 증착된 층을 측정하기 위해 프로세싱 툴 내의 OBM 유닛을 활용함으로써, 개별 층 두께, 표면 거칠기, 층 균일성, 광 반사 스펙트럼 및 다른 광학 특성들과 같은, 각각의 층의 다양한 특성들이 정밀하고 정확하게 제어될 수 있다. 더욱이, OBM 유닛이 프로세싱 툴 내에 배치되기 때문에, 다양한 층들의 특성들을 측정하기 위하여, 내부 밀폐 환경이 파괴되거나 또는 깨뜨려지지 않을 것이다. 따라서, 층들이 공기 또는 다른 주변 환경에 노출되지 않아서, 입자 문제들/오염 및 표면/인터페이스 열화들이 감소될 것이다. 추가적으로, 프로세싱 툴 내에 배치되어 있는 OBM 유닛은, 광학 스택을 형성하는 동안 실시간 피드백이 획득될 수 있게 하여서, 두께 정확성 및 균일성을 개선시키고 스루풋을 증가시킨다.
[0042] 일 실시예에서, 프로세싱 툴은, 제1 이송 챔버, 제1 이송 챔버에 커플링된 제2 이송 챔버, 제1 이송 챔버 또는 제2 이송 챔버에 커플링된 하나 이상의 프로세싱 챔버들, 그리고 제1 이송 챔버와 제2 이송 챔버 사이에 배치된 온-보드 계측 유닛을 포함한다. 제1 이송 챔버, 제2 이송 챔버 및 온-보드 계측 유닛은 밀폐 환경에 배치된다.
[0043] 온-보드 계측 유닛은 반사계를 포함할 수 있다. 온-보드 계측 유닛은 엘립소미터를 포함할 수 있다. 온-보드 계측 유닛은 광학 스택의 하나 이상의 층들의 하나 이상의 광학 특성들을 측정하도록 구성될 수 있다. 하나 이상의 광학 특성들은 두께, 광 반사 스펙트럼, 광 투과 스펙트럼, 광 흡수 스펙트럼, 굴절률, 구별 계수, 조성, 웨이퍼 보우 및 응력으로 구성된 그룹으로부터 선택될 수 있다. 제1 이송 챔버 또는 제2 이송 챔버에 커플링된 하나 이상의 프로세싱 챔버들 중 적어도 하나의 프로세싱 챔버는 물리 기상 증착 챔버일 수 있다. 제1 이송 챔버 또는 제2 이송 챔버에 커플링된 하나 이상의 프로세싱 챔버들 중 적어도 하나의 프로세싱 챔버는 화학 기상 증착 챔버일 수 있다.
[0044] 다른 실시예에서, 광학 스택을 형성하는 방법은, 제1 프로세싱 챔버에서 기판 상에 제1 층을 증착하는 단계, 온-보드 계측 유닛을 사용하여 제1 층의 하나 이상의 특성들을 측정하는 단계, 후속 층들에서의 보정을 위해 제1 층의 하나 이상의 에러들을 결정하는 단계, 및 제2 프로세싱 챔버에서 제1 층 상에 제2 층을 증착하는 단계를 포함한다. 방법은, 온-보드 계측 유닛을 사용하여 제2 층의 하나 이상의 특성들을 측정하는 단계를 더 포함한다. 제1 프로세싱 챔버, 제2 프로세싱 챔버 및 온-보드 계측 유닛은 밀폐 환경에 배치된다.
[0045] 온-보드 계측 유닛은 반사계 및 엘립소미터 중 하나 이상을 포함할 수 있다. 하나 이상의 광학 특성들은 두께, 광 반사 스펙트럼, 광 투과 스펙트럼, 광 흡수 스펙트럼, 굴절률, 구별 계수, 조성, 웨이퍼 보우 및 응력으로 구성된 그룹으로부터 선택될 수 있다. 제1 층의 재료는 제2 층의 재료와 상이한 굴절률을 가질 수 있다. 방법은, 제1 프로세싱 챔버 또는 제2 프로세싱 챔버에서 제2 층 상에 하나 이상의 추가 층들을 증착하는 단계를 더 포함할 수 있다. 추가 층이 증착될 때마다, 추가 층의 하나 이상의 특성들이 온-보드 계측 유닛을 사용하여 측정될 수 있고 추가 층의 하나 이상의 에러들이 후속 추가 층들에서의 보정을 위해 결정될 수 있다.
[0046] 또 다른 실시예에서, 프로세싱 툴은, 하나 이상의 전면 개방 통합 포드들에 커플링된 공장 인터페이스, 및 공장 인터페이스에 커플링된 제1 이송 챔버를 포함한다. 제1 이송 챔버는 로봇 아암들의 제1 세트를 포함한다. 프로세싱 툴은, 제1 이송 챔버에 커플링된 제2 이송 챔버를 더 포함한다. 제2 이송 챔버는 로봇 아암들의 제2 세트를 포함한다. 프로세싱 툴은, 제1 이송 챔버 또는 제2 이송 챔버에 커플링된 복수의 챔버들을 더 포함한다. 복수의 챔버들은 제1 복수의 프로세싱 챔버들 및 복수의 지지 챔버들을 포함한다. 프로세싱 툴은, 제1 이송 챔버와 제2 이송 챔버 사이에 배치된 온-보드 계측 유닛을 더 포함한다. 제1 이송 챔버, 제2 이송 챔버 및 온-보드 계측 유닛은 진공 환경에 배치된다.
[0047] 온-보드 계측 유닛은 반사계를 포함할 수 있다. 온-보드 계측 유닛은 엘립소미터를 포함할 수 있다. 온-보드 계측 유닛은 광학 스택의 하나 이상의 층들의 하나 이상의 광학 특성들을 측정하도록 구성될 수 있다. 하나 이상의 광학 특성들은 두께, 광 반사 스펙트럼, 광 투과 스펙트럼, 광 흡수 스펙트럼, 굴절률, 구별 계수, 조성, 웨이퍼 보우 및 응력으로 구성된 그룹으로부터 선택될 수 있다. 제1 복수의 프로세싱 챔버들 또는 제2 복수의 프로세싱 챔버들 중 적어도 하나의 프로세싱 챔버는 물리 기상 증착 챔버일 수 있다. 제1 복수의 프로세싱 챔버들 또는 제2 복수의 프로세싱 챔버들 중 적어도 하나의 프로세싱 챔버는 화학 기상 증착 챔버일 수 있다.
[0048] 전술된 내용이 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 기본적인 범위를 벗어나지 않고, 본 개시내용의 다른 그리고 추가적인 실시예들이 안출될 수 있으며, 본 개시내용의 범위는 다음의 청구항들에 의해 결정된다.

Claims (15)

  1. 프로세싱 툴로서,
    제1 이송 챔버;
    상기 제1 이송 챔버에 커플링된 제2 이송 챔버 ―하나 이상의 프로세싱 챔버들이 상기 제1 이송 챔버 또는 상기 제2 이송 챔버에 커플링됨―; 및
    상기 제1 이송 챔버와 상기 제2 이송 챔버 사이에 배치된 온-보드 계측 유닛(on-board metrology unit)
    을 포함하고,
    상기 제1 이송 챔버, 상기 제2 이송 챔버 및 상기 온-보드 계측 유닛은 밀폐(enclosed) 환경에 배치되는,
    프로세싱 툴.
  2. 제1 항에 있어서,
    상기 온-보드 계측 유닛은 반사계(reflectometer) 및 엘립소미터 중 하나 이상을 포함하는,
    프로세싱 툴.
  3. 제1 항에 있어서,
    상기 온-보드 계측 유닛은 광학 스택의 층들 중 하나 이상의 층들의 하나 이상의 광학 특성들을 측정하도록 구성되고, 상기 하나 이상의 광학 특성들은 두께, 광 반사 스펙트럼, 광 투과 스펙트럼, 광 흡수 스펙트럼, 굴절률, 구별 계수(distinction coefficient), 조성(composition), 웨이퍼 보우(bow) 및 응력으로 구성된 그룹으로부터 선택되는,
    프로세싱 툴.
  4. 제1 항에 있어서,
    상기 제1 이송 챔버 또는 상기 제2 이송 챔버에 커플링된 상기 하나 이상의 프로세싱 챔버들 중 적어도 하나의 프로세싱 챔버는 화학 기상 증착 챔버인,
    프로세싱 툴.
  5. 제1 항에 있어서,
    상기 제1 이송 챔버 또는 상기 제2 이송 챔버에 커플링된 상기 하나 이상의 프로세싱 챔버들 중 적어도 하나의 프로세싱 챔버는 물리 기상 증착 챔버인,
    프로세싱 툴.
  6. 광학 스택을 형성하는 방법으로서,
    제1 프로세싱 챔버에서 기판 상에 제1 층을 증착하는 단계;
    온-보드 계측 유닛을 사용하여 상기 제1 층의 하나 이상의 특성들을 측정하는 단계;
    후속 층들에서의 보정(correction)을 위해 상기 제1 층의 하나 이상의 에러들을 결정하는 단계;
    제2 프로세싱 챔버에서 상기 제1 층 상에 제2 층을 증착하는 단계; 및
    상기 온-보드 계측 유닛을 사용하여 상기 제2 층의 하나 이상의 특성들을 측정하는 단계
    를 포함하고,
    상기 제1 프로세싱 챔버, 상기 제2 프로세싱 챔버 및 상기 온-보드 계측 유닛은 밀폐 환경에 배치되는,
    광학 스택을 형성하는 방법.
  7. 제6 항에 있어서,
    상기 온-보드 계측 유닛은 반사계 및 엘립소미터 중 하나 이상을 포함하는,
    광학 스택을 형성하는 방법.
  8. 제6 항에 있어서,
    상기 하나 이상의 특성들은 두께, 광 반사 스펙트럼, 광 투과 스펙트럼, 광 흡수 스펙트럼, 굴절률, 구별 계수, 조성, 웨이퍼 보우 및 응력으로 구성된 그룹으로부터 선택되는,
    광학 스택을 형성하는 방법.
  9. 제6 항에 있어서,
    상기 제1 층의 재료는 상기 제2 층의 재료와는 상이한 굴절률을 갖는,
    광학 스택을 형성하는 방법.
  10. 제6 항에 있어서,
    상기 제1 프로세싱 챔버 또는 상기 제2 프로세싱 챔버에서 상기 제2 층 상에 하나 이상의 추가 층들을 증착하는 단계를 더 포함하고,
    추가 층이 증착될 때마다, 상기 추가 층의 하나 이상의 특성들이 상기 온-보드 계측 유닛을 사용하여 측정되고 상기 추가 층의 하나 이상의 에러들이 후속 추가 층들에서의 보정을 위해 결정되는,
    광학 스택을 형성하는 방법.
  11. 제6 항에 있어서,
    후속 층들에서의 보정을 위해 상기 제2 층의 하나 이상의 에러들을 결정하는 단계;
    제3 프로세싱 챔버에서 상기 제2 층 상에 제3 층을 증착하는 단계; 및
    상기 온-보드 계측 유닛을 사용하여 상기 제3 층의 하나 이상의 특성들을 측정하는 단계
    를 더 포함하는,
    광학 스택을 형성하는 방법.
  12. 프로세싱 툴로서,
    하나 이상의 전면 개방 통합 포드(front opening unified pod)들에 커플링된 공장 인터페이스;
    상기 공장 인터페이스에 커플링된 제1 이송 챔버 ―상기 제1 이송 챔버는 로봇 아암(robotic arm)들의 제1 세트를 포함함―;
    상기 제1 이송 챔버에 커플링된 제2 이송 챔버 ―상기 제2 이송 챔버는 로봇 아암들의 제2 세트를 포함함―;
    상기 제1 이송 챔버 또는 상기 제2 이송 챔버에 커플링된 복수의 챔버들 ―상기 복수의 챔버들은 제1 복수의 프로세싱 챔버들 및 복수의 지지 챔버들을 포함함―; 및
    상기 제1 이송 챔버와 상기 제2 이송 챔버 사이에 배치된 온-보드 계측 유닛
    을 포함하고,
    상기 제1 이송 챔버, 상기 제2 이송 챔버 및 상기 온-보드 계측 유닛은 진공 환경에 배치되는,
    프로세싱 툴.
  13. 제12 항에 있어서,
    상기 온-보드 계측 유닛은 반사계 및 엘립소미터 중 하나 이상을 포함하는,
    프로세싱 툴.
  14. 제12 항에 있어서,
    상기 온-보드 계측 유닛은 광학 스택의 층들 중 하나 이상의 층들의 하나 이상의 광학 특성들을 측정하도록 구성되고, 상기 하나 이상의 광학 특성들은 두께, 광 반사 스펙트럼, 광 투과 스펙트럼, 광 흡수 스펙트럼, 굴절률, 구별 계수, 조성, 웨이퍼 보우 및 응력으로 구성된 그룹으로부터 선택되는,
    프로세싱 툴.
  15. 제12 항에 있어서,
    상기 제1 복수의 프로세싱 챔버들 또는 제2 복수의 프로세싱 챔버들 중 적어도 하나의 프로세싱 챔버는 물리 기상 증착 챔버이거나, 또는
    상기 제1 복수의 프로세싱 챔버들 또는 상기 제2 복수의 프로세싱 챔버들 중 적어도 하나의 프로세싱 챔버는 화학 기상 증착 챔버인,
    프로세싱 툴.
KR1020217025180A 2019-01-16 2019-10-30 광학 스택 증착 및 온-보드 계측 KR20210100760A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/249,653 US10886155B2 (en) 2019-01-16 2019-01-16 Optical stack deposition and on-board metrology
US16/249,653 2019-01-16
PCT/US2019/058712 WO2020149916A1 (en) 2019-01-16 2019-10-30 Optical stack deposition and on-board metrology

Publications (1)

Publication Number Publication Date
KR20210100760A true KR20210100760A (ko) 2021-08-17

Family

ID=71516401

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217025180A KR20210100760A (ko) 2019-01-16 2019-10-30 광학 스택 증착 및 온-보드 계측

Country Status (6)

Country Link
US (1) US10886155B2 (ko)
EP (1) EP3912186A4 (ko)
JP (2) JP7447126B2 (ko)
KR (1) KR20210100760A (ko)
CN (1) CN113330544A (ko)
WO (1) WO2020149916A1 (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220129599A (ko) * 2020-01-22 2022-09-23 어플라이드 머티어리얼스, 인코포레이티드 Oled 층 두께 및 도펀트 농도의 인-라인 모니터링
JP7433449B2 (ja) 2020-01-22 2024-02-19 アプライド マテリアルズ インコーポレイテッド Oled層の厚さ及びドーパント濃度のインライン監視
US11688616B2 (en) 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
CN112458440B (zh) 2020-11-18 2022-11-25 北京北方华创微电子装备有限公司 半导体工艺设备及其反应腔室和膜层沉积方法
US20220165593A1 (en) * 2020-11-24 2022-05-26 Applied Materials, Inc. Feedforward control of multi-layer stacks during device fabrication
US20220310425A1 (en) * 2021-03-29 2022-09-29 Applied Materials, Inc. Spatial pattern loading measurement with imaging metrology

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
DE10134756A1 (de) 2001-07-17 2003-04-03 Advanced Micro Devices Inc Ein System und Verfahren zur gesteuerten Strukturierung auf Waferbasis von Strukturelementen mit kritischen Dimensionen
IL144806A (en) * 2001-08-08 2005-11-20 Nova Measuring Instr Ltd Method and apparatus for process control in semiconductor manufacturing
US6642066B1 (en) 2002-05-15 2003-11-04 Advanced Micro Devices, Inc. Integrated process for depositing layer of high-K dielectric with in-situ control of K value and thickness of high-K dielectric layer
US20040007325A1 (en) 2002-06-11 2004-01-15 Applied Materials, Inc. Integrated equipment set for forming a low K dielectric interconnect on a substrate
US6699380B1 (en) * 2002-10-18 2004-03-02 Applied Materials Inc. Modular electrochemical processing system
US6829056B1 (en) 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
JP4476073B2 (ja) * 2004-04-08 2010-06-09 東北パイオニア株式会社 有機el素子の製造方法及び製造装置
US20070134821A1 (en) * 2004-11-22 2007-06-14 Randhir Thakur Cluster tool for advanced front-end processing
US20070196011A1 (en) * 2004-11-22 2007-08-23 Cox Damon K Integrated vacuum metrology for cluster tool
US9099506B2 (en) * 2005-03-30 2015-08-04 Brooks Automation, Inc. Transfer chamber between workstations
US20070012337A1 (en) * 2005-07-15 2007-01-18 Tokyo Electron Limited In-line metrology for supercritical fluid processing
US7566900B2 (en) * 2005-08-31 2009-07-28 Applied Materials, Inc. Integrated metrology tools for monitoring and controlling large area substrate processing chambers
JP4511488B2 (ja) * 2006-03-31 2010-07-28 株式会社堀場製作所 有機el素子の製造装置
US7695232B2 (en) * 2006-06-15 2010-04-13 Applied Materials, Inc. Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same
US20080233269A1 (en) * 2007-03-20 2008-09-25 Tokyo Electron Limited Apparatus and methods for applying a layer of a spin-on material on a series of substrates
US10163667B2 (en) * 2007-03-22 2018-12-25 Brooks Automation, Inc. Linear wafer drive for handling wafers during semiconductor fabrication
KR20100106608A (ko) * 2008-01-31 2010-10-01 어플라이드 머티어리얼스, 인코포레이티드 폐쇄 회로 mocvd 증착 제어
JP5107285B2 (ja) 2009-03-04 2012-12-26 東京エレクトロン株式会社 成膜装置、成膜方法、プログラム、およびコンピュータ可読記憶媒体
WO2012051121A2 (en) * 2010-10-15 2012-04-19 Applied Materials, Inc. Building a library of spectra for optical monitoring
US8547538B2 (en) * 2011-04-21 2013-10-01 Applied Materials, Inc. Construction of reference spectra with variations in environmental effects
US8747189B2 (en) * 2011-04-26 2014-06-10 Applied Materials, Inc. Method of controlling polishing
US20120278028A1 (en) * 2011-04-28 2012-11-01 Jeffrey Drue David Generating model based spectra library for polishing
US8942842B2 (en) * 2011-04-28 2015-01-27 Applied Materials, Inc. Varying optical coefficients to generate spectra for polishing control
US8980651B2 (en) * 2011-09-30 2015-03-17 Tokyo Electron Limited Overlay measurement for a double patterning
US9431267B2 (en) * 2012-12-03 2016-08-30 Applied Materials, Inc. Semiconductor device processing tools and methods for patterning substrates
US20140242880A1 (en) * 2013-02-26 2014-08-28 Applied Materials, Inc. Optical model with polarization direction effects for comparison to measured spectrum
US20140242881A1 (en) * 2013-02-27 2014-08-28 Applied Materials, Inc. Feed forward parameter values for use in theoretically generating spectra
US8808059B1 (en) * 2013-02-27 2014-08-19 Applied Materials, Inc. Spectraphic monitoring based on pre-screening of theoretical library
JP2017507338A (ja) * 2014-01-21 2017-03-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 任意の基板上での膜厚測定
US11378426B2 (en) * 2014-06-20 2022-07-05 Applied Materials, Inc. System and method for monitoring sensor linearity as part of a production process
US9673071B2 (en) 2014-10-23 2017-06-06 Lam Research Corporation Buffer station for thermal control of semiconductor substrates transferred therethrough and method of transferring semiconductor substrates
US9870935B2 (en) 2014-12-19 2018-01-16 Applied Materials, Inc. Monitoring system for deposition and method of operation thereof
TWI677046B (zh) * 2015-04-23 2019-11-11 美商應用材料股份有限公司 半導體處理系統中的外部基板材旋轉
CN108292589B (zh) 2015-11-23 2023-05-16 应用材料公司 在处理工具中的板载计量(obm)设计与影响
US10438825B2 (en) 2016-08-29 2019-10-08 Kla-Tencor Corporation Spectral reflectometry for in-situ process monitoring and control
WO2019182913A1 (en) * 2018-03-20 2019-09-26 Tokyo Electron Limited Self-aware and correcting heterogenous platform incorporating integrated semiconductor processing modules and method for using same

Also Published As

Publication number Publication date
JP2024075581A (ja) 2024-06-04
EP3912186A4 (en) 2022-09-21
JP2022517361A (ja) 2022-03-08
JP7447126B2 (ja) 2024-03-11
US20200227294A1 (en) 2020-07-16
WO2020149916A1 (en) 2020-07-23
EP3912186A1 (en) 2021-11-24
TW202030470A (zh) 2020-08-16
CN113330544A (zh) 2021-08-31
US10886155B2 (en) 2021-01-05

Similar Documents

Publication Publication Date Title
US10886155B2 (en) Optical stack deposition and on-board metrology
US5562800A (en) Wafer transport method
KR101433391B1 (ko) 기판의 처리방법, 컴퓨터 기억매체 및 기판처리 시스템
US6845292B2 (en) Transfer apparatus and method for semiconductor process and semiconductor processing system
US20080044595A1 (en) Method for semiconductor processing
US10503152B2 (en) Method of manufacturing semiconductor device
US10896821B2 (en) Asymmetric wafer bow compensation by physical vapor deposition
KR102248257B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR101393185B1 (ko) 패턴 형성 방법 및 반도체 장치의 제조 방법
KR101715440B1 (ko) 기판 처리 시스템 및 기판의 반송 제어 방법
KR101367238B1 (ko) 반도체 장치의 제조 방법 및 반도체 장치의 제조 시스템
WO2012093683A1 (ja) 低分子化合物の分子レジストの蒸着装置
US9633841B2 (en) Methods for depositing amorphous silicon
US20220415649A1 (en) Method and chamber for backside physical vapor deposition
US20190393105A1 (en) Protective coating on photoresist for photoresist metrology
WO2021236213A1 (en) Backside depositon tuning of stress to control wafer bow in semiconductor processing
US20200340094A1 (en) Substrate processing apparatus and substrate processing method
TWI844584B (zh) 光學堆疊沉積與機載量測法
KR20220086598A (ko) 반도체 제조 장비에 기판들을 배치하는 시스템들 및 방법들
US20060110836A1 (en) Eliminating systematic process yield loss via precision wafer placement alignment
JP2016001645A (ja) 基板処理方法、プログラム、コンピュータ記憶媒体、塗布処理装置及び基板処理システム
WO2021024911A1 (ja) 太陽電池の製膜方法および太陽電池の製膜システム
KR20120070788A (ko) 기판 이송 장치 및 그 동작 방법
JP2024007277A (ja) 成膜位置ズレ補正方法および成膜システム
Sasaki et al. CD performance of CA-resits with dynamically controlled multi-zone bake system

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E601 Decision to refuse application