CN113330544A - 光学堆叠沉积与机载计量 - Google Patents

光学堆叠沉积与机载计量 Download PDF

Info

Publication number
CN113330544A
CN113330544A CN201980088226.2A CN201980088226A CN113330544A CN 113330544 A CN113330544 A CN 113330544A CN 201980088226 A CN201980088226 A CN 201980088226A CN 113330544 A CN113330544 A CN 113330544A
Authority
CN
China
Prior art keywords
transfer chamber
layer
chamber
optical
chambers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980088226.2A
Other languages
English (en)
Inventor
朱明伟
杨子浩
奈格·B·帕蒂班德拉
丹尼尔·迪尔
曹勇
曾为民
郑仁婧
爱德华·布迪亚图
S·K·古鲁萨米
托德·伊根
尼兰詹·R·哈斯基瓦莱
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN113330544A publication Critical patent/CN113330544A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0625Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating with measurement of absorption or reflection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/21Polarisation-affecting properties
    • G01N21/211Ellipsometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/20Pc systems
    • G05B2219/26Pc applications
    • G05B2219/2602Wafer processing

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Automation & Control Theory (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

提供了一种用于形成具有均匀且精确的层的光学堆叠的方法和设备。用于形成光学堆叠的处理工具在封闭环境中包括第一移送腔室、机载计量单元和第二移送腔室。第一多个处理腔室耦接至第一移送腔室或第二移送腔室。机载计量单元设置在第一移送腔室和第二移送腔室之间。机载计量单元被配置为测量光学堆叠的各个层的一种或多种光学性质,而不将各层暴露于周围环境。

Description

光学堆叠沉积与机载计量
技术领域
本揭示内容的实施方式大体涉及在处理工具中沉积和测量光学堆叠层。
背景技术
处理工具可包括各种沉积腔室,沉积腔室用于在基板上沉积诸如介电层或膜之类的材料以形成光学堆叠。当形成光学堆叠时,通常尽可能均匀且准确地沉积膜。为了确定膜是否已经被均匀且准确地沉积,将堆叠从沉积腔室中移出以使用外部计量进行测量。然而,利用外部计量组件会使膜暴露于空气,导致膜的性质不当地改变。膜性质的改变可能导致各种错误或缺陷,例如导致膜的表面或界面变质或不均匀。此外,从处理工具环境中移走堆叠以测量膜可能相当耗时,这减少了生产时间并降低了产量。
因此,需要一种用于在处理工具内整合可靠、准确和精确的计量的设备和程序,以形成具有均匀层的光学堆叠,并使光学堆叠层内的错误或缺陷最小化。
发明内容
提供了一种用于形成具有均匀且精确的层的光学堆叠的方法和设备。用于形成所述光学堆叠的处理工具在封闭环境中包括第一移送腔室、机载计量单元和第二移送腔室。第一多个处理腔室耦接至第一移送腔室或第二移送腔室。机载计量单元设置在第一移送腔室和第二移送腔室之间。机载计量单元被配置为测量光学堆叠的各个层的一种或多种光学性质,而不将各层暴露于周围环境。
在一个实施方式中,一种处理工具包括:第一移送腔室、耦接至第一移送腔室的第二移送腔室、耦接至第一移送腔室或第二移送腔室的一个或多个处理腔室、以及设置在第一移送腔室和第二移送腔室之间的机载计量单元。第一移送腔室、第二移送腔室和机载计量单元被设置在封闭环境中。
在另一实施方式中,一种形成光学堆叠的方法包括:在第一处理腔室中在基板上沉积第一层;使用机载计量单元测量第一层的一种或多种性质;确定第一层的一个或多个错误,以在随后的层中进行校正;以及在第二处理腔室中在第一层上沉积第二层。所述方法还包括使用机载计量单元测量第二层的一种或多种性质。第一处理腔室、第二处理腔室和机载计量单元被设置在封闭环境中。
在又一个实施方式中,一种处理工具包括工厂界面以及第一移送腔室,工厂界面耦接到一个或多个前开式晶片传送盒,第一移送腔室耦接到工厂界面。第一移送腔室包括第一组机械臂。处理工具还包括耦接至第一移送腔室的第二移送腔室。第二移送腔室包括第二组机械臂。处理工具还包括耦接至第一移送腔室或第二移送腔室的多个腔室。多个腔室包括第一多个处理腔室和多个支持腔室。处理工具还包括设置在第一移送腔室和第二移送腔室之间的机载计量单元。第一移送腔室、第二移送腔室和机载计量单元被设置在真空环境中。
附图说明
可通过参考实施方式获得以上简要概述的本揭露内容的更特定的描述,以便详细地了解本揭露内容的上述特征,附图图示了其中一些实施方式。然而应注意到,附图仅说明示例性实施方式,且因此不应被视为限制实施方式的范围,并可允许其他等效的实施方式。
图1示出了根据一个实施方式的用于形成光学堆叠的处理工具。
图2示出了根据一个实施方式的利用机载计量单元形成光学堆叠的方法。
图3A-3B示出了根据一个实施方式的利用外部计量形成的光学堆叠的各种视图。
图4A至图4B示出了根据另一实施方式的利用机载计量单元形成的光学堆叠的各种视图。
为了协助了解,已尽可能使用相同的附图标记标定图中共有的相同元件。已思及到,一个实施方式的元件与特征可被有益地并入其他实施方式中而无须赘述。
具体实施方式
提供了一种用于形成具有均匀且精确的层的光学堆叠的方法和设备。用于形成该光学堆叠的处理工具在封闭环境中包括第一移送腔室、机载计量单元和第二移送腔室。第一多个处理腔室耦接至第一移送腔室或第二移送腔室。机载计量单元设置在第一移送腔室和第二移送腔室之间。机载计量单元被配置为测量光学堆叠的各个层的一种或多种光学性质,而不将各层暴露于周围环境。
图1示出了根据本揭示内容的实施方式的用于形成光学堆叠的示例性处理工具100的俯视图。
处理工具100通常包括工厂界面102,工厂界面102适于从前开式晶片传送盒(“FOUP”)122接收要处理的一个或多个基板匣(基板例如是直径为300mm的晶片)。FOUP 122具有一个或多个基板载体,基板载体被配置为临时且便携地储存基板。工厂界面102可包括未示出的各种部件,诸如大气机器人、一个或多个装载端口、保持站、锁定装载腔室和/或批量固化腔室等等。工厂界面102在工厂的周围环境和包括多个处理腔室108A-108G的处理工具100的内部封闭环境之间提供过渡。处理工具100的内部封闭环境可以是真空环境或加压环境。处理工具100的内部封闭环境与包括空气的周围环境隔离。
工厂界面102耦接到一个或多个缓冲器104,缓冲器104被配置为当基板被传送到工厂界面102及从工厂界面102传送时支撑已处理和未处理的基板。一旦将一个或多个未处理的基板放置在缓冲器104上,就将第一组机械臂112配置为将基板传输到第一移送腔室106。第一组机械臂112可包括一个或多个臂。第一移送腔室106可耦接到一个或多个处理腔室108A-108B。第一移送腔室106进一步耦接到一个或多个支持腔室124。一个或多个支持腔室124可包括除气腔室、储存腔室、缓冲腔室、加热和/或冷却腔室等。第一组机械臂112被配置为将基板在第一移送腔室106以及一个或多个处理腔室108A-108B和支持腔室124之间来回传送。
第一组机械臂112还被配置为将基板从第一移送腔室106传送到安装在第一冷却腔室120顶部或下方的机载计量(OBM)单元110。第二冷却腔室114邻近于第一冷却腔室120设置,第一冷却腔室120设置在OBM单元110上方或下方。OBM单元110、第一冷却腔室120和第二冷却腔室114设置在第一移送腔室106和第二移送腔室116之间。OBM 110、第一冷却腔室120和第二冷却腔室114可以设置在将第一移送腔室106连接到第二移送腔室116的贯通腔室(pass through chamber)中。第一移送腔室106、第二移送腔室116、第一冷却腔室120和第二冷却腔室114是均一主体。在一个实施方式中,OBM单元110设置在与第一或第二移送腔室106、116耦接的单独腔室中,诸如替换支持腔室124或处理腔室108C。
第二移送腔室116包括第二组机械臂118。第二组机械臂118可以包括一个或多个臂。第二组机械臂118构造成将基板在第一冷却腔室120和/或第二冷却腔室114以及第二移送腔室116之间来回传送。第二组机械臂118还被配置为将基板在第二移送腔室116以及耦接至第二移送腔室116的一个或多个处理腔室108C-108G之间来回传送。一个或多个处理腔室108A-10G中的一个或多个处理腔室可以仅耦接至第一移送腔室106或第二移送腔室116中的一个移送腔室。
处理腔室108A-108G被配置为在基板上沉积诸如介电膜的层,以形成光学堆叠。处理腔室108A-108G可以沉积具有高光学透明性的光学膜以形成光学堆叠。例如,所述膜可以包括TiO2、Ta2O5、Nb2O5、非晶或多晶硅、SiOxNy、AlOxNy、ITO等。每个膜可具有约5nm至500nm之间的厚度。
处理腔室108A-108G可以是任何类型的处理腔室,例如化学气相沉积(CVD)腔室、原子层沉积(ALD)腔室、物理气相沉积(PVD)腔室、离子金属注入(IMP)腔室、等离子体蚀刻腔室、退火腔室、其他炉腔室等等。在一种实施方式中,处理腔室108A-108G被配置用于在基板上沉积、退火、固化和/或蚀刻可流动的介电膜。在一种配置中,处理腔室108A-108G中的一个或多个处理腔室可以用于将可流动的介电材料沉积在基板上。如果需要,这些处理腔室108A-108G中的任何处理腔室,或者一个或多个附加处理腔室,可与第一和第二移送腔室106、116耦接,并布置成在应用时执行其他常规的半导体器件制造处理,诸如氧化、膜沉积、蚀刻、加热、除气、灰化、离子注入、计量等等。
在将膜或层沉积在光学堆叠上之后,第一组机械臂112和第二组机械臂118被配置为将堆叠放置在第一冷却腔室120上的OBM单元110下方或上方,以测量所沉积物的一种或多种性质。OBM 110被配置为通过将光照射到沉积层上,并收集从沉积层返回的反射光,来测量沉积层的一种或多种性质。OBM110可以被封闭在内部封闭的环境中,使得可以在不破坏或损坏所述环境的情况下测量沉积层的性质。
在一个实施方式中,OBM单元110包括反射仪和椭偏仪中的至少一者。OBM单元110可以包括多个光学探针。OBM单元110被配置为测量每个沉积层的光学反射光谱。OBM单元110还被配置为在处理基板之前和/或之后,测量各种膜性质,诸如膜厚度、光学反射光谱、光学透射光谱、光学吸收光谱、折射率、区别系数(distinction coefficient)、成分、晶片弯曲度和应力。响应于OBM单元110的结果,可以调整设置在处理工具100上的各种处理和硬体工程旋钮(未示出)以精确地控制每个单独层的均匀性、密度、平滑度、光学透明性、应力和颗粒计数。
OBM单元110还被配置为提供实时光学响应反馈,从而允许随后的层补偿在所测量的层中检测到的任何错误。来自OBM单元110的结果可以进一步用于开发用于前馈厚度校正的演算法,以确保最佳的光谱性能。例如,如果确定被测层具有不均匀的厚度或其他厚度错误,则随后添加的层可以考虑被测层的不均匀厚度并补偿这种错误。因此,随后添加的层可以校正先前添加的层中的厚度错误。
通过利用OBM单元110来测量每个沉积层,可以精确且准确地控制每个层的各种性质,诸如各个层的厚度、表面粗糙度、层均匀性、光学反射光谱和其他光学性质。此外,由于OBM单元110与处理工具100整合在一起,因此不会为了测量各个层的性质而损坏或破坏内部的封闭环境。因此,这些层将不会暴露于空气或其他周围环境,从而减少了颗粒问题/污染和表面/界面的劣化。另外,设置在处理工具100内的OBM单元110允许在形成光学堆叠的同时获得实时反馈,从而提高厚度精度和均匀性,并增加产量。
图2示出了根据一个实施方式的形成具有均匀层的光学堆叠的方法200。方法200可以与图1的处理工具100一起使用。
在操作202中,将基板放置在处理工具的处理腔室中。处理腔室可以是任何类型的处理腔室,例如CVD腔室、ALD腔室、PVD腔室、IMP腔室、等离子体蚀刻腔室、退火腔室、另一炉腔室等等。
在操作204中,一个或多个层沉积在基板上以形成光学堆叠。一个或多个层可以包括具有高光学透明性的材料,诸如TiO2、Ta2O5、Nb2O5、非晶或多晶硅、SiOxNy、AlOxNy、ITO等。一个或多个层的每一层可具有约5-500nm的厚度。
在操作206中,将堆叠从处理腔室中移出并运送到设置在处理工具内的贯通腔室中的OBM单元。因为OBM单元设置于处理工具内,所以在处理腔室和OBM单元之间移送堆叠时,不会损坏或破坏内部封闭环境。因此,堆叠的各层将不会暴露于空气或其他周围环境,从而减少了颗粒问题/污染和表面/界面的劣化。
在操作208中,使用OBM单元测量堆叠的一个或多个层的一种或多种性质。OBM单元被配置为通过将光照射到一个或多个层上并收集从一个或多个层返回的反射光,来测量一个或多个层的一种或多种性质。所测量的一种或多种性质可包括厚度、光学反射光谱、光学透射光谱、光学吸收光谱、折射率、区别系数、成分、晶片弯曲度和应力。
在操作210中,基于所测量的性质来确定所测量的一个或多个层的任何错误,以用于后续层的校正。实时确定错误,从而允许后续层补偿在一个或多个所测量层中检测到的任何错误。所述错误可用于开发用于前馈厚度校正的演算法,以确保最佳的光谱性能。
在操作212中,将堆叠从OBM单元移出并且放置在处理工具中的另一个处理腔室中。该处理腔室可以是在操作202中使用的同一腔室,或者该处理腔室可以是处理工具内的不同腔室。处理腔室可以是任何类型的处理腔室,例如CVD腔室、ALD腔室、PVD腔室、IMP腔室、等离子体蚀刻腔室、退火腔室、另一炉腔室等等。
在操作214中,将一个或多个层沉积在堆叠的所测量的一个或多个层上,以补偿在操作210中确定的错误(如果有的话)。在一个实施方式中,所沉积的一个或多个层的材料具有与所测量的一个或多个层的材料不同的折射率。一个或多个层可以包括具有高光学透明性的材料,诸如TiO2、Ta2O5、Nb2O5、非晶或多晶硅、SiOxNy、AlOxNy、ITO等。一个或多个层的每一层可具有约5-500nm的厚度。
在操作216中,将操作206-214重复一次或多次以测量并添加层,直到完全形成光学堆叠为止。每次将一个或多个层添加到堆叠中后,将堆叠放置在OBM单元中以测量所添加层的一种或多种性质。然后,基于所测量的性质来确定所测量层的任何错误,以在后续层中进行校正。随后将堆叠放置在另一个沉积腔室(或先前的沉积腔室)中,然后将一个或多个层再次添加到所测量的层上,该一个或多个层补偿任何先前确定的错误。因此,处理工具连续地测量堆叠层的性质,确定这些层的任何错误,并且向堆叠添加额外的层,额外的层补偿任何错误。当重复操作206-214时,操作206-210是可选的并且可以被跳过。这样,可以将附加层添加到堆叠中,而不必每次都由OBM单元进行测量。一旦完全形成光学堆叠,则方法200结束,并且将光学堆叠从处理工具移除。
图3A-3B示出了根据一个实施方式的利用外部计量组件形成的光学堆叠300的各种视图。为了形成图3A-3B的光学堆叠300,将第一层304沉积在基板302上,并且将堆叠300从处理工具中移除以使用外部计量组件测量第一层304的性质。在测量第一层304之后,堆叠300重新进入处理工具的内部环境,并且将第二层306沉积在第一层304上。然后将堆叠300从处理工具移除,以使用外部计量组件来测量第二层306的性质。在测量第二层306之后,堆叠300重新进入处理工具的内部环境,并且将第三层308沉积在第二层306上。然后将堆叠300从处理工具移除,以使用外部计量组件来测量第三层308的性质。每次测量层304、306、308之一时,内部环境就被损坏或破坏,并且层304、306、308暴露于空气或其他周围环境。
图3A示出了使用外部计量形成的光学堆叠300的无放大视图。第一层304、第二层306和第三层308可以全部包括不同的材料,或者第二层306可以包括与第一层304和第三层308不同的材料。如图3A所示,每个层304、306、308之间的界面310看起来是直的,表明层304、306、308是均匀沉积的。
图3B示出了图3A中标记为3B的框内的堆叠300的一部分的放大或扩大视图。堆叠300的放大图示出了第一层304的一部分、第三层308的一部分和第二层306。如图3B所示,层304、306、308之间的界面310是不平整的并且不是直的,这表明层304、306、308实际上没有被均匀地沉积或具有粗糙的表面。界面310的不均匀性和粗糙度可能是当使用外部计量组件进行测量时暴露于空气或其他周围环境的结果。将堆叠300的层304、306、308暴露于空气,可导致层304、306、308或界面310被污染或劣化,这继而导致界面310变得不平整或不均一。
图4A-4B示出了根据一个实施方式的利用OBM单元形成的光学堆叠400的各种视图。具有OBM单元110的处理工具100可以用于形成堆叠400。为了形成图4A-4B的光学堆叠400,在基板402上沉积第一层404,并且将堆叠400移动到处理工具内的OBM单元以测量第一层404的性质。在测量第一层404之后,确定第一层404的任何错误,并且第二层406被沉积在第一层404上,第二层406补偿所确定的错误。然后将堆叠400移动到处理工具内的OBM单元,以测量第二层406的性质。在测量第二层406之后,确定第二层406的任何错误,并且第三层408被沉积在第一层404上,第三层408补偿所确定的错误。然后将堆叠400移动到处理工具内的OBM单元,以测量第三层408的性质。在测量第三层408之后,确定第三层408的任何错误。每次测量层404、406、408之一时,堆叠400保持在处理工具的内部封闭环境内,并且堆叠400不暴露于空气。
图4A示出了利用OBM单元形成的光学堆叠400的无放大图。第一层404、第二层406和第三层408可以全部包括不同的材料,或者第二层406可以包括与第一层404和第三层408不同的材料。如图4A所示,每个层404、406、408之间的界面410看起来是直的,这表明层404、406、408被均匀地沉积并且具有光滑的平坦表面。
图4B示出了图4A中标记为4B的框内的堆叠400的一部分的放大或扩大视图。图4B的放大水平与图3B相同。堆叠400的放大图示出了第一层404的一部分、第三层408的一部分和第二层406。如图4B所示,层404、406、408之间的界面410是直的,几乎没有不平整,表明层404、406、408被均匀地沉积。利用在处理工具内的OBM单元防止堆叠400暴露于空气或其他周围环境,这继而防止可能导致层404、406、408和/或界面410不平整的劣化或污染。
通过利用处理工具内的OBM单元来测量每个沉积层,可以精确且准确地控制每个层的各种性质,诸如各个层的厚度、表面粗糙度、层均匀性、光学反射光谱和其他光学性质。此外,由于OBM单元设置在处理工具内,因此不会为了测量各个层的性质而损坏或破坏内部的封闭环境。因此,这些层将不会暴露于空气或其他周围环境,从而减少了颗粒问题/污染和表面/界面的劣化。另外,将OBM单元设置在处理工具内允许在形成光学堆叠的同时,获得实时反馈,从而提高厚度精度和均匀性,并增加产量。
在一个实施方式中,一种处理工具包括:第一移送腔室、耦接至第一移送腔室的第二移送腔室、耦接至第一移送腔室或第二移送腔室的一个或多个处理腔室、以及设置在第一移送腔室和第二移送腔室之间的机载计量单元。第一移送腔室、第二移送腔室和机载计量单元被设置在封闭环境中。
机载计量单元可以包括反射仪。机载计量单元可以包括椭偏仪。机载计量单元可以被配置为测量光学堆叠的一个或多个层的一种或多种光学性质。所述一种或多种光学性质可选自由以下所组成的群组:厚度、光学反射光谱、光学透射光谱、光学吸收光谱、折射率、区别系数、成分、晶片弯曲度和应力。耦接到第一移送腔室或第二移送腔室的一个或多个处理腔室中的至少一个处理腔室可以是物理气相沉积腔室。耦接到第一移送腔室或第二移送腔室的一个或多个处理腔室中的至少一个处理腔室可以是化学气相沉积腔室。
在另一实施方式中,一种形成光学堆叠的方法包括:在第一处理腔室中的基板上沉积第一层;使用机载计量单元测量第一层的一种或多种性质;确定第一层的一个或多个错误,以在随后的层中进行校正;以及在第二处理腔室中在第一层上沉积第二层。该方法还包括使用机载计量单元测量第二层的一种或多种性质。第一处理腔室、第二处理腔室和机载计量单元被设置在封闭环境中。
机载计量单元可以包括反射仪和椭偏仪中的一个或多个。一种或多种光学性质可选自由以下所组成的群组:厚度、光学反射光谱、光学透射光谱、光学吸收光谱、折射率、区别系数、成分、晶片弯曲度和应力。第一层的材料可以具有与第二层的材料不同的折射率。该方法可以进一步包括在第一处理腔室或第二处理腔室中在第二层上沉积一个或多个附加层。每次沉积附加层后,可以使用机载计量单元测量此附加层的一种或多种性质,并且可以确定此附加层的一个或多个错误,以在随后的附加层中进行校正。
在又一个实施方式中,一种处理工具包括工厂界面以及第一移送腔室,工厂界面耦接到一个或多个前开式晶片传送盒,第一移送腔室耦接到工厂界面。第一移送腔室包括第一组机械臂。处理工具还包括耦接至第一移送腔室的第二移送腔室。第二移送腔室包括第二组机械臂。处理工具还包括耦接至第一移送腔室或第二移送腔室的多个腔室。多个腔室包括第一多个处理腔室和多个支持腔室。处理工具还包括设置在第一移送腔室和第二移送腔室之间的机载计量单元。第一移送腔室、第二移送腔室和机载计量单元被设置在真空环境中。
机载计量单元可以包括反射仪。机载计量单元可以包括椭偏仪。机载计量单元可以被配置为测量光学堆叠的一个或多个层的一种或多种光学性质。一种或多种光学性质可选自由以下所组成的群组:厚度、光学反射光谱、光学透射光谱、光学吸收光谱、折射率、区别系数、成分、晶片弯曲度和应力。第一多个处理腔室或第二多个处理腔室中的至少一个处理腔室可以是物理气相沉积腔室。第一多个处理腔室或第二多个处理腔室中的至少一个处理腔室可以是化学气相沉积腔室。
虽然前述内容关于本揭示内容的实施方式,但可在不脱离本揭示内容的基本范围的情况下设计本揭示内容的其他与进一步的实施方式,且本揭示内容的范围由随附权利要求书确定。

Claims (15)

1.一种处理工具,包括:
第一移送腔室;
第二移送腔室,所述第二移送腔室耦接至所述第一移送腔室,其中一个或多个处理腔室耦接至所述第一移送腔室或所述第二移送腔室;和
机载计量单元,所述机载计量单元设置在所述第一移送腔室与所述第二移送腔室之间,其中所述第一移送腔室、所述第二移送腔室和所述机载计量单元被设置在封闭环境中。
2.如权利要求1所述的处理工具,其中所述机载计量单元包含反射仪和椭偏仪中的一个或多个。
3.如权利要求1所述的处理工具,其中所述机载计量单元被配置为测量光学堆叠的一个或多个层的一种或多种光学性质,且其中所述一种或多种光学性质选自由以下所组成的群组:厚度、光学反射光谱、光学透射光谱、光学吸收光谱、折射率、区别系数、成分、晶片弯曲度和应力。
4.如权利要求1所述的处理工具,其中耦接到所述第一移送腔室或所述第二移送腔室的所述一个或多个处理腔室中的至少一个处理腔室是化学气相沉积腔室。
5.如权利要求1所述的处理工具,其中耦接到所述第一移送腔室或所述第二移送腔室的所述第一一个或多个处理腔室中的至少一个处理腔室是物理气相沉积腔室。
6.一种形成光学堆叠的方法,包含:
在第一处理腔室中在基板上沉积第一层;
使用机载计量单元测量所述第一层的一种或多种性质;
确定所述第一层的一个或多个错误以在随后的层中进行校正;
在第二处理腔室中在所述第一层上沉积第二层;和
使用所述机载计量单元测量所述第二层的一种或多种性质,其中所述第一处理腔室、所述第二处理腔室和所述机载计量单元被设置于封闭环境中。
7.如权利要求6所述的方法,其中所述机载计量单元包括反射仪和椭偏仪中的一个或多个。
8.如权利要求6所述的方法,其中所述一种或多种性质选自由以下所组成的群组:厚度、光学反射光谱、光学透射光谱、光学吸收光谱、折射率、区别系数、成分、晶片弯曲度和应力。
9.如权利要求6所述的方法,其中所述第一层的材料具有与所述第二层的材料不同的折射率。
10.如权利要求6所述的方法,所述方法进一步包含:
在所述第一处理腔室或所述第二处理腔室中在所述第二层上沉积一个或多个附加层,
其中每次沉积附加层后,使用所述机载计量单元测量所述附加层的一种或多种性质,并且确定所述附加层的一个或多个错误,以在随后的附加层中进行校正。
11.如权利要求6所述的方法,所述方法进一步包含:
确定所述第二层的一个或多个错误以在随后的层中进行校正;
在第三处理腔室中在所述第二层上沉积第三层;和
使用所述机载计量单元测量所述第三层的一种或多种性质。
12.一种处理工具,包括:
工厂界面,所述工厂界面耦接到一个或多个前开式晶片传送盒;
第一移送腔室,所述第一移送腔室耦接到所述工厂界面,所述第一移送腔室包括第一组机械臂;
第二移送腔室,所述第二移送腔室耦接到所述第一移送腔室,所述第二移送腔室包括第二组机械臂;
耦接至所述第一移送腔室或所述第二移送腔室的多个腔室,所述多个腔室包括第一多个处理腔室和多个支持腔室;以及
机载计量单元,所述机载计量单元设置在所述第一移送腔室与所述第二移送腔室之间,其中所述第一移送腔室、所述第二移送腔室和所述机载计量单元被设置在真空环境中。
13.如权利要求12所述的处理工具,其中所述机载计量单元包含反射仪和椭偏仪中的一个或多个。
14.如权利要求12所述的处理工具,其中所述机载计量单元被配置为测量光学堆叠的一个或多个层的一种或多种光学性质,且其中所述一种或多种光学性质选自由以下所组成的群组:厚度、光学反射光谱、光学透射光谱、光学吸收光谱、折射率、区别系数、成分、晶片弯曲度和应力。
15.如权利要求12所述的处理工具,其中所述第一多个处理腔室或所述第二多个处理腔室中的至少一个处理腔室是物理气相沉积腔室,或者
其中所述第一多个处理腔室或所述第二多个处理腔室中的至少一个处理腔室是化学气相沉积腔室。
CN201980088226.2A 2019-01-16 2019-10-30 光学堆叠沉积与机载计量 Pending CN113330544A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/249,653 US10886155B2 (en) 2019-01-16 2019-01-16 Optical stack deposition and on-board metrology
US16/249,653 2019-01-16
PCT/US2019/058712 WO2020149916A1 (en) 2019-01-16 2019-10-30 Optical stack deposition and on-board metrology

Publications (1)

Publication Number Publication Date
CN113330544A true CN113330544A (zh) 2021-08-31

Family

ID=71516401

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980088226.2A Pending CN113330544A (zh) 2019-01-16 2019-10-30 光学堆叠沉积与机载计量

Country Status (6)

Country Link
US (1) US10886155B2 (zh)
EP (1) EP3912186A4 (zh)
JP (2) JP7447126B2 (zh)
KR (1) KR20210100760A (zh)
CN (1) CN113330544A (zh)
WO (1) WO2020149916A1 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220129599A (ko) * 2020-01-22 2022-09-23 어플라이드 머티어리얼스, 인코포레이티드 Oled 층 두께 및 도펀트 농도의 인-라인 모니터링
JP7433449B2 (ja) 2020-01-22 2024-02-19 アプライド マテリアルズ インコーポレイテッド Oled層の厚さ及びドーパント濃度のインライン監視
US11688616B2 (en) 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
CN112458440B (zh) 2020-11-18 2022-11-25 北京北方华创微电子装备有限公司 半导体工艺设备及其反应腔室和膜层沉积方法
US20220165593A1 (en) * 2020-11-24 2022-05-26 Applied Materials, Inc. Feedforward control of multi-layer stacks during device fabrication
US20220310425A1 (en) * 2021-03-29 2022-09-29 Applied Materials, Inc. Spatial pattern loading measurement with imaging metrology

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
DE10134756A1 (de) 2001-07-17 2003-04-03 Advanced Micro Devices Inc Ein System und Verfahren zur gesteuerten Strukturierung auf Waferbasis von Strukturelementen mit kritischen Dimensionen
IL144806A (en) * 2001-08-08 2005-11-20 Nova Measuring Instr Ltd Method and apparatus for process control in semiconductor manufacturing
US6642066B1 (en) 2002-05-15 2003-11-04 Advanced Micro Devices, Inc. Integrated process for depositing layer of high-K dielectric with in-situ control of K value and thickness of high-K dielectric layer
US20040007325A1 (en) 2002-06-11 2004-01-15 Applied Materials, Inc. Integrated equipment set for forming a low K dielectric interconnect on a substrate
US6699380B1 (en) * 2002-10-18 2004-03-02 Applied Materials Inc. Modular electrochemical processing system
US6829056B1 (en) 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
JP4476073B2 (ja) * 2004-04-08 2010-06-09 東北パイオニア株式会社 有機el素子の製造方法及び製造装置
US20070134821A1 (en) * 2004-11-22 2007-06-14 Randhir Thakur Cluster tool for advanced front-end processing
US20070196011A1 (en) * 2004-11-22 2007-08-23 Cox Damon K Integrated vacuum metrology for cluster tool
US9099506B2 (en) * 2005-03-30 2015-08-04 Brooks Automation, Inc. Transfer chamber between workstations
US20070012337A1 (en) * 2005-07-15 2007-01-18 Tokyo Electron Limited In-line metrology for supercritical fluid processing
US7566900B2 (en) * 2005-08-31 2009-07-28 Applied Materials, Inc. Integrated metrology tools for monitoring and controlling large area substrate processing chambers
JP4511488B2 (ja) * 2006-03-31 2010-07-28 株式会社堀場製作所 有機el素子の製造装置
US7695232B2 (en) * 2006-06-15 2010-04-13 Applied Materials, Inc. Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same
US20080233269A1 (en) * 2007-03-20 2008-09-25 Tokyo Electron Limited Apparatus and methods for applying a layer of a spin-on material on a series of substrates
US10163667B2 (en) * 2007-03-22 2018-12-25 Brooks Automation, Inc. Linear wafer drive for handling wafers during semiconductor fabrication
KR20100106608A (ko) * 2008-01-31 2010-10-01 어플라이드 머티어리얼스, 인코포레이티드 폐쇄 회로 mocvd 증착 제어
JP5107285B2 (ja) 2009-03-04 2012-12-26 東京エレクトロン株式会社 成膜装置、成膜方法、プログラム、およびコンピュータ可読記憶媒体
WO2012051121A2 (en) * 2010-10-15 2012-04-19 Applied Materials, Inc. Building a library of spectra for optical monitoring
US8547538B2 (en) * 2011-04-21 2013-10-01 Applied Materials, Inc. Construction of reference spectra with variations in environmental effects
US8747189B2 (en) * 2011-04-26 2014-06-10 Applied Materials, Inc. Method of controlling polishing
US20120278028A1 (en) * 2011-04-28 2012-11-01 Jeffrey Drue David Generating model based spectra library for polishing
US8942842B2 (en) * 2011-04-28 2015-01-27 Applied Materials, Inc. Varying optical coefficients to generate spectra for polishing control
US8980651B2 (en) * 2011-09-30 2015-03-17 Tokyo Electron Limited Overlay measurement for a double patterning
US9431267B2 (en) * 2012-12-03 2016-08-30 Applied Materials, Inc. Semiconductor device processing tools and methods for patterning substrates
US20140242880A1 (en) * 2013-02-26 2014-08-28 Applied Materials, Inc. Optical model with polarization direction effects for comparison to measured spectrum
US20140242881A1 (en) * 2013-02-27 2014-08-28 Applied Materials, Inc. Feed forward parameter values for use in theoretically generating spectra
US8808059B1 (en) * 2013-02-27 2014-08-19 Applied Materials, Inc. Spectraphic monitoring based on pre-screening of theoretical library
JP2017507338A (ja) * 2014-01-21 2017-03-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 任意の基板上での膜厚測定
US11378426B2 (en) * 2014-06-20 2022-07-05 Applied Materials, Inc. System and method for monitoring sensor linearity as part of a production process
US9673071B2 (en) 2014-10-23 2017-06-06 Lam Research Corporation Buffer station for thermal control of semiconductor substrates transferred therethrough and method of transferring semiconductor substrates
US9870935B2 (en) 2014-12-19 2018-01-16 Applied Materials, Inc. Monitoring system for deposition and method of operation thereof
TWI677046B (zh) * 2015-04-23 2019-11-11 美商應用材料股份有限公司 半導體處理系統中的外部基板材旋轉
CN108292589B (zh) 2015-11-23 2023-05-16 应用材料公司 在处理工具中的板载计量(obm)设计与影响
US10438825B2 (en) 2016-08-29 2019-10-08 Kla-Tencor Corporation Spectral reflectometry for in-situ process monitoring and control
WO2019182913A1 (en) * 2018-03-20 2019-09-26 Tokyo Electron Limited Self-aware and correcting heterogenous platform incorporating integrated semiconductor processing modules and method for using same

Also Published As

Publication number Publication date
JP2024075581A (ja) 2024-06-04
EP3912186A4 (en) 2022-09-21
JP2022517361A (ja) 2022-03-08
JP7447126B2 (ja) 2024-03-11
US20200227294A1 (en) 2020-07-16
WO2020149916A1 (en) 2020-07-23
EP3912186A1 (en) 2021-11-24
KR20210100760A (ko) 2021-08-17
TW202030470A (zh) 2020-08-16
US10886155B2 (en) 2021-01-05

Similar Documents

Publication Publication Date Title
US10886155B2 (en) Optical stack deposition and on-board metrology
US7692763B2 (en) Exposure apparatus
US20020188414A1 (en) Systems and methods for calibrating integrated inspection tools
US6614050B1 (en) Semiconductor manufacturing apparatus
KR101715440B1 (ko) 기판 처리 시스템 및 기판의 반송 제어 방법
JP2020047701A (ja) 基板処理装置、半導体装置の製造方法および記録媒体
US9633841B2 (en) Methods for depositing amorphous silicon
WO2012093683A1 (ja) 低分子化合物の分子レジストの蒸着装置
KR100306838B1 (ko) 노광장치및디바이스제조방법
US20230374647A1 (en) Substrate processing apparatus and substrate processing method
US20190393105A1 (en) Protective coating on photoresist for photoresist metrology
WO2021236213A1 (en) Backside depositon tuning of stress to control wafer bow in semiconductor processing
US20220415649A1 (en) Method and chamber for backside physical vapor deposition
US8882917B1 (en) Substrate processing including correction for deposition location
TW202243758A (zh) 半導體裝置製造設備
JP2011049432A (ja) ダミー基板の使用方法
TWI844584B (zh) 光學堆疊沉積與機載量測法
US20090211604A1 (en) System and Method For Removing Edge-Bead Material
US11948792B2 (en) Glass wafers for semiconductor device fabrication
US20090023230A1 (en) Methods and apparatus for depositing an anti-reflection coating
JP7355612B2 (ja) 基板処理システム及び基板処理方法
KR20120070788A (ko) 기판 이송 장치 및 그 동작 방법
Sasaki et al. CD performance of CA-resits with dynamically controlled multi-zone bake system
US20010010863A1 (en) Stable thin film oxide standard
KR20060074578A (ko) 웨이퍼 두께 측정 장치 및 방법

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination